fond
Model Checking Contest 2023
13th edition, Paris, France, April 26, 2023 (at TOOLympics II)
Execution of r448-tajo-167905990000331
Last Updated
May 14, 2023

About the Execution of 2022-gold for SimpleLoadBal-PT-20

Execution Summary
Max Memory
Used (MB)
Time wait (ms) CPU Usage (ms) I/O Wait (ms) Computed Result Execution
Status
977.531 35713.00 46637.00 53.10 F normal

Execution Chart

We display below the execution chart for this examination (boot time has been removed).

Trace from the execution

Formatting '/mnt/tpsp/fkordon/mcc2023-input.r448-tajo-167905990000331.qcow2', fmt=qcow2 size=4294967296 backing_file='/mnt/tpsp/fkordon/mcc2023-input.qcow2' encryption=off cluster_size=65536 lazy_refcounts=off
Waiting for the VM to be ready (probing ssh)
....................
=====================================================================
Generated by BenchKit 2-5348
Executing tool gold2022
Input is SimpleLoadBal-PT-20, examination is ReachabilityDeadlock
Time confinement is 1800 seconds
Memory confinement is 16384 MBytes
Number of cores is 4
Run identifier is r448-tajo-167905990000331
=====================================================================

--------------------
preparation of the directory to be used:
/home/mcc/execution
total 2.5M
-rw-r--r-- 1 mcc users 8.5K Feb 26 03:50 CTLCardinality.txt
-rw-r--r-- 1 mcc users 71K Feb 26 03:50 CTLCardinality.xml
-rw-r--r-- 1 mcc users 6.8K Feb 26 03:49 CTLFireability.txt
-rw-r--r-- 1 mcc users 48K Feb 26 03:49 CTLFireability.xml
-rw-r--r-- 1 mcc users 4.2K Jan 29 11:41 GenericPropertiesDefinition.xml
-rw-r--r-- 1 mcc users 4.6K Feb 25 17:07 LTLCardinality.txt
-rw-r--r-- 1 mcc users 25K Feb 25 17:07 LTLCardinality.xml
-rw-r--r-- 1 mcc users 3.2K Feb 25 17:07 LTLFireability.txt
-rw-r--r-- 1 mcc users 18K Feb 25 17:07 LTLFireability.xml
-rw-r--r-- 1 mcc users 20K Feb 26 03:51 ReachabilityCardinality.txt
-rw-r--r-- 1 mcc users 172K Feb 26 03:51 ReachabilityCardinality.xml
-rw-r--r-- 1 mcc users 12K Feb 26 03:50 ReachabilityFireability.txt
-rw-r--r-- 1 mcc users 68K Feb 26 03:50 ReachabilityFireability.xml
-rw-r--r-- 1 mcc users 1.9K Feb 25 17:07 UpperBounds.txt
-rw-r--r-- 1 mcc users 3.9K Feb 25 17:07 UpperBounds.xml
-rw-r--r-- 1 mcc users 6 Mar 5 18:23 equiv_col
-rw-r--r-- 1 mcc users 3 Mar 5 18:23 instance
-rw-r--r-- 1 mcc users 6 Mar 5 18:23 iscolored
-rw-r--r-- 1 mcc users 2.0M Mar 5 18:23 model.pnml

--------------------
content from stdout:

=== Data for post analysis generated by BenchKit (invocation template)

The expected result is a vector of booleans
BOOL_VECTOR

FORMULA_NAME ReachabilityDeadlock

=== Now, execution of the tool begins

BK_START 1679477083388

Running Version 202205111006
[2023-03-22 09:24:44] [INFO ] Running its-tools with arguments : [-pnfolder, /home/mcc/execution, -examination, ReachabilityDeadlock, -spotpath, /home/mcc/BenchKit/bin//..//ltlfilt, -z3path, /home/mcc/BenchKit/bin//..//z3/bin/z3, -yices2path, /home/mcc/BenchKit/bin//..//yices/bin/yices, -its, -ltsmin, -greatspnpath, /home/mcc/BenchKit/bin//..//greatspn/, -order, META, -manyOrder, -smt, -timeout, 1800]
[2023-03-22 09:24:44] [INFO ] Parsing pnml file : /home/mcc/execution/model.pnml
[2023-03-22 09:24:44] [INFO ] Load time of PNML (sax parser for PT used): 218 ms
[2023-03-22 09:24:44] [INFO ] Transformed 194 places.
[2023-03-22 09:24:44] [INFO ] Transformed 2205 transitions.
[2023-03-22 09:24:44] [INFO ] Found NUPN structural information;
[2023-03-22 09:24:44] [INFO ] Completing missing partition info from NUPN : creating a component with [P_client_idle_1, P_client_idle_2, P_client_idle_3, P_client_idle_4, P_client_idle_5, P_client_idle_6, P_client_idle_7, P_client_idle_8, P_client_idle_9, P_client_idle_10, P_client_idle_11, P_client_idle_12, P_client_idle_13, P_client_idle_14, P_client_idle_15, P_client_idle_16, P_client_idle_17, P_client_idle_18, P_client_idle_19, P_client_idle_20, P_client_waiting_1, P_client_waiting_2, P_client_waiting_3, P_client_waiting_4, P_client_waiting_5, P_client_waiting_6, P_client_waiting_7, P_client_waiting_8, P_client_waiting_9, P_client_waiting_10, P_client_waiting_11, P_client_waiting_12, P_client_waiting_13, P_client_waiting_14, P_client_waiting_15, P_client_waiting_16, P_client_waiting_17, P_client_waiting_18, P_client_waiting_19, P_client_waiting_20, P_client_request_1, P_client_request_2, P_client_request_3, P_client_request_4, P_client_request_5, P_client_request_6, P_client_request_7, P_client_request_8, P_client_request_9, P_client_request_10, P_client_request_11, P_client_request_12, P_client_request_13, P_client_request_14, P_client_request_15, P_client_request_16, P_client_request_17, P_client_request_18, P_client_request_19, P_client_request_20, P_client_ack_1, P_client_ack_2, P_client_ack_3, P_client_ack_4, P_client_ack_5, P_client_ack_6, P_client_ack_7, P_client_ack_8, P_client_ack_9, P_client_ack_10, P_client_ack_11, P_client_ack_12, P_client_ack_13, P_client_ack_14, P_client_ack_15, P_client_ack_16, P_client_ack_17, P_client_ack_18, P_client_ack_19, P_client_ack_20, P_server_idle_1, P_server_idle_2, P_server_waiting_1, P_server_waiting_2, P_server_processed_1, P_server_processed_2, P_server_notification_1, P_server_notification_2, P_server_notification_ack_1, P_server_notification_ack_2, P_server_request_1_1, P_server_request_1_2, P_server_request_2_1, P_server_request_2_2, P_server_request_3_1, P_server_request_3_2, P_server_request_4_1, P_server_request_4_2, P_server_request_5_1, P_server_request_5_2, P_server_request_6_1, P_server_request_6_2, P_server_request_7_1, P_server_request_7_2, P_server_request_8_1, P_server_request_8_2, P_server_request_9_1, P_server_request_9_2, P_server_request_10_1, P_server_request_10_2, P_server_request_11_1, P_server_request_11_2, P_server_request_12_1, P_server_request_12_2, P_server_request_13_1, P_server_request_13_2, P_server_request_14_1, P_server_request_14_2, P_server_request_15_1, P_server_request_15_2, P_server_request_16_1, P_server_request_16_2, P_server_request_17_1, P_server_request_17_2, P_server_request_18_1, P_server_request_18_2, P_server_request_19_1, P_server_request_19_2, P_server_request_20_1, P_server_request_20_2, P_lb_idle_1, P_lb_routing_1_1, P_lb_routing_1_2, P_lb_routing_1_3, P_lb_routing_1_4, P_lb_routing_1_5, P_lb_routing_1_6, P_lb_routing_1_7, P_lb_routing_1_8, P_lb_routing_1_9, P_lb_routing_1_10, P_lb_routing_1_11, P_lb_routing_1_12, P_lb_routing_1_13, P_lb_routing_1_14, P_lb_routing_1_15, P_lb_routing_1_16, P_lb_routing_1_17, P_lb_routing_1_18, P_lb_routing_1_19, P_lb_routing_1_20, P_lb_balancing_1, P_lb_load_1_0, P_lb_load_1_1, P_lb_load_1_2, P_lb_load_1_3, P_lb_load_1_4, P_lb_load_1_5, P_lb_load_1_6, P_lb_load_1_7, P_lb_load_1_8, P_lb_load_1_9, P_lb_load_1_10, P_lb_load_1_11, P_lb_load_1_12, P_lb_load_1_13, P_lb_load_1_14, P_lb_load_1_15, P_lb_load_1_16, P_lb_load_1_17, P_lb_load_1_18, P_lb_load_1_19, P_lb_load_1_20, P_lb_load_2_0, P_lb_load_2_1, P_lb_load_2_2, P_lb_load_2_3, P_lb_load_2_4, P_lb_load_2_5, P_lb_load_2_6, P_lb_load_2_7, P_lb_load_2_8, P_lb_load_2_9, P_lb_load_2_10, P_lb_load_2_11, P_lb_load_2_12, P_lb_load_2_13, P_lb_load_2_14, P_lb_load_2_15, P_lb_load_2_16, P_lb_load_2_17, P_lb_load_2_18, P_lb_load_2_19, P_lb_load_2_20]
[2023-03-22 09:24:44] [INFO ] Parsed PT model containing 194 places and 2205 transitions in 329 ms.
Parsed 1 properties from file /home/mcc/execution/ReachabilityDeadlock.xml in 3 ms.
Working with output stream class java.io.PrintStream
Built sparse matrix representations for Structural reductions in 9 ms.13543KB memory used
Starting structural reductions in DEADLOCKS mode, iteration 0 : 194/194 places, 2205/2205 transitions.
Computed a total of 0 stabilizing places and 0 stable transitions
Computed a total of 0 stabilizing places and 0 stable transitions
Performed 2 Post agglomeration using F-continuation condition.Transition count delta: 2
Deduced a syphon composed of 2 places in 8 ms
Reduce places removed 2 places and 0 transitions.
Iterating global reduction 0 with 4 rules applied. Total rules applied 4 place count 192 transition count 2203
Computed a total of 0 stabilizing places and 0 stable transitions
Performed 20 Post agglomeration using F-continuation condition.Transition count delta: 20
Deduced a syphon composed of 20 places in 7 ms
Reduce places removed 40 places and 0 transitions.
Iterating global reduction 0 with 60 rules applied. Total rules applied 64 place count 152 transition count 2183
Computed a total of 0 stabilizing places and 0 stable transitions
Performed 20 Post agglomeration using F-continuation condition.Transition count delta: 20
Deduced a syphon composed of 20 places in 5 ms
Reduce places removed 20 places and 0 transitions.
Iterating global reduction 0 with 40 rules applied. Total rules applied 104 place count 132 transition count 2163
Computed a total of 0 stabilizing places and 0 stable transitions
Computed a total of 0 stabilizing places and 0 stable transitions
Applied a total of 104 rules in 312 ms. Remains 132 /194 variables (removed 62) and now considering 2163/2205 (removed 42) transitions.
Finished structural reductions, in 1 iterations. Remains : 132/194 places, 2163/2205 transitions.
Random walk for 1250000 steps, including 0 resets, run took 10018 ms (no deadlock found). (steps per millisecond=124 )
Random directed walk for 1250002 steps, including 0 resets, run took 9117 ms (no deadlock found). (steps per millisecond=137 )
[2023-03-22 09:25:04] [INFO ] Flow matrix only has 1703 transitions (discarded 460 similar events)
// Phase 1: matrix 1703 rows 132 cols
[2023-03-22 09:25:04] [INFO ] Invariants computation overflowed in 42 ms
[2023-03-22 09:25:04] [INFO ] [Real]Adding state equation constraints to refine reachable states.
[2023-03-22 09:25:05] [INFO ] [Real]Absence check using state equation in 354 ms returned sat
[2023-03-22 09:25:05] [INFO ] Solution in real domain found non-integer solution.
[2023-03-22 09:25:05] [INFO ] [Nat]Adding state equation constraints to refine reachable states.
[2023-03-22 09:25:05] [INFO ] [Nat]Absence check using state equation in 298 ms returned sat
[2023-03-22 09:25:05] [INFO ] State equation strengthened by 820 read => feed constraints.
[2023-03-22 09:25:06] [INFO ] [Nat]Added 820 Read/Feed constraints in 985 ms returned sat
[2023-03-22 09:25:07] [INFO ] Deduced a trap composed of 29 places in 286 ms of which 3 ms to minimize.
[2023-03-22 09:25:07] [INFO ] Deduced a trap composed of 26 places in 320 ms of which 1 ms to minimize.
[2023-03-22 09:25:07] [INFO ] Deduced a trap composed of 24 places in 305 ms of which 1 ms to minimize.
[2023-03-22 09:25:08] [INFO ] Deduced a trap composed of 25 places in 347 ms of which 1 ms to minimize.
[2023-03-22 09:25:08] [INFO ] Deduced a trap composed of 26 places in 365 ms of which 1 ms to minimize.
[2023-03-22 09:25:09] [INFO ] Deduced a trap composed of 27 places in 348 ms of which 0 ms to minimize.
[2023-03-22 09:25:09] [INFO ] Deduced a trap composed of 25 places in 348 ms of which 0 ms to minimize.
[2023-03-22 09:25:10] [INFO ] Deduced a trap composed of 25 places in 308 ms of which 1 ms to minimize.
[2023-03-22 09:25:10] [INFO ] Deduced a trap composed of 24 places in 358 ms of which 0 ms to minimize.
[2023-03-22 09:25:11] [INFO ] Deduced a trap composed of 26 places in 332 ms of which 1 ms to minimize.
[2023-03-22 09:25:11] [INFO ] Deduced a trap composed of 28 places in 333 ms of which 0 ms to minimize.
[2023-03-22 09:25:12] [INFO ] Deduced a trap composed of 28 places in 314 ms of which 1 ms to minimize.
[2023-03-22 09:25:12] [INFO ] Deduced a trap composed of 25 places in 332 ms of which 0 ms to minimize.
[2023-03-22 09:25:13] [INFO ] Deduced a trap composed of 27 places in 350 ms of which 0 ms to minimize.
[2023-03-22 09:25:13] [INFO ] Deduced a trap composed of 24 places in 348 ms of which 1 ms to minimize.
[2023-03-22 09:25:14] [INFO ] Deduced a trap composed of 25 places in 343 ms of which 1 ms to minimize.
[2023-03-22 09:25:14] [INFO ] Deduced a trap composed of 23 places in 313 ms of which 0 ms to minimize.
[2023-03-22 09:25:14] [INFO ] Deduced a trap composed of 25 places in 290 ms of which 1 ms to minimize.
[2023-03-22 09:25:15] [INFO ] Deduced a trap composed of 26 places in 338 ms of which 0 ms to minimize.
[2023-03-22 09:25:15] [INFO ] Deduced a trap composed of 25 places in 333 ms of which 1 ms to minimize.
[2023-03-22 09:25:16] [INFO ] Deduced a trap composed of 25 places in 313 ms of which 0 ms to minimize.
[2023-03-22 09:25:16] [INFO ] Deduced a trap composed of 25 places in 309 ms of which 0 ms to minimize.
[2023-03-22 09:25:17] [INFO ] Deduced a trap composed of 25 places in 363 ms of which 2 ms to minimize.
[2023-03-22 09:25:17] [INFO ] Deduced a trap composed of 26 places in 364 ms of which 0 ms to minimize.
[2023-03-22 09:25:18] [INFO ] Deduced a trap composed of 26 places in 324 ms of which 0 ms to minimize.
[2023-03-22 09:25:19] [INFO ] Trap strengthening procedure managed to obtain unsat after adding 25 trap constraints in 12152 ms
FORMULA ReachabilityDeadlock FALSE TECHNIQUES TOPOLOGICAL SAT_SMT STRUCTURAL_REDUCTION
Total runtime 34372 ms.

BK_STOP 1679477119101

--------------------
content from stderr:

+ export LANG=C
+ LANG=C
+ export BINDIR=/home/mcc/BenchKit/bin//../
+ BINDIR=/home/mcc/BenchKit/bin//../
++ pwd
+ export MODEL=/home/mcc/execution
+ MODEL=/home/mcc/execution
+ [[ ReachabilityDeadlock = StateSpace ]]
+ /home/mcc/BenchKit/bin//..//runeclipse.sh /home/mcc/execution ReachabilityDeadlock -its -ltsmin -greatspnpath /home/mcc/BenchKit/bin//..//greatspn/ -order META -manyOrder -smt -timeout 1800
+ ulimit -s 65536
+ export PYTHONPATH=/usr/lib/python3.9/site-packages/
+ PYTHONPATH=/usr/lib/python3.9/site-packages/
+ export LD_LIBRARY_PATH=/usr/local/lib:
+ LD_LIBRARY_PATH=/usr/local/lib:
+ [[ -z '' ]]
+ export LTSMIN_MEM_SIZE=8589934592
+ LTSMIN_MEM_SIZE=8589934592
++ sed s/.jar//
++ perl -pe 's/.*\.//g'
++ ls /home/mcc/BenchKit/bin//..//itstools/plugins/fr.lip6.move.gal.application.pnmcc_1.0.0.202205111006.jar
+ VERSION=202205111006
+ echo 'Running Version 202205111006'
+ /home/mcc/BenchKit/bin//..//itstools/its-tools -data @none -pnfolder /home/mcc/execution -examination ReachabilityDeadlock -spotpath /home/mcc/BenchKit/bin//..//ltlfilt -z3path /home/mcc/BenchKit/bin//..//z3/bin/z3 -yices2path /home/mcc/BenchKit/bin//..//yices/bin/yices -its -ltsmin -greatspnpath /home/mcc/BenchKit/bin//..//greatspn/ -order META -manyOrder -smt -timeout 1800 -vmargs -Dosgi.locking=none -Declipse.stateSaveDelayInterval=-1 -Dosgi.configuration.area=@none -Xss128m -Xms40m -Xmx8192m

Sequence of Actions to be Executed by the VM

This is useful if one wants to reexecute the tool in the VM from the submitted image disk.

set -x
# this is for BenchKit: configuration of major elements for the test
export BK_INPUT="SimpleLoadBal-PT-20"
export BK_EXAMINATION="ReachabilityDeadlock"
export BK_TOOL="gold2022"
export BK_RESULT_DIR="/tmp/BK_RESULTS/OUTPUTS"
export BK_TIME_CONFINEMENT="1800"
export BK_MEMORY_CONFINEMENT="16384"
export BK_BIN_PATH="/home/mcc/BenchKit/bin/"

# this is specific to your benchmark or test

export BIN_DIR="$HOME/BenchKit/bin"

# remove the execution directoty if it exists (to avoid increse of .vmdk images)
if [ -d execution ] ; then
rm -rf execution
fi

# this is for BenchKit: explicit launching of the test
echo "====================================================================="
echo " Generated by BenchKit 2-5348"
echo " Executing tool gold2022"
echo " Input is SimpleLoadBal-PT-20, examination is ReachabilityDeadlock"
echo " Time confinement is $BK_TIME_CONFINEMENT seconds"
echo " Memory confinement is 16384 MBytes"
echo " Number of cores is 4"
echo " Run identifier is r448-tajo-167905990000331"
echo "====================================================================="
echo
echo "--------------------"
echo "preparation of the directory to be used:"

tar xzf /home/mcc/BenchKit/INPUTS/SimpleLoadBal-PT-20.tgz
mv SimpleLoadBal-PT-20 execution
cd execution
if [ "ReachabilityDeadlock" = "ReachabilityDeadlock" ] || [ "ReachabilityDeadlock" = "UpperBounds" ] || [ "ReachabilityDeadlock" = "QuasiLiveness" ] || [ "ReachabilityDeadlock" = "StableMarking" ] || [ "ReachabilityDeadlock" = "Liveness" ] || [ "ReachabilityDeadlock" = "OneSafe" ] || [ "ReachabilityDeadlock" = "StateSpace" ]; then
rm -f GenericPropertiesVerdict.xml
fi
pwd
ls -lh

echo
echo "--------------------"
echo "content from stdout:"
echo
echo "=== Data for post analysis generated by BenchKit (invocation template)"
echo
if [ "ReachabilityDeadlock" = "UpperBounds" ] ; then
echo "The expected result is a vector of positive values"
echo NUM_VECTOR
elif [ "ReachabilityDeadlock" != "StateSpace" ] ; then
echo "The expected result is a vector of booleans"
echo BOOL_VECTOR
else
echo "no data necessary for post analysis"
fi
echo
if [ -f "ReachabilityDeadlock.txt" ] ; then
echo "here is the order used to build the result vector(from text file)"
for x in $(grep Property ReachabilityDeadlock.txt | cut -d ' ' -f 2 | sort -u) ; do
echo "FORMULA_NAME $x"
done
elif [ -f "ReachabilityDeadlock.xml" ] ; then # for cunf (txt files deleted;-)
echo echo "here is the order used to build the result vector(from xml file)"
for x in $(grep '' ReachabilityDeadlock.xml | cut -d '>' -f 2 | cut -d '<' -f 1 | sort -u) ; do
echo "FORMULA_NAME $x"
done
elif [ "ReachabilityDeadlock" = "ReachabilityDeadlock" ] || [ "ReachabilityDeadlock" = "QuasiLiveness" ] || [ "ReachabilityDeadlock" = "StableMarking" ] || [ "ReachabilityDeadlock" = "Liveness" ] || [ "ReachabilityDeadlock" = "OneSafe" ] ; then
echo "FORMULA_NAME ReachabilityDeadlock"
fi
echo
echo "=== Now, execution of the tool begins"
echo
echo -n "BK_START "
date -u +%s%3N
echo
timeout -s 9 $BK_TIME_CONFINEMENT bash -c "/home/mcc/BenchKit/BenchKit_head.sh 2> STDERR ; echo ; echo -n \"BK_STOP \" ; date -u +%s%3N"
if [ $? -eq 137 ] ; then
echo
echo "BK_TIME_CONFINEMENT_REACHED"
fi
echo
echo "--------------------"
echo "content from stderr:"
echo
cat STDERR ;