fond
Model Checking Contest 2021
11th edition, Paris, France, June 23, 2021
Execution of r026-tajo-162038143600277
Last Updated
Jun 28, 2021

About the Execution of ITS-Tools for BridgeAndVehicles-PT-V80P20N10

Execution Summary
Max Memory
Used (MB)
Time wait (ms) CPU Usage (ms) I/O Wait (ms) Computed Result Execution
Status
14795.947 3600000.00 13544119.00 385.00 FFTTF??FF?FFFFFF normal

Execution Chart

We display below the execution chart for this examination (boot time has been removed).

Trace from the execution

Formatting '/mnt/tpsp/fkordon/mcc2021-input.r026-tajo-162038143600277.qcow2', fmt=qcow2 size=4294967296 backing_file='/mnt/tpsp/fkordon/mcc2021-input.qcow2' encryption=off cluster_size=65536 lazy_refcounts=off
Waiting for the VM to be ready (probing ssh)
...........................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................
=====================================================================
Generated by BenchKit 2-4028
Executing tool itstools
Input is BridgeAndVehicles-PT-V80P20N10, examination is LTLFireability
Time confinement is 3600 seconds
Memory confinement is 16384 MBytes
Number of cores is 4
Run identifier is r026-tajo-162038143600277
=====================================================================

--------------------
preparation of the directory to be used:
/home/mcc/execution
total 9.6M
-rw-r--r-- 1 mcc users 102K May 5 16:58 CTLCardinality.txt
-rw-r--r-- 1 mcc users 460K May 5 16:58 CTLCardinality.xml
-rw-r--r-- 1 mcc users 1.3M May 5 16:58 CTLFireability.txt
-rw-r--r-- 1 mcc users 4.6M May 5 16:58 CTLFireability.xml
-rw-r--r-- 1 mcc users 4.2K May 6 14:48 GenericPropertiesDefinition.xml
-rw-r--r-- 1 mcc users 6.4K May 6 14:48 GenericPropertiesVerdict.xml
-rw-r--r-- 1 mcc users 4.3K Mar 28 15:47 LTLCardinality.txt
-rw-r--r-- 1 mcc users 25K Mar 28 15:47 LTLCardinality.xml
-rw-r--r-- 1 mcc users 78K Mar 28 15:47 LTLFireability.txt
-rw-r--r-- 1 mcc users 236K Mar 28 15:47 LTLFireability.xml
-rw-r--r-- 1 mcc users 17K Mar 23 02:54 ReachabilityCardinality.txt
-rw-r--r-- 1 mcc users 48K Mar 23 02:54 ReachabilityCardinality.xml
-rw-r--r-- 1 mcc users 124K Mar 22 11:35 ReachabilityFireability.txt
-rw-r--r-- 1 mcc users 360K Mar 22 11:35 ReachabilityFireability.xml
-rw-r--r-- 1 mcc users 3.4K Mar 22 09:10 UpperBounds.txt
-rw-r--r-- 1 mcc users 7.1K Mar 22 09:10 UpperBounds.xml
-rw-r--r-- 1 mcc users 5 May 5 16:51 equiv_col
-rw-r--r-- 1 mcc users 10 May 5 16:51 instance
-rw-r--r-- 1 mcc users 6 May 5 16:51 iscolored
-rw-r--r-- 1 mcc users 2.3M May 5 16:51 model.pnml

--------------------
content from stdout:

=== Data for post analysis generated by BenchKit (invocation template)

The expected result is a vector of booleans
BOOL_VECTOR

here is the order used to build the result vector(from text file)
FORMULA_NAME BridgeAndVehicles-PT-V80P20N10-00
FORMULA_NAME BridgeAndVehicles-PT-V80P20N10-01
FORMULA_NAME BridgeAndVehicles-PT-V80P20N10-02
FORMULA_NAME BridgeAndVehicles-PT-V80P20N10-03
FORMULA_NAME BridgeAndVehicles-PT-V80P20N10-04
FORMULA_NAME BridgeAndVehicles-PT-V80P20N10-05
FORMULA_NAME BridgeAndVehicles-PT-V80P20N10-06
FORMULA_NAME BridgeAndVehicles-PT-V80P20N10-07
FORMULA_NAME BridgeAndVehicles-PT-V80P20N10-08
FORMULA_NAME BridgeAndVehicles-PT-V80P20N10-09
FORMULA_NAME BridgeAndVehicles-PT-V80P20N10-10
FORMULA_NAME BridgeAndVehicles-PT-V80P20N10-11
FORMULA_NAME BridgeAndVehicles-PT-V80P20N10-12
FORMULA_NAME BridgeAndVehicles-PT-V80P20N10-13
FORMULA_NAME BridgeAndVehicles-PT-V80P20N10-14
FORMULA_NAME BridgeAndVehicles-PT-V80P20N10-15

=== Now, execution of the tool begins

BK_START 1620537246882

Running Version 0
[2021-05-09 05:14:08] [INFO ] Running its-tools with arguments : [-pnfolder, /home/mcc/execution, -examination, LTLFireability, -spotpath, /home/mcc/BenchKit/bin//..//ltlfilt, -z3path, /home/mcc/BenchKit/bin//..//z3/bin/z3, -yices2path, /home/mcc/BenchKit/bin//..//yices/bin/yices, -its, -ltsmin, -greatspnpath, /home/mcc/BenchKit/bin//..//greatspn/, -order, META, -manyOrder, -smt, -timeout, 3600]
[2021-05-09 05:14:08] [INFO ] Parsing pnml file : /home/mcc/execution/model.pnml
[2021-05-09 05:14:08] [INFO ] Load time of PNML (sax parser for PT used): 197 ms
[2021-05-09 05:14:08] [INFO ] Transformed 188 places.
[2021-05-09 05:14:08] [INFO ] Transformed 2108 transitions.
[2021-05-09 05:14:08] [INFO ] Parsed PT model containing 188 places and 2108 transitions in 254 ms.
Parsed 16 properties from file /home/mcc/execution/LTLFireability.xml in 25 ms.
Working with output stream class java.io.PrintStream
[2021-05-09 05:14:08] [INFO ] Initial state test concluded for 1 properties.
FORMULA BridgeAndVehicles-PT-V80P20N10-02 TRUE TECHNIQUES TOPOLOGICAL INITIAL_STATE
Support contains 186 out of 188 places. Attempting structural reductions.
Starting structural reductions, iteration 0 : 188/188 places, 2108/2108 transitions.
Reduce places removed 2 places and 0 transitions.
Iterating post reduction 0 with 2 rules applied. Total rules applied 2 place count 186 transition count 2108
Applied a total of 2 rules in 37 ms. Remains 186 /188 variables (removed 2) and now considering 2108/2108 (removed 0) transitions.
[2021-05-09 05:14:09] [INFO ] Flow matrix only has 370 transitions (discarded 1738 similar events)
// Phase 1: matrix 370 rows 186 cols
[2021-05-09 05:14:09] [INFO ] Computed 5 place invariants in 22 ms
[2021-05-09 05:14:09] [INFO ] Dead Transitions using invariants and state equation in 776 ms returned []
[2021-05-09 05:14:09] [INFO ] Flow matrix only has 370 transitions (discarded 1738 similar events)
// Phase 1: matrix 370 rows 186 cols
[2021-05-09 05:14:09] [INFO ] Computed 5 place invariants in 3 ms
[2021-05-09 05:14:09] [INFO ] Implicit Places using invariants in 41 ms returned []
[2021-05-09 05:14:09] [INFO ] Flow matrix only has 370 transitions (discarded 1738 similar events)
// Phase 1: matrix 370 rows 186 cols
[2021-05-09 05:14:09] [INFO ] Computed 5 place invariants in 5 ms
[2021-05-09 05:14:10] [INFO ] State equation strengthened by 22 read => feed constraints.
[2021-05-09 05:14:10] [INFO ] Implicit Places using invariants and state equation in 149 ms returned []
Implicit Place search using SMT with State Equation took 191 ms to find 0 implicit places.
[2021-05-09 05:14:10] [INFO ] Flow matrix only has 370 transitions (discarded 1738 similar events)
// Phase 1: matrix 370 rows 186 cols
[2021-05-09 05:14:10] [INFO ] Computed 5 place invariants in 3 ms
[2021-05-09 05:14:10] [INFO ] Dead Transitions using invariants and state equation in 543 ms returned []
Finished structural reductions, in 1 iterations. Remains : 186/188 places, 2108/2108 transitions.
[2021-05-09 05:14:11] [INFO ] Initial state reduction rules for LTL removed 3 formulas.
[2021-05-09 05:14:11] [INFO ] Flatten gal took : 252 ms
FORMULA BridgeAndVehicles-PT-V80P20N10-11 FALSE TECHNIQUES TOPOLOGICAL INITIAL_STATE
FORMULA BridgeAndVehicles-PT-V80P20N10-10 FALSE TECHNIQUES TOPOLOGICAL INITIAL_STATE
FORMULA BridgeAndVehicles-PT-V80P20N10-08 FALSE TECHNIQUES TOPOLOGICAL INITIAL_STATE
[2021-05-09 05:14:11] [INFO ] Flatten gal took : 140 ms
[2021-05-09 05:14:12] [INFO ] Input system was already deterministic with 2108 transitions.
Incomplete random walk after 100000 steps, including 142 resets, run finished after 839 ms. (steps per millisecond=119 ) properties (out of 24) seen :20
Running SMT prover for 4 properties.
[2021-05-09 05:14:13] [INFO ] Flow matrix only has 370 transitions (discarded 1738 similar events)
// Phase 1: matrix 370 rows 186 cols
[2021-05-09 05:14:13] [INFO ] Computed 5 place invariants in 5 ms
[2021-05-09 05:14:13] [INFO ] [Real]Absence check using 5 positive place invariants in 4 ms returned unsat
[2021-05-09 05:14:13] [INFO ] [Real]Absence check using 5 positive place invariants in 3 ms returned sat
[2021-05-09 05:14:13] [INFO ] [Real]Adding state equation constraints to refine reachable states.
[2021-05-09 05:14:13] [INFO ] [Real]Absence check using state equation in 87 ms returned sat
[2021-05-09 05:14:13] [INFO ] State equation strengthened by 22 read => feed constraints.
[2021-05-09 05:14:13] [INFO ] [Real]Added 22 Read/Feed constraints in 30 ms returned sat
[2021-05-09 05:14:13] [INFO ] Solution in real domain found non-integer solution.
[2021-05-09 05:14:13] [INFO ] [Nat]Absence check using 5 positive place invariants in 3 ms returned sat
[2021-05-09 05:14:13] [INFO ] [Nat]Adding state equation constraints to refine reachable states.
[2021-05-09 05:14:13] [INFO ] [Nat]Absence check using state equation in 85 ms returned sat
[2021-05-09 05:14:13] [INFO ] [Nat]Added 22 Read/Feed constraints in 41 ms returned sat
[2021-05-09 05:14:13] [INFO ] Computed and/alt/rep : 170/338/170 causal constraints (skipped 197 transitions) in 136 ms.
[2021-05-09 05:14:14] [INFO ] Added : 167 causal constraints over 39 iterations in 1333 ms. Result :sat
[2021-05-09 05:14:14] [INFO ] [Real]Absence check using 5 positive place invariants in 3 ms returned sat
[2021-05-09 05:14:14] [INFO ] [Real]Adding state equation constraints to refine reachable states.
[2021-05-09 05:14:15] [INFO ] [Real]Absence check using state equation in 81 ms returned sat
[2021-05-09 05:14:15] [INFO ] [Real]Added 22 Read/Feed constraints in 20 ms returned sat
[2021-05-09 05:14:15] [INFO ] Solution in real domain found non-integer solution.
[2021-05-09 05:14:15] [INFO ] [Nat]Absence check using 5 positive place invariants in 2 ms returned sat
[2021-05-09 05:14:15] [INFO ] [Nat]Adding state equation constraints to refine reachable states.
[2021-05-09 05:14:15] [INFO ] [Nat]Absence check using state equation in 66 ms returned sat
[2021-05-09 05:14:15] [INFO ] [Nat]Added 22 Read/Feed constraints in 605 ms returned sat
[2021-05-09 05:14:16] [INFO ] Deduced a trap composed of 5 places in 224 ms of which 4 ms to minimize.
[2021-05-09 05:14:16] [INFO ] Trap strengthening (SAT) tested/added 2/1 trap constraints in 304 ms
[2021-05-09 05:14:16] [INFO ] Computed and/alt/rep : 170/338/170 causal constraints (skipped 197 transitions) in 175 ms.
[2021-05-09 05:14:17] [INFO ] Added : 141 causal constraints over 29 iterations in 1837 ms. Result :sat
[2021-05-09 05:14:17] [INFO ] [Real]Absence check using 5 positive place invariants in 5 ms returned sat
[2021-05-09 05:14:17] [INFO ] [Real]Adding state equation constraints to refine reachable states.
[2021-05-09 05:14:18] [INFO ] [Real]Absence check using state equation in 127 ms returned sat
[2021-05-09 05:14:18] [INFO ] [Real]Added 22 Read/Feed constraints in 37 ms returned sat
[2021-05-09 05:14:18] [INFO ] Solution in real domain found non-integer solution.
[2021-05-09 05:14:18] [INFO ] [Nat]Absence check using 5 positive place invariants in 4 ms returned sat
[2021-05-09 05:14:18] [INFO ] [Nat]Adding state equation constraints to refine reachable states.
[2021-05-09 05:14:18] [INFO ] [Nat]Absence check using state equation in 103 ms returned sat
[2021-05-09 05:14:18] [INFO ] [Nat]Added 22 Read/Feed constraints in 47 ms returned sat
[2021-05-09 05:14:18] [INFO ] Deduced a trap composed of 5 places in 236 ms of which 2 ms to minimize.
[2021-05-09 05:14:18] [INFO ] Trap strengthening (SAT) tested/added 2/1 trap constraints in 302 ms
[2021-05-09 05:14:18] [INFO ] Computed and/alt/rep : 170/338/170 causal constraints (skipped 197 transitions) in 87 ms.
[2021-05-09 05:14:19] [INFO ] Added : 166 causal constraints over 34 iterations in 1050 ms. Result :sat
Successfully simplified 1 atomic propositions for a total of 12 simplifications.
Running Spot : CommandLine [args=[/home/mcc/BenchKit/bin//..//ltl2tgba, --hoaf=tv, -f, !(X(X(p0)))], workingDir=/home/mcc/execution]
Support contains 82 out of 186 places. Attempting structural reductions.
Starting structural reductions, iteration 0 : 186/186 places, 2108/2108 transitions.
Discarding 1 places :
Symmetric choice reduction at 0 with 1 rule applications. Total rules 1 place count 185 transition count 2107
Iterating global reduction 0 with 1 rules applied. Total rules applied 2 place count 185 transition count 2107
Applied a total of 2 rules in 98 ms. Remains 185 /186 variables (removed 1) and now considering 2107/2108 (removed 1) transitions.
[2021-05-09 05:14:20] [INFO ] Flow matrix only has 369 transitions (discarded 1738 similar events)
// Phase 1: matrix 369 rows 185 cols
[2021-05-09 05:14:20] [INFO ] Computed 5 place invariants in 2 ms
[2021-05-09 05:14:20] [INFO ] Dead Transitions using invariants and state equation in 701 ms returned []
[2021-05-09 05:14:20] [INFO ] Flow matrix only has 369 transitions (discarded 1738 similar events)
// Phase 1: matrix 369 rows 185 cols
[2021-05-09 05:14:20] [INFO ] Computed 5 place invariants in 2 ms
[2021-05-09 05:14:20] [INFO ] Implicit Places using invariants in 189 ms returned []
[2021-05-09 05:14:20] [INFO ] Flow matrix only has 369 transitions (discarded 1738 similar events)
// Phase 1: matrix 369 rows 185 cols
[2021-05-09 05:14:20] [INFO ] Computed 5 place invariants in 6 ms
[2021-05-09 05:14:21] [INFO ] State equation strengthened by 22 read => feed constraints.
[2021-05-09 05:14:22] [INFO ] Implicit Places using invariants and state equation in 1136 ms returned [82, 168]
Discarding 2 places :
Implicit Place search using SMT with State Equation took 1334 ms to find 2 implicit places.
[2021-05-09 05:14:22] [INFO ] Flow matrix only has 369 transitions (discarded 1738 similar events)
// Phase 1: matrix 369 rows 183 cols
[2021-05-09 05:14:22] [INFO ] Computed 5 place invariants in 2 ms
[2021-05-09 05:14:22] [INFO ] Dead Transitions using invariants and state equation in 619 ms returned []
Starting structural reductions, iteration 1 : 183/186 places, 2107/2108 transitions.
Applied a total of 0 rules in 21 ms. Remains 183 /183 variables (removed 0) and now considering 2107/2107 (removed 0) transitions.
[2021-05-09 05:14:22] [INFO ] Flow matrix only has 369 transitions (discarded 1738 similar events)
// Phase 1: matrix 369 rows 183 cols
[2021-05-09 05:14:22] [INFO ] Computed 5 place invariants in 2 ms
[2021-05-09 05:14:23] [INFO ] Dead Transitions using invariants and state equation in 674 ms returned []
Finished structural reductions, in 2 iterations. Remains : 183/186 places, 2107/2108 transitions.
Stuttering acceptance computed with spot in 205 ms :[(NOT p0), (NOT p0), true, (NOT p0)]
Running random walk in product with property : BridgeAndVehicles-PT-V80P20N10-00 automaton TGBA [mat=[[{ cond=(NOT p0), acceptance={} source=0 dest: 2}], [{ cond=true, acceptance={} source=1 dest: 0}], [{ cond=true, acceptance={0} source=2 dest: 2}], [{ cond=true, acceptance={} source=3 dest: 1}]], initial=3, aps=[p0:(AND (OR (LT s161 1) (LT s171 1)) (OR (LT s142 1) (LT s171 1)) (OR (LT s103 1) (LT s171 1)) (OR (LT s122 1) (LT s171 1)) (OR (LT s141 1) (LT s171 1)) (OR (LT s102 1) (LT s171 1)) (OR (LT s92 1) (LT s171 1)) (OR (LT s151 1) (LT s171 1)) (OR (LT s162 1) (LT s171 1)) (OR (LT s132 1) (LT s171 1)) (OR (LT s113 1) (LT s171 1)) (OR (LT s160 1) (LT s171 1)) (OR (LT s143 1) (LT s171 1)) (OR (LT s101 1) (LT s171 1)) (OR (LT s123 1) (LT s171 1)) (OR (LT s140 1) (LT s171 1)) (OR (LT s152 1) (LT s171 1)) (OR (LT s93 1) (LT s171 1)) (OR (LT s114 1) (LT s171 1)) (OR (LT s131 1) (LT s171 1)) (OR (LT s149 1) (LT s171 1)) (OR (LT s100 1) (LT s171 1)) (OR (LT s90 1) (LT s171 1)) (OR (LT s159 1) (LT s171 1)) (OR (LT s124 1) (LT s171 1)) (OR (LT s109 1) (LT s171 1)) (OR (LT s134 1) (LT s171 1)) (OR (LT s115 1) (LT s171 1)) (OR (LT s116 1) (LT s171 1)) (OR (LT s133 1) (LT s171 1)) (OR (LT s99 1) (LT s171 1)) (OR (LT s158 1) (LT s171 1)) (OR (LT s125 1) (LT s171 1)) (OR (LT s91 1) (LT s171 1)) (OR (LT s108 1) (LT s171 1)) (OR (LT s150 1) (LT s171 1)) (OR (LT s127 1) (LT s171 1)) (OR (LT s117 1) (LT s171 1)) (OR (LT s156 1) (LT s171 1)) (OR (LT s137 1) (LT s171 1)) (OR (LT s88 1) (LT s171 1)) (OR (LT s107 1) (LT s171 1)) (OR (LT s136 1) (LT s171 1)) (OR (LT s98 1) (LT s171 1)) (OR (LT s128 1) (LT s171 1)) (OR (LT s147 1) (LT s171 1)) (OR (LT s166 1) (LT s171 1)) (OR (LT s89 1) (LT s171 1)) (OR (LT s126 1) (LT s171 1)) (OR (LT s106 1) (LT s171 1)) (OR (LT s157 1) (LT s171 1)) (OR (LT s97 1) (LT s171 1)) (OR (LT s118 1) (LT s171 1)) (OR (LT s135 1) (LT s171 1)) (OR (LT s165 1) (LT s171 1)) (OR (LT s148 1) (LT s171 1)) (OR (LT s105 1) (LT s171 1)) (OR (LT s110 1) (LT s171 1)) (OR (LT s154 1) (LT s171 1)) (OR (LT s144 1) (LT s171 1)) (OR (LT s120 1) (LT s171 1)) (OR (LT s86 1) (LT s171 1)) (OR (LT s139 1) (LT s171 1)) (OR (LT s119 1) (LT s171 1)) (OR (LT s130 1) (LT s171 1)) (OR (LT s153 1) (LT s171 1)) (OR (LT s145 1) (LT s171 1)) (OR (LT s164 1) (LT s171 1)) (OR (LT s96 1) (LT s171 1)) (OR (LT s94 1) (LT s171 1)) (OR (LT s138 1) (LT s171 1)) (OR (LT s111 1) (LT s171 1)) (OR (LT s155 1) (LT s171 1)) (OR (LT s121 1) (LT s171 1)) (OR (LT s87 1) (LT s171 1)) (OR (LT s104 1) (LT s171 1)) (OR (LT s163 1) (LT s171 1)) (OR (LT s146 1) (LT s171 1)) (OR (LT s112 1) (LT s171 1)) (OR (LT s129 1) (LT s171 1)) (OR (LT s95 1) (LT s171 1)))], nbAcceptance=1, properties=[trans-labels, explicit-labels, trans-acc, deterministic, no-univ-branch, unambiguous, semi-deterministic, terminal, very-weak, weak, inherently-weak], stateDesc=[null, null, null, null][false, false, false, false]]
Entered a terminal (fully accepting) state of product in 2 steps with 0 reset in 2 ms.
FORMULA BridgeAndVehicles-PT-V80P20N10-00 FALSE TECHNIQUES STUTTER_TEST
Treatment of property BridgeAndVehicles-PT-V80P20N10-00 finished in 3768 ms.
Running Spot : CommandLine [args=[/home/mcc/BenchKit/bin//..//ltl2tgba, --hoaf=tv, -f, !(X((((p0&&X(G(p1))) U !p2) U (p0&&((p0&&X(G(p1))) U !p2)))))], workingDir=/home/mcc/execution]
Support contains 88 out of 186 places. Attempting structural reductions.
Starting structural reductions, iteration 0 : 186/186 places, 2108/2108 transitions.
Discarding 1 places :
Symmetric choice reduction at 0 with 1 rule applications. Total rules 1 place count 185 transition count 2107
Iterating global reduction 0 with 1 rules applied. Total rules applied 2 place count 185 transition count 2107
Applied a total of 2 rules in 21 ms. Remains 185 /186 variables (removed 1) and now considering 2107/2108 (removed 1) transitions.
[2021-05-09 05:14:23] [INFO ] Flow matrix only has 369 transitions (discarded 1738 similar events)
// Phase 1: matrix 369 rows 185 cols
[2021-05-09 05:14:23] [INFO ] Computed 5 place invariants in 2 ms
[2021-05-09 05:14:24] [INFO ] Dead Transitions using invariants and state equation in 658 ms returned []
[2021-05-09 05:14:24] [INFO ] Flow matrix only has 369 transitions (discarded 1738 similar events)
// Phase 1: matrix 369 rows 185 cols
[2021-05-09 05:14:24] [INFO ] Computed 5 place invariants in 3 ms
[2021-05-09 05:14:24] [INFO ] Implicit Places using invariants in 271 ms returned []
[2021-05-09 05:14:24] [INFO ] Flow matrix only has 369 transitions (discarded 1738 similar events)
// Phase 1: matrix 369 rows 185 cols
[2021-05-09 05:14:24] [INFO ] Computed 5 place invariants in 3 ms
[2021-05-09 05:14:24] [INFO ] State equation strengthened by 22 read => feed constraints.
[2021-05-09 05:14:26] [INFO ] Implicit Places using invariants and state equation in 1769 ms returned [82, 168]
Discarding 2 places :
Implicit Place search using SMT with State Equation took 2059 ms to find 2 implicit places.
[2021-05-09 05:14:26] [INFO ] Flow matrix only has 369 transitions (discarded 1738 similar events)
// Phase 1: matrix 369 rows 183 cols
[2021-05-09 05:14:26] [INFO ] Computed 5 place invariants in 3 ms
[2021-05-09 05:14:27] [INFO ] Dead Transitions using invariants and state equation in 664 ms returned []
Starting structural reductions, iteration 1 : 183/186 places, 2107/2108 transitions.
Applied a total of 0 rules in 11 ms. Remains 183 /183 variables (removed 0) and now considering 2107/2107 (removed 0) transitions.
[2021-05-09 05:14:27] [INFO ] Flow matrix only has 369 transitions (discarded 1738 similar events)
// Phase 1: matrix 369 rows 183 cols
[2021-05-09 05:14:27] [INFO ] Computed 5 place invariants in 2 ms
[2021-05-09 05:14:27] [INFO ] Dead Transitions using invariants and state equation in 512 ms returned []
Finished structural reductions, in 2 iterations. Remains : 183/186 places, 2107/2108 transitions.
Stuttering acceptance computed with spot in 171 ms :[(NOT p1), (OR (NOT p0) p2), (OR (NOT p1) p2), (OR (NOT p0) p2), true]
Running random walk in product with property : BridgeAndVehicles-PT-V80P20N10-01 automaton TGBA [mat=[[{ cond=p1, acceptance={} source=0 dest: 0}, { cond=(NOT p1), acceptance={} source=0 dest: 4}], [{ cond=(AND (NOT p0) (NOT p2)), acceptance={0} source=1 dest: 1}, { cond=(AND p0 p2), acceptance={0} source=1 dest: 2}, { cond=(AND (NOT p0) p2), acceptance={0} source=1 dest: 4}], [{ cond=(AND (NOT p2) p1), acceptance={0} source=2 dest: 0}, { cond=(AND p0 p2 p1), acceptance={0} source=2 dest: 2}, { cond=(OR (AND (NOT p0) p2) (NOT p1)), acceptance={0} source=2 dest: 4}], [{ cond=true, acceptance={} source=3 dest: 1}], [{ cond=true, acceptance={0} source=4 dest: 4}]], initial=3, aps=[p1:(OR (AND (GEQ s83 20) (GEQ s169 1)) (AND (GEQ s83 20) (GEQ s170 1))), p0:(OR (AND (GEQ s167 1) (GEQ s182 1)) (AND (GEQ s168 1) (GEQ s182 1))), p2:(AND (OR (LT s161 1) (LT s171 1)) (OR (LT s142 1) (LT s171 1)) (OR (LT s103 1) (LT s171 1)) (OR (LT s122 1) (LT s171 1)) (OR (LT s141 1) (LT s171 1)) (OR (LT s102 1) (LT s171 1)) (OR (LT s92 1) (LT s171 1)) (OR (LT s151 1) (LT s171 1)) (OR (LT s162 1) (LT s171 1)) (OR (LT s132 1) (LT s171 1)) (OR (LT s113 1) (LT s171 1)) (OR (LT s160 1) (LT s171 1)) (OR (LT s143 1) (LT s171 1)) (OR (LT s101 1) (LT s171 1)) (OR (LT s123 1) (LT s171 1)) (OR (LT s140 1) (LT s171 1)) (OR (LT s152 1) (LT s171 1)) (OR (LT s93 1) (LT s171 1)) (OR (LT s114 1) (LT s171 1)) (OR (LT s131 1) (LT s171 1)) (OR (LT s149 1) (LT s171 1)) (OR (LT s100 1) (LT s171 1)) (OR (LT s90 1) (LT s171 1)) (OR (LT s159 1) (LT s171 1)) (OR (LT s124 1) (LT s171 1)) (OR (LT s109 1) (LT s171 1)) (OR (LT s134 1) (LT s171 1)) (OR (LT s115 1) (LT s171 1)) (OR (LT s116 1) (LT s171 1)) (OR (LT s133 1) (LT s171 1)) (OR (LT s99 1) (LT s171 1)) (OR (LT s158 1) (LT s171 1)) (OR (LT s125 1) (LT s171 1)) (OR (LT s91 1) (LT s171 1)) (OR (LT s108 1) (LT s171 1)) (OR (LT s150 1) (LT s171 1)) (OR (LT s127 1) (LT s171 1)) (OR (LT s117 1) (LT s171 1)) (OR (LT s156 1) (LT s171 1)) (OR (LT s137 1) (LT s171 1)) (OR (LT s88 1) (LT s171 1)) (OR (LT s107 1) (LT s171 1)) (OR (LT s136 1) (LT s171 1)) (OR (LT s98 1) (LT s171 1)) (OR (LT s128 1) (LT s171 1)) (OR (LT s147 1) (LT s171 1)) (OR (LT s166 1) (LT s171 1)) (OR (LT s89 1) (LT s171 1)) (OR (LT s126 1) (LT s171 1)) (OR (LT s106 1) (LT s171 1)) (OR (LT s157 1) (LT s171 1)) (OR (LT s97 1) (LT s171 1)) (OR (LT s118 1) (LT s171 1)) (OR (LT s135 1) (LT s171 1)) (OR (LT s165 1) (LT s171 1)) (OR (LT s148 1) (LT s171 1)) (OR (LT s105 1) (LT s171 1)) (OR (LT s110 1) (LT s171 1)) (OR (LT s154 1) (LT s171 1)) (OR (LT s144 1) (LT s171 1)) (OR (LT s120 1) (LT s171 1)) (OR (LT s86 1) (LT s171 1)) (OR (LT s139 1) (LT s171 1)) (OR (LT s119 1) (LT s171 1)) (OR (LT s130 1) (LT s171 1)) (OR (LT s153 1) (LT s171 1)) (OR (LT s145 1) (LT s171 1)) (OR (LT s164 1) (LT s171 1)) (OR (LT s96 1) (LT s171 1)) (OR (LT s94 1) (LT s171 1)) (OR (LT s138 1) (LT s171 1)) (OR (LT s111 1) (LT s171 1)) (OR (LT s155 1) (LT s171 1)) (OR (LT s121 1) (LT s171 1)) (OR (LT s87 1) (LT s171 1)) (OR (LT s104 1) (LT s171 1)) (OR (LT s163 1) (LT s171 1)) (OR (LT s146 1) (LT s171 1)) (OR (LT s112 1) (LT s171 1)) (OR (LT s129 1) (LT s171 1)) (OR (LT s95 1) (LT s171 1)))], nbAcceptance=1, properties=[trans-labels, explicit-labels, trans-acc, deterministic, no-univ-branch, unambiguous, semi-deterministic, very-weak, weak, inherently-weak], stateDesc=[null, null, null, null, null][false, false, false, false, false]]
Product exploration explored 100000 steps with 1328 reset in 997 ms.
Product exploration explored 100000 steps with 1332 reset in 1071 ms.
Knowledge obtained : [(NOT p1), (NOT p0), (NOT p2)]
Stuttering acceptance computed with spot in 170 ms :[(NOT p1), (OR (NOT p0) p2), (OR (NOT p1) p2), (OR (NOT p0) p2), true]
Product exploration explored 100000 steps with 1329 reset in 964 ms.
Product exploration explored 100000 steps with 1333 reset in 1085 ms.
Applying partial POR strategy [true, false, true, false, true]
Stuttering acceptance computed with spot in 211 ms :[(NOT p1), (OR (NOT p0) p2), (OR (NOT p1) p2), (OR (NOT p0) p2), true]
Support contains 88 out of 183 places. Attempting structural reductions.
Property had overlarge support with respect to TGBA, discarding it for now.
Starting structural reductions, iteration 0 : 183/183 places, 2107/2107 transitions.
Applied a total of 0 rules in 66 ms. Remains 183 /183 variables (removed 0) and now considering 2107/2107 (removed 0) transitions.
[2021-05-09 05:14:32] [INFO ] Flow matrix only has 369 transitions (discarded 1738 similar events)
// Phase 1: matrix 369 rows 183 cols
[2021-05-09 05:14:32] [INFO ] Computed 5 place invariants in 16 ms
[2021-05-09 05:14:33] [INFO ] Dead Transitions using invariants and state equation in 749 ms returned []
[2021-05-09 05:14:33] [INFO ] Redundant transitions in 128 ms returned []
[2021-05-09 05:14:33] [INFO ] Flow matrix only has 369 transitions (discarded 1738 similar events)
// Phase 1: matrix 369 rows 183 cols
[2021-05-09 05:14:33] [INFO ] Computed 5 place invariants in 3 ms
[2021-05-09 05:14:34] [INFO ] Dead Transitions using invariants and state equation in 600 ms returned []
Finished structural reductions, in 1 iterations. Remains : 183/183 places, 2107/2107 transitions.
Product exploration explored 100000 steps with 1326 reset in 792 ms.
Product exploration explored 100000 steps with 1324 reset in 792 ms.
[2021-05-09 05:14:36] [INFO ] Flatten gal took : 135 ms
[2021-05-09 05:14:36] [INFO ] Flatten gal took : 126 ms
[2021-05-09 05:14:36] [INFO ] Time to serialize gal into /tmp/LTL17908210150546203969.gal : 40 ms
[2021-05-09 05:14:36] [INFO ] Time to serialize properties into /tmp/LTL3187881300546548767.ltl : 2 ms
Invoking ITS tools like this :CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64, --gc-threshold, 2000000, -i, /tmp/LTL17908210150546203969.gal, -t, CGAL, -LTL, /tmp/LTL3187881300546548767.ltl, -c, -stutter-deadlock, --gen-order, FOLLOW], workingDir=/home/mcc/execution]

its-ltl command run as :

/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64 --gc-threshold 2000000 -i /tmp/LTL17908210150546203969.gal -t CGAL -LTL /tmp/LTL3187881300546548767.ltl -c -stutter-deadlock --gen-order FOLLOW
Read 1 LTL properties
Checking formula 0 : !((X((((X(G("(((CAPACITE>=20)&&(VIDANGE_1>=1))||((CAPACITE>=20)&&(VIDANGE_2>=1)))")))&&("(((CHOIX_1>=1)&&(COMPTEUR_10>=1))||((CHOIX_2>=1)&&(COMPTEUR_10>=1)))"))U("((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((NB_ATTENTE_B_75>=1)&&(ROUTE_B>=1))||((NB_ATTENTE_B_56>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_17>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_36>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_55>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_16>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_6>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_65>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_76>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_46>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_27>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_74>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_57>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_15>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_37>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_54>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_66>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_7>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_28>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_45>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_63>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_14>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_4>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_73>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_38>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_23>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_48>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_29>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_30>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_47>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_13>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_72>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_39>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_5>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_22>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_64>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_41>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_31>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_70>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_51>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_2>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_21>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_50>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_12>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_42>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_61>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_80>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_3>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_40>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_20>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_71>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_11>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_32>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_49>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_79>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_62>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_19>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_24>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_68>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_58>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_34>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_0>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_53>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_33>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_44>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_67>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_59>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_78>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_10>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_8>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_52>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_25>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_69>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_35>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_1>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_18>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_77>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_60>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_26>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_43>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_9>=1)&&(ROUTE_B>=1)))"))U(((("(((CHOIX_1>=1)&&(COMPTEUR_10>=1))||((CHOIX_2>=1)&&(COMPTEUR_10>=1)))")&&(X(G("(((CAPACITE>=20)&&(VIDANGE_1>=1))||((CAPACITE>=20)&&(VIDANGE_2>=1)))"))))U("((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((NB_ATTENTE_B_75>=1)&&(ROUTE_B>=1))||((NB_ATTENTE_B_56>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_17>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_36>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_55>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_16>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_6>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_65>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_76>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_46>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_27>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_74>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_57>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_15>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_37>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_54>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_66>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_7>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_28>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_45>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_63>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_14>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_4>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_73>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_38>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_23>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_48>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_29>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_30>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_47>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_13>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_72>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_39>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_5>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_22>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_64>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_41>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_31>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_70>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_51>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_2>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_21>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_50>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_12>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_42>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_61>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_80>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_3>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_40>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_20>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_71>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_11>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_32>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_49>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_79>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_62>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_19>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_24>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_68>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_58>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_34>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_0>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_53>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_33>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_44>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_67>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_59>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_78>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_10>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_8>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_52>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_25>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_69>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_35>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_1>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_18>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_77>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_60>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_26>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_43>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_9>=1)&&(ROUTE_B>=1)))"))&&("(((CHOIX_1>=1)&&(COMPTEUR_10>=1))||((CHOIX_2>=1)&&(COMPTEUR_10>=1)))")))))
Formula 0 simplified : !X((("(((CHOIX_1>=1)&&(COMPTEUR_10>=1))||((CHOIX_2>=1)&&(COMPTEUR_10>=1)))" & XG"(((CAPACITE>=20)&&(VIDANGE_1>=1))||((CAPACITE>=20)&&(VIDANGE_2>=1)))") U "((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((NB_ATTENTE_B_75>=1)&&(ROUTE_B>=1))||((NB_ATTENTE_B_56>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_17>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_36>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_55>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_16>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_6>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_65>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_76>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_46>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_27>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_74>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_57>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_15>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_37>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_54>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_66>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_7>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_28>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_45>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_63>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_14>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_4>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_73>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_38>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_23>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_48>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_29>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_30>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_47>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_13>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_72>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_39>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_5>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_22>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_64>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_41>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_31>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_70>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_51>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_2>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_21>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_50>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_12>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_42>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_61>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_80>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_3>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_40>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_20>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_71>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_11>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_32>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_49>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_79>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_62>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_19>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_24>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_68>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_58>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_34>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_0>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_53>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_33>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_44>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_67>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_59>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_78>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_10>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_8>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_52>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_25>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_69>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_35>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_1>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_18>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_77>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_60>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_26>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_43>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_9>=1)&&(ROUTE_B>=1)))") U ("(((CHOIX_1>=1)&&(COMPTEUR_10>=1))||((CHOIX_2>=1)&&(COMPTEUR_10>=1)))" & (("(((CHOIX_1>=1)&&(COMPTEUR_10>=1))||((CHOIX_2>=1)&&(COMPTEUR_10>=1)))" & XG"(((CAPACITE>=20)&&(VIDANGE_1>=1))||((CAPACITE>=20)&&(VIDANGE_2>=1)))") U "((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((NB_ATTENTE_B_75>=1)&&(ROUTE_B>=1))||((NB_ATTENTE_B_56>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_17>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_36>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_55>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_16>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_6>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_65>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_76>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_46>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_27>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_74>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_57>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_15>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_37>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_54>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_66>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_7>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_28>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_45>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_63>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_14>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_4>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_73>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_38>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_23>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_48>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_29>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_30>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_47>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_13>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_72>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_39>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_5>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_22>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_64>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_41>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_31>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_70>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_51>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_2>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_21>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_50>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_12>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_42>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_61>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_80>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_3>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_40>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_20>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_71>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_11>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_32>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_49>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_79>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_62>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_19>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_24>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_68>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_58>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_34>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_0>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_53>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_33>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_44>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_67>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_59>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_78>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_10>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_8>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_52>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_25>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_69>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_35>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_1>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_18>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_77>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_60>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_26>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_43>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_9>=1)&&(ROUTE_B>=1)))")))
Detected timeout of ITS tools.
[2021-05-09 05:14:51] [INFO ] Flatten gal took : 70 ms
[2021-05-09 05:14:51] [INFO ] Applying decomposition
[2021-05-09 05:14:51] [INFO ] Flatten gal took : 124 ms
Converted graph to binary with : CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.louvain.binaries_1.0.0.202104292328/bin/convert-linux64, -i, /tmp/graph7602041541157864559.txt, -o, /tmp/graph7602041541157864559.bin, -w, /tmp/graph7602041541157864559.weights], workingDir=null]
Built communities with : CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.louvain.binaries_1.0.0.202104292328/bin/louvain-linux64, /tmp/graph7602041541157864559.bin, -l, -1, -v, -w, /tmp/graph7602041541157864559.weights, -q, 0, -e, 0.001], workingDir=null]
[2021-05-09 05:14:51] [INFO ] Decomposing Gal with order
[2021-05-09 05:14:51] [INFO ] Rewriting arrays to variables to allow decomposition.
[2021-05-09 05:14:52] [INFO ] Removed a total of 3713 redundant transitions.
[2021-05-09 05:14:52] [INFO ] Flatten gal took : 943 ms
[2021-05-09 05:14:53] [INFO ] Fuse similar labels procedure discarded/fused a total of 437 labels/synchronizations in 37 ms.
[2021-05-09 05:14:53] [INFO ] Time to serialize gal into /tmp/LTL1302905822974906758.gal : 4 ms
[2021-05-09 05:14:53] [INFO ] Time to serialize properties into /tmp/LTL14584810667000331072.ltl : 2 ms
Invoking ITS tools like this :CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64, --gc-threshold, 2000000, -i, /tmp/LTL1302905822974906758.gal, -t, CGAL, -LTL, /tmp/LTL14584810667000331072.ltl, -c, -stutter-deadlock], workingDir=/home/mcc/execution]

its-ltl command run as :

/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64 --gc-threshold 2000000 -i /tmp/LTL1302905822974906758.gal -t CGAL -LTL /tmp/LTL14584810667000331072.ltl -c -stutter-deadlock
Read 1 LTL properties
Checking formula 0 : !((X((((X(G("(((u0.CAPACITE>=20)&&(i1.u1.VIDANGE_1>=1))||((u0.CAPACITE>=20)&&(u2.VIDANGE_2>=1)))")))&&("(((u0.CHOIX_1>=1)&&(i1.u3.COMPTEUR_10>=1))||((u0.CHOIX_2>=1)&&(i1.u3.COMPTEUR_10>=1)))"))U("((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((u2.NB_ATTENTE_B_75>=1)&&(u2.ROUTE_B>=1))||((u2.NB_ATTENTE_B_56>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_17>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_36>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_55>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_16>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_6>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_65>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_76>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_46>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_27>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_74>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_57>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_15>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_37>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_54>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_66>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_7>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_28>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_45>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_63>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_14>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_4>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_73>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_38>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_23>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_48>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_29>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_30>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_47>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_13>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_72>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_39>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_5>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_22>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_64>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_41>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_31>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_70>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_51>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_2>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_21>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_50>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_12>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_42>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_61>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_80>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_3>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_40>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_20>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_71>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_11>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_32>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_49>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_79>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_62>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_19>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_24>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_68>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_58>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_34>=1)&&(u2.ROUTE_B>=1)))||((i1.u1.NB_ATTENTE_B_0>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_53>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_33>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_44>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_67>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_59>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_78>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_10>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_8>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_52>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_25>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_69>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_35>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_1>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_18>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_77>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_60>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_26>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_43>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_9>=1)&&(u2.ROUTE_B>=1)))"))U(((("(((u0.CHOIX_1>=1)&&(i1.u3.COMPTEUR_10>=1))||((u0.CHOIX_2>=1)&&(i1.u3.COMPTEUR_10>=1)))")&&(X(G("(((u0.CAPACITE>=20)&&(i1.u1.VIDANGE_1>=1))||((u0.CAPACITE>=20)&&(u2.VIDANGE_2>=1)))"))))U("((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((u2.NB_ATTENTE_B_75>=1)&&(u2.ROUTE_B>=1))||((u2.NB_ATTENTE_B_56>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_17>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_36>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_55>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_16>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_6>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_65>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_76>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_46>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_27>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_74>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_57>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_15>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_37>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_54>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_66>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_7>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_28>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_45>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_63>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_14>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_4>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_73>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_38>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_23>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_48>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_29>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_30>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_47>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_13>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_72>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_39>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_5>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_22>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_64>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_41>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_31>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_70>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_51>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_2>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_21>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_50>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_12>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_42>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_61>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_80>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_3>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_40>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_20>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_71>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_11>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_32>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_49>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_79>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_62>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_19>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_24>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_68>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_58>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_34>=1)&&(u2.ROUTE_B>=1)))||((i1.u1.NB_ATTENTE_B_0>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_53>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_33>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_44>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_67>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_59>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_78>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_10>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_8>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_52>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_25>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_69>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_35>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_1>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_18>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_77>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_60>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_26>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_43>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_9>=1)&&(u2.ROUTE_B>=1)))"))&&("(((u0.CHOIX_1>=1)&&(i1.u3.COMPTEUR_10>=1))||((u0.CHOIX_2>=1)&&(i1.u3.COMPTEUR_10>=1)))")))))
Formula 0 simplified : !X((("(((u0.CHOIX_1>=1)&&(i1.u3.COMPTEUR_10>=1))||((u0.CHOIX_2>=1)&&(i1.u3.COMPTEUR_10>=1)))" & XG"(((u0.CAPACITE>=20)&&(i1.u1.VIDANGE_1>=1))||((u0.CAPACITE>=20)&&(u2.VIDANGE_2>=1)))") U "((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((u2.NB_ATTENTE_B_75>=1)&&(u2.ROUTE_B>=1))||((u2.NB_ATTENTE_B_56>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_17>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_36>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_55>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_16>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_6>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_65>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_76>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_46>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_27>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_74>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_57>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_15>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_37>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_54>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_66>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_7>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_28>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_45>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_63>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_14>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_4>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_73>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_38>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_23>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_48>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_29>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_30>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_47>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_13>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_72>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_39>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_5>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_22>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_64>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_41>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_31>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_70>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_51>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_2>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_21>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_50>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_12>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_42>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_61>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_80>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_3>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_40>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_20>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_71>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_11>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_32>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_49>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_79>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_62>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_19>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_24>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_68>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_58>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_34>=1)&&(u2.ROUTE_B>=1)))||((i1.u1.NB_ATTENTE_B_0>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_53>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_33>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_44>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_67>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_59>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_78>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_10>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_8>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_52>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_25>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_69>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_35>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_1>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_18>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_77>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_60>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_26>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_43>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_9>=1)&&(u2.ROUTE_B>=1)))") U ("(((u0.CHOIX_1>=1)&&(i1.u3.COMPTEUR_10>=1))||((u0.CHOIX_2>=1)&&(i1.u3.COMPTEUR_10>=1)))" & (("(((u0.CHOIX_1>=1)&&(i1.u3.COMPTEUR_10>=1))||((u0.CHOIX_2>=1)&&(i1.u3.COMPTEUR_10>=1)))" & XG"(((u0.CAPACITE>=20)&&(i1.u1.VIDANGE_1>=1))||((u0.CAPACITE>=20)&&(u2.VIDANGE_2>=1)))") U "((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((u2.NB_ATTENTE_B_75>=1)&&(u2.ROUTE_B>=1))||((u2.NB_ATTENTE_B_56>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_17>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_36>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_55>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_16>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_6>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_65>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_76>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_46>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_27>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_74>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_57>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_15>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_37>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_54>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_66>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_7>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_28>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_45>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_63>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_14>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_4>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_73>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_38>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_23>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_48>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_29>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_30>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_47>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_13>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_72>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_39>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_5>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_22>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_64>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_41>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_31>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_70>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_51>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_2>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_21>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_50>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_12>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_42>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_61>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_80>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_3>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_40>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_20>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_71>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_11>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_32>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_49>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_79>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_62>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_19>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_24>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_68>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_58>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_34>=1)&&(u2.ROUTE_B>=1)))||((i1.u1.NB_ATTENTE_B_0>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_53>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_33>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_44>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_67>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_59>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_78>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_10>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_8>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_52>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_25>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_69>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_35>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_1>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_18>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_77>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_60>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_26>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_43>=1)&&(u2.ROUTE_B>=1)))||((u2.NB_ATTENTE_B_9>=1)&&(u2.ROUTE_B>=1)))")))
Detected timeout of ITS tools.
Built C files in :
/tmp/ltsmin878094704131592996
[2021-05-09 05:15:08] [INFO ] Built C files in 49ms conformant to PINS (ltsmin variant)in folder :/tmp/ltsmin878094704131592996
Running compilation step : CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/include/, -I., -std=c99, -fPIC, -O2, model.c], workingDir=/tmp/ltsmin878094704131592996]
WARNING : LTS min runner thread failed on error :java.lang.RuntimeException: Compilation or link of executable timed out.java.util.concurrent.TimeoutException: Subprocess running CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/include/, -I., -std=c99, -fPIC, -O2, model.c], workingDir=/tmp/ltsmin878094704131592996] killed by timeout after 1 SECONDS
java.lang.RuntimeException: Compilation or link of executable timed out.java.util.concurrent.TimeoutException: Subprocess running CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/include/, -I., -std=c99, -fPIC, -O2, model.c], workingDir=/tmp/ltsmin878094704131592996] killed by timeout after 1 SECONDS
at fr.lip6.move.gal.application.LTSminRunner$1.run(LTSminRunner.java:79)
at java.base/java.lang.Thread.run(Thread.java:834)
Treatment of property BridgeAndVehicles-PT-V80P20N10-01 finished in 45547 ms.
Running Spot : CommandLine [args=[/home/mcc/BenchKit/bin//..//ltl2tgba, --hoaf=tv, -f, !(X(F((X((p1&&X(!p2)))||p0))))], workingDir=/home/mcc/execution]
Support contains 98 out of 186 places. Attempting structural reductions.
Starting structural reductions, iteration 0 : 186/186 places, 2108/2108 transitions.
Applied a total of 0 rules in 10 ms. Remains 186 /186 variables (removed 0) and now considering 2108/2108 (removed 0) transitions.
[2021-05-09 05:15:09] [INFO ] Flow matrix only has 370 transitions (discarded 1738 similar events)
// Phase 1: matrix 370 rows 186 cols
[2021-05-09 05:15:09] [INFO ] Computed 5 place invariants in 1 ms
[2021-05-09 05:15:09] [INFO ] Dead Transitions using invariants and state equation in 777 ms returned []
[2021-05-09 05:15:09] [INFO ] Flow matrix only has 370 transitions (discarded 1738 similar events)
// Phase 1: matrix 370 rows 186 cols
[2021-05-09 05:15:09] [INFO ] Computed 5 place invariants in 4 ms
[2021-05-09 05:15:10] [INFO ] Implicit Places using invariants in 121 ms returned []
[2021-05-09 05:15:10] [INFO ] Flow matrix only has 370 transitions (discarded 1738 similar events)
// Phase 1: matrix 370 rows 186 cols
[2021-05-09 05:15:10] [INFO ] Computed 5 place invariants in 2 ms
[2021-05-09 05:15:10] [INFO ] State equation strengthened by 22 read => feed constraints.
[2021-05-09 05:15:11] [INFO ] Implicit Places using invariants and state equation in 999 ms returned [82, 168]
Discarding 2 places :
Implicit Place search using SMT with State Equation took 1149 ms to find 2 implicit places.
[2021-05-09 05:15:11] [INFO ] Flow matrix only has 370 transitions (discarded 1738 similar events)
// Phase 1: matrix 370 rows 184 cols
[2021-05-09 05:15:11] [INFO ] Computed 5 place invariants in 2 ms
[2021-05-09 05:15:11] [INFO ] Dead Transitions using invariants and state equation in 837 ms returned []
Starting structural reductions, iteration 1 : 184/186 places, 2108/2108 transitions.
Applied a total of 0 rules in 13 ms. Remains 184 /184 variables (removed 0) and now considering 2108/2108 (removed 0) transitions.
[2021-05-09 05:15:11] [INFO ] Flow matrix only has 370 transitions (discarded 1738 similar events)
// Phase 1: matrix 370 rows 184 cols
[2021-05-09 05:15:11] [INFO ] Computed 5 place invariants in 1 ms
[2021-05-09 05:15:12] [INFO ] Dead Transitions using invariants and state equation in 686 ms returned []
Finished structural reductions, in 2 iterations. Remains : 184/186 places, 2108/2108 transitions.
Stuttering acceptance computed with spot in 122 ms :[(OR (AND (NOT p0) (NOT p1)) (AND (NOT p0) p2)), (AND (NOT p0) p2), (OR (AND (NOT p0) (NOT p1)) (AND (NOT p0) p2)), (OR (AND (NOT p0) (NOT p1)) (AND (NOT p0) p2))]
Running random walk in product with property : BridgeAndVehicles-PT-V80P20N10-03 automaton TGBA [mat=[[{ cond=true, acceptance={} source=0 dest: 2}], [{ cond=(AND (NOT p0) p1 p2), acceptance={0} source=1 dest: 1}, { cond=(AND (NOT p0) (NOT p1) p2), acceptance={0} source=1 dest: 3}], [{ cond=(NOT p0), acceptance={} source=2 dest: 3}], [{ cond=(AND (NOT p0) p1), acceptance={0} source=3 dest: 1}, { cond=(AND (NOT p0) (NOT p1)), acceptance={0} source=3 dest: 3}]], initial=0, aps=[p0:(OR (GEQ s82 1) (AND (GEQ s168 1) (GEQ s178 1)) (AND (GEQ s168 1) (GEQ s177 1)) (AND (GEQ s168 1) (GEQ s180 1)) (AND (GEQ s168 1) (GEQ s179 1)) (AND (GEQ s168 1) (GEQ s182 1)) (AND (GEQ s168 1) (GEQ s181 1)) (AND (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s167 1) (GEQ s181 1)) (AND (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s167 1) (GEQ s182 1)) (AND (GEQ s168 1) (GEQ s174 1)) (AND (GEQ s168 1) (GEQ s173 1)) (AND (GEQ s168 1) (GEQ s176 1)) (AND (GEQ s168 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s109 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s104 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s162 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s115 1) (GEQ s182 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s138 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s144 1) (GEQ s182 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s103 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s108 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s145 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s116 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s133 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s137 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s151 1) (GEQ s183 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s126 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s131 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s146 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s93 1) (GEQ s183 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s136 1) (GEQ s183 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s111 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s87 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s132 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s130 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s147 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s152 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s88 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s110 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s153 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s129 1) (GEQ s182 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s124 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s89 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s159 1) (GEQ s182 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s100 1) (GEQ s182 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s147 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s123 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s154 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s94 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s125 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s95 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s146 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s112 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s155 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s166 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s161 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s117 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s165 1) (GEQ s183 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s141 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s96 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s102 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s140 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s118 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s139 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s160 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s97 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s101 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s122 1) (GEQ s183 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s109 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s134 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s162 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s138 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s145 1) (GEQ s182 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s103 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s116 1) (GEQ s182 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s163 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s137 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s133 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s126 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s87 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s131 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s146 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s111 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s132 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s108 1) (GEQ s183 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s136 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s147 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s127 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s135 1) (GEQ s183 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s152 1) (GEQ s183 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s88 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s130 1) (GEQ s182 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s110 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s148 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s148 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s153 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s95 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s124 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s89 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s113 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s100 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s90 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s123 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s159 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s149 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s154 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s94 1) (GEQ s183 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s125 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s99 1) (GEQ s182 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s112 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s158 1) (GEQ s182 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s107 1) (GEQ s183 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s166 1) (GEQ s183 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s160 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s121 1) (GEQ s183 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s102 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s117 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s96 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s139 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s140 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s161 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s97 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s101 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s122 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s99 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s104 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s110 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s138 1) (GEQ s183 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s98 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s91 1) (GEQ s183 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s120 1) (GEQ s183 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s103 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s87 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s146 1) (GEQ s182 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s92 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s106 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s111 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s130 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s157 1) (GEQ s182 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s88 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s93 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s105 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s147 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s109 1) (GEQ s183 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s131 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s158 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s143 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s148 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s163 1) (GEQ s183 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s129 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s153 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s124 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s123 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s94 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s149 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s113 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s159 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s142 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s128 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s112 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s125 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s150 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s95 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s102 1) (GEQ s182 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s160 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s141 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s165 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s96 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s127 1) (GEQ s182 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s166 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s121 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s140 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s164 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s122 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s161 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s139 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s114 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s104 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s119 1) (GEQ s183 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s110 1) (GEQ s183 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s98 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s138 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s103 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s111 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s137 1) (GEQ s183 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s120 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s131 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s87 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s132 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s157 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s130 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s147 1) (GEQ s182 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s93 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s105 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s92 1) (GEQ s183 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s156 1) (GEQ s182 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s158 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s148 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s129 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s123 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s124 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s94 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s149 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s113 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s142 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s128 1) (GEQ s182 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s159 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s95 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s150 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s160 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s112 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s141 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s165 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s151 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s166 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s121 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s96 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s102 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s101 1) (GEQ s182 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s115 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s140 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s97 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s122 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s139 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s164 1) (GEQ s183 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s114 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s90 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s128 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s89 1) (GEQ s183 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s134 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s140 1) (GEQ s183 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s133 1) (GEQ s182 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s91 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s129 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s108 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s92 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s121 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s112 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s97 1) (GEQ s182 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s155 1) (GEQ s182 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s93 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s115 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s144 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s113 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s157 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s122 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s127 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s126 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s156 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s114 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s119 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s158 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s143 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s148 1) (GEQ s182 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s163 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s104 1) (GEQ s183 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s149 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s94 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s98 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s120 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s159 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s142 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s162 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s100 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s111 1) (GEQ s182 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s150 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s99 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s141 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s165 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s136 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s107 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s106 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s130 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s151 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s166 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s164 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s118 1) (GEQ s182 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s105 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s152 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s135 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s114 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s90 1) (GEQ s183 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s134 1) (GEQ s182 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s109 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s115 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s91 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s108 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s128 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s154 1) (GEQ s182 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s129 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s121 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s116 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s161 1) (GEQ s183 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s112 1) (GEQ s182 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s92 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s127 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s145 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s155 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s113 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s93 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s144 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s157 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s126 1) (GEQ s183 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s156 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s99 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s143 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s163 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s158 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s149 1) (GEQ s182 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s94 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s125 1) (GEQ s183 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s104 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s119 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s98 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s142 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s162 1) (GEQ s183 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s120 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s150 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s95 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s103 1) (GEQ s183 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s117 1) (GEQ s182 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s141 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s165 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s106 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s107 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s151 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s166 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s130 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s140 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s164 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s105 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s135 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s131 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s152 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s118 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s139 1) (GEQ s183 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s109 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s114 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s90 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s95 1) (GEQ s182 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s89 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s134 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s153 1) (GEQ s182 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s128 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s115 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s108 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s146 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s133 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s91 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s116 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s154 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s97 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s161 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s126 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s131 1) (GEQ s182 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s155 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s132 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s145 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s92 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s142 1) (GEQ s183 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s110 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s96 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s127 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s144 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s113 1) (GEQ s182 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s157 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s156 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s143 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s160 1) (GEQ s183 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s138 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s119 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s100 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s125 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s137 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s98 1) (GEQ s182 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s120 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s150 1) (GEQ s182 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s162 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s99 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s163 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s124 1) (GEQ s183 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s136 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s107 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s151 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s102 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s164 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s117 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s106 1) (GEQ s183 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s135 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s101 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s118 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s152 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s88 1) (GEQ s183 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s109 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s114 1) (GEQ s182 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s90 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s159 1) (GEQ s183 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s89 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s128 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s115 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s153 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s134 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s147 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s108 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s91 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s146 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s133 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s154 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s116 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s129 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s141 1) (GEQ s183 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s97 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s92 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s126 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s161 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s132 1) (GEQ s182 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s145 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s155 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s142 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s111 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s96 1) (GEQ s182 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s110 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s127 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s156 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s160 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s93 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s124 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s119 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s143 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s163 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s144 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s100 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s123 1) (GEQ s183 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s125 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s137 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s98 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s162 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s99 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s151 1) (GEQ s182 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s136 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s107 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s106 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s87 1) (GEQ s183 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s117 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s152 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s164 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s88 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s101 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s118 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s105 1) (GEQ s183 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s135 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s165 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s129 1) (GEQ s183 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s89 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s100 1) (GEQ s183 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s124 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s119 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s94 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s159 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s90 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s123 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s147 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s153 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s154 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s125 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s95 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s158 1) (GEQ s183 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s155 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s166 1) (GEQ s182 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s117 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s96 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s102 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s140 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s161 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s139 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s160 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s118 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s97 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s101 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s122 1) (GEQ s182 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s104 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s109 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s115 1) (GEQ s183 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s162 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s138 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s144 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s134 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s108 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s103 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s145 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s116 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s133 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s137 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s131 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s146 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s93 1) (GEQ s182 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s87 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s136 1) (GEQ s182 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s111 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s132 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s105 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s110 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s152 1) (GEQ s182 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s130 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s88 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s148 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s148 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s153 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s95 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s89 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s124 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s90 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s100 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s123 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s154 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s125 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s159 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s94 1) (GEQ s182 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s91 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s99 1) (GEQ s183 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s158 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s112 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s107 1) (GEQ s182 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s160 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s121 1) (GEQ s182 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s117 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s96 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s102 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s139 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s118 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s122 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s143 1) (GEQ s183 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s161 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s97 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s101 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s138 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s109 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s104 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s134 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s162 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s144 1) (GEQ s183 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s108 1) (GEQ s182 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s103 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s116 1) (GEQ s183 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s145 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s163 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s137 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s133 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s87 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s126 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s131 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s146 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s111 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s132 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s136 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s157 1) (GEQ s183 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s149 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s135 1) (GEQ s182 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s147 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s110 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s88 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s130 1) (GEQ s183 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s148 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s153 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s158 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s129 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s123 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s124 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s94 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s113 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s149 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s117 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s128 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s142 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s159 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s112 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s150 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s95 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s102 1) (GEQ s183 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s141 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s160 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s165 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s127 1) (GEQ s183 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s166 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s121 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s140 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s164 1) (GEQ s182 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s135 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s122 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s161 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s139 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s104 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s98 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s138 1) (GEQ s182 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s91 1) (GEQ s182 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s145 1) (GEQ s183 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s120 1) (GEQ s182 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s103 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s87 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s146 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s156 1) (GEQ s183 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s92 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s106 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s111 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s107 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s157 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s132 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s147 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s130 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s93 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s105 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s110 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s88 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s109 1) (GEQ s182 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s131 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s158 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s89 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s148 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s123 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s129 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s94 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s113 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s149 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s159 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s128 1) (GEQ s183 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s95 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s112 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s150 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s102 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s160 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s141 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s96 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s165 1) (GEQ s182 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s166 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s151 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s121 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s101 1) (GEQ s183 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s122 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s140 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s139 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s114 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s104 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s119 1) (GEQ s182 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s133 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s110 1) (GEQ s182 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s138 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s103 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s111 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s137 1) (GEQ s182 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s120 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s131 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s146 1) (GEQ s183 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s92 1) (GEQ s182 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s106 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s87 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s155 1) (GEQ s183 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s132 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s88 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s130 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s157 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s93 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s105 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s147 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s156 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s163 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s119 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s143 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s148 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s158 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s149 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s100 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s104 1) (GEQ s182 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s142 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s98 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s159 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s162 1) (GEQ s182 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s111 1) (GEQ s183 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s137 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s150 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s120 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s99 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s141 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s165 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s136 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s101 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s107 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s106 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s151 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s140 1) (GEQ s182 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s166 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s118 1) (GEQ s183 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s164 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s105 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s152 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s135 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s114 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s134 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s90 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s123 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s128 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s89 1) (GEQ s182 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s133 1) (GEQ s183 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s91 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s129 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s154 1) (GEQ s183 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s141 1) (GEQ s182 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s92 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s116 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s121 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s87 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s126 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s97 1) (GEQ s183 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s112 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s155 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s115 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s93 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s113 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s122 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s157 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s147 1) (GEQ s183 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s127 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s156 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s143 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s99 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s163 1) (GEQ s182 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s158 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s148 1) (GEQ s183 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s149 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s125 1) (GEQ s182 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s104 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s94 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s119 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s98 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s120 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s100 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s142 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s150 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s103 1) (GEQ s182 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s117 1) (GEQ s183 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s141 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s165 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s136 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s106 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s107 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s140 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s166 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s130 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s151 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s118 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s164 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s105 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s135 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s152 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s139 1) (GEQ s182 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s134 1) (GEQ s183 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s90 1) (GEQ s182 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s114 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s128 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s153 1) (GEQ s183 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s115 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s91 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s154 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s129 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s108 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s116 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s92 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s112 1) (GEQ s183 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s121 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s127 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s155 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s113 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s93 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s144 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s122 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s157 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s126 1) (GEQ s182 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s156 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s124 1) (GEQ s182 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s163 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s138 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s149 1) (GEQ s183 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s100 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s119 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s120 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s125 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s137 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s150 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s162 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s98 1) (GEQ s183 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s99 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s107 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s102 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s117 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s151 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s106 1) (GEQ s182 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s164 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s136 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s135 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s88 1) (GEQ s182 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s101 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s118 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s152 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s109 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s114 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s95 1) (GEQ s183 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s90 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s89 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s115 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s153 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s134 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s108 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s103 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s133 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s91 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s116 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s154 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s126 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s97 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s161 1) (GEQ s182 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s131 1) (GEQ s183 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s121 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s132 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s145 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s155 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s96 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s127 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s139 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s144 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s113 1) (GEQ s183 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s143 1) (GEQ s182 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s157 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s156 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s124 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s143 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s163 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s138 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s144 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s119 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s100 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s123 1) (GEQ s182 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s125 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s137 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s142 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s98 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s120 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s162 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s145 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s150 1) (GEQ s183 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s99 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s165 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s136 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s151 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s107 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s102 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s106 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s117 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s87 1) (GEQ s182 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s152 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s164 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s88 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s101 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s118 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s105 1) (GEQ s182 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s135 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s109 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s90 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s114 1) (GEQ s183 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s115 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s89 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s128 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s134 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s153 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s91 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s108 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s116 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s146 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s133 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s154 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s97 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s155 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s161 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s126 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s132 1) (GEQ s183 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s145 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s92 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s142 1) (GEQ s182 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s96 1) (GEQ s183 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s110 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s127 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s144 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s156 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s143 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s84 1) (GEQ s160 1) (GEQ s182 1))), p1:(OR (AND (GEQ s168 1) (GEQ s178 1)) (AND (GEQ s168 1) (GEQ s177 1)) (AND (GEQ s168 1) (GEQ s180 1)) (AND (GEQ s168 1) (GEQ s179 1)) (AND (GEQ s168 1) (GEQ s182 1)) (AND (GEQ s168 1) (GEQ s181 1)) (AND (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s167 1) (GEQ s181 1)) (AND (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s167 1) (GEQ s182 1)) (AND (GEQ s168 1) (GEQ s174 1)) (AND (GEQ s168 1) (GEQ s173 1)) (AND (GEQ s168 1) (GEQ s176 1)) (AND (GEQ s168 1) (GEQ s175 1))), p2:(AND (OR (LT s161 1) (LT s172 1)) (OR (LT s142 1) (LT s172 1)) (OR (LT s103 1) (LT s172 1)) (OR (LT s122 1) (LT s172 1)) (OR (LT s141 1) (LT s172 1)) (OR (LT s102 1) (LT s172 1)) (OR (LT s92 1) (LT s172 1)) (OR (LT s151 1) (LT s172 1)) (OR (LT s162 1) (LT s172 1)) (OR (LT s132 1) (LT s172 1)) (OR (LT s113 1) (LT s172 1)) (OR (LT s160 1) (LT s172 1)) (OR (LT s143 1) (LT s172 1)) (OR (LT s101 1) (LT s172 1)) (OR (LT s123 1) (LT s172 1)) (OR (LT s140 1) (LT s172 1)) (OR (LT s152 1) (LT s172 1)) (OR (LT s93 1) (LT s172 1)) (OR (LT s114 1) (LT s172 1)) (OR (LT s131 1) (LT s172 1)) (OR (LT s149 1) (LT s172 1)) (OR (LT s100 1) (LT s172 1)) (OR (LT s90 1) (LT s172 1)) (OR (LT s159 1) (LT s172 1)) (OR (LT s124 1) (LT s172 1)) (OR (LT s109 1) (LT s172 1)) (OR (LT s134 1) (LT s172 1)) (OR (LT s115 1) (LT s172 1)) (OR (LT s116 1) (LT s172 1)) (OR (LT s133 1) (LT s172 1)) (OR (LT s99 1) (LT s172 1)) (OR (LT s158 1) (LT s172 1)) (OR (LT s125 1) (LT s172 1)) (OR (LT s91 1) (LT s172 1)) (OR (LT s108 1) (LT s172 1)) (OR (LT s150 1) (LT s172 1)) (OR (LT s127 1) (LT s172 1)) (OR (LT s117 1) (LT s172 1)) (OR (LT s156 1) (LT s172 1)) (OR (LT s137 1) (LT s172 1)) (OR (LT s88 1) (LT s172 1)) (OR (LT s107 1) (LT s172 1)) (OR (LT s136 1) (LT s172 1)) (OR (LT s98 1) (LT s172 1)) (OR (LT s128 1) (LT s172 1)) (OR (LT s147 1) (LT s172 1)) (OR (LT s166 1) (LT s172 1)) (OR (LT s89 1) (LT s172 1)) (OR (LT s126 1) (LT s172 1)) (OR (LT s106 1) (LT s172 1)) (OR (LT s157 1) (LT s172 1)) (OR (LT s97 1) (LT s172 1)) (OR (LT s118 1) (LT s172 1)) (OR (LT s135 1) (LT s172 1)) (OR (LT s165 1) (LT s172 1)) (OR (LT s148 1) (LT s172 1)) (OR (LT s105 1) (LT s172 1)) (OR (LT s110 1) (LT s172 1)) (OR (LT s154 1) (LT s172 1)) (OR (LT s144 1) (LT s172 1)) (OR (LT s120 1) (LT s172 1)) (OR (LT s86 1) (LT s172 1)) (OR (LT s139 1) (LT s172 1)) (OR (LT s119 1) (LT s172 1)) (OR (LT s130 1) (LT s172 1)) (OR (LT s153 1) (LT s172 1)) (OR (LT s145 1) (LT s172 1)) (OR (LT s164 1) (LT s172 1)) (OR (LT s96 1) (LT s172 1)) (OR (LT s94 1) (LT s172 1)) (OR (LT s138 1) (LT s172 1)) (OR (LT s111 1) (LT s172 1)) (OR (LT s155 1) (LT s172 1)) (OR (LT s121 1) (LT s172 1)) (OR (LT s87 1) (LT s172 1)) (OR (LT s104 1) (LT s172 1)) (OR (LT s163 1) (LT s172 1)) (OR (LT s146 1) (LT s172 1)) (OR (LT s112 1) (LT s172 1)) (OR (LT s129 1) (LT s172 1)) (OR (LT s95 1) (LT s172 1)))], nbAcceptance=1, properties=[trans-labels, explicit-labels, trans-acc, deterministic, no-univ-branch, unambiguous, semi-deterministic, weak, inherently-weak], stateDesc=[null, null, null, null][false, false, false, false]]
Product exploration explored 100000 steps with 35393 reset in 2344 ms.
Product exploration explored 100000 steps with 35616 reset in 2163 ms.
Knowledge obtained : [p0, p1, (NOT p2)]
Stuttering acceptance computed with spot in 168 ms :[(OR (AND (NOT p0) (NOT p1)) (AND (NOT p0) p2)), (AND (NOT p0) p2), (OR (AND (NOT p0) (NOT p1)) (AND (NOT p0) p2)), (OR (AND (NOT p0) (NOT p1)) (AND (NOT p0) p2))]
Product exploration explored 100000 steps with 35301 reset in 2357 ms.
Product exploration explored 100000 steps with 35398 reset in 2450 ms.
[2021-05-09 05:15:23] [INFO ] Flatten gal took : 118 ms
[2021-05-09 05:15:23] [INFO ] Flatten gal took : 87 ms
[2021-05-09 05:15:23] [INFO ] Time to serialize gal into /tmp/LTL3653189414741074931.gal : 25 ms
[2021-05-09 05:15:23] [INFO ] Time to serialize properties into /tmp/LTL16994210104025496800.ltl : 81 ms
Invoking ITS tools like this :CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64, --gc-threshold, 2000000, -i, /tmp/LTL3653189414741074931.gal, -t, CGAL, -LTL, /tmp/LTL16994210104025496800.ltl, -c, -stutter-deadlock, --gen-order, FOLLOW], workingDir=/home/mcc/execution]

its-ltl command run as :

/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64 --gc-threshold 2000000 -i /tmp/LTL3653189414741074931.gal -t CGAL -LTL /tmp/LTL16994210104025496800.ltl -c -stutter-deadlock --gen-order FOLLOW
Read 1 LTL properties
Checking formula 0 : !((X(F(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((("(SUR_PONT_A>=1)")||(X(("(((((((((((((((((((((CHOIX_2>=1)&&(COMPTEUR_5>=1))||((CHOIX_2>=1)&&(COMPTEUR_4>=1)))||((CHOIX_2>=1)&&(COMPTEUR_7>=1)))||((CHOIX_2>=1)&&(COMPTEUR_6>=1)))||((CHOIX_2>=1)&&(COMPTEUR_9>=1)))||((CHOIX_2>=1)&&(COMPTEUR_8>=1)))||((CHOIX_1>=1)&&(COMPTEUR_0>=1)))||((CHOIX_1>=1)&&(COMPTEUR_4>=1)))||((CHOIX_1>=1)&&(COMPTEUR_3>=1)))||((CHOIX_1>=1)&&(COMPTEUR_2>=1)))||((CHOIX_1>=1)&&(COMPTEUR_1>=1)))||((CHOIX_1>=1)&&(COMPTEUR_8>=1)))||((CHOIX_1>=1)&&(COMPTEUR_7>=1)))||((CHOIX_1>=1)&&(COMPTEUR_6>=1)))||((CHOIX_1>=1)&&(COMPTEUR_5>=1)))||((CHOIX_1>=1)&&(COMPTEUR_9>=1)))||((CHOIX_2>=1)&&(COMPTEUR_1>=1)))||((CHOIX_2>=1)&&(COMPTEUR_0>=1)))||((CHOIX_2>=1)&&(COMPTEUR_3>=1)))||((CHOIX_2>=1)&&(COMPTEUR_2>=1)))")&&(X("((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((NB_ATTENTE_B_75>=1)&&(ROUTE_B>=1))||((NB_ATTENTE_B_56>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_17>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_36>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_55>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_16>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_6>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_65>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_76>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_46>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_27>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_74>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_57>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_15>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_37>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_54>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_66>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_7>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_28>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_45>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_63>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_14>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_4>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_73>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_38>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_23>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_48>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_29>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_30>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_47>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_13>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_72>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_39>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_5>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_22>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_64>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_41>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_31>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_70>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_51>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_2>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_21>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_50>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_12>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_42>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_61>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_80>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_3>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_40>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_20>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_71>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_11>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_32>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_49>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_79>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_62>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_19>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_24>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_68>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_58>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_34>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_0>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_53>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_33>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_44>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_67>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_59>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_78>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_10>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_8>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_52>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_25>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_69>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_35>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_1>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_18>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_77>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_60>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_26>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_43>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_9>=1)&&(ROUTE_B>=1)))")))))||("((CHOIX_2>=1)&&(COMPTEUR_5>=1))"))||("((CHOIX_2>=1)&&(COMPTEUR_4>=1))"))||("((CHOIX_2>=1)&&(COMPTEUR_7>=1))"))||("((CHOIX_2>=1)&&(COMPTEUR_6>=1))"))||("((CHOIX_2>=1)&&(COMPTEUR_9>=1))"))||("((CHOIX_2>=1)&&(COMPTEUR_8>=1))"))||("((CHOIX_1>=1)&&(COMPTEUR_0>=1))"))||("((CHOIX_1>=1)&&(COMPTEUR_4>=1))"))||("((CHOIX_1>=1)&&(COMPTEUR_3>=1))"))||("((CHOIX_1>=1)&&(COMPTEUR_2>=1))"))||("((CHOIX_1>=1)&&(COMPTEUR_1>=1))"))||("((CHOIX_1>=1)&&(COMPTEUR_8>=1))"))||("((CHOIX_1>=1)&&(COMPTEUR_7>=1))"))||("((CHOIX_1>=1)&&(COMPTEUR_6>=1))"))||("((CHOIX_1>=1)&&(COMPTEUR_5>=1))"))||("((CHOIX_1>=1)&&(COMPTEUR_9>=1))"))||("((CHOIX_2>=1)&&(COMPTEUR_1>=1))"))||("((CHOIX_2>=1)&&(COMPTEUR_0>=1))"))||("((CHOIX_2>=1)&&(COMPTEUR_3>=1))"))||("((CHOIX_2>=1)&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_23>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_18>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_76>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_29>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_52>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_58>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_17>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_22>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_59>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_30>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_47>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_51>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_65>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_40>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_45>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_60>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_7>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_50>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_25>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_1>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_46>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_44>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_61>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_66>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_2>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_24>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_67>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_43>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_38>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_3>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_73>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_14>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_61>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_37>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_68>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_8>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_39>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_9>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_60>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_26>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_69>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_80>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_75>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_31>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_79>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_55>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_10>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_16>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_54>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_32>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_53>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_74>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_11>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_15>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_36>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_23>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_48>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_76>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_52>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_59>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_17>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_30>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_77>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_51>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_47>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_40>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_1>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_45>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_60>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_25>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_46>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_22>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_50>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_61>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_41>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_49>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_66>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_2>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_44>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_24>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_62>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_62>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_67>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_9>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_38>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_3>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_27>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_14>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_4>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_37>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_73>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_63>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_68>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_8>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_39>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_13>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_26>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_72>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_21>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_80>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_74>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_35>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_16>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_31>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_10>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_53>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_54>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_75>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_11>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_15>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_36>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_13>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_18>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_24>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_52>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_12>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_5>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_34>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_17>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_1>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_60>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_6>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_20>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_25>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_44>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_71>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_2>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_7>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_19>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_61>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_23>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_45>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_72>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_57>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_62>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_77>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_43>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_67>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_38>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_37>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_8>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_63>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_27>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_73>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_56>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_42>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_26>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_39>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_64>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_9>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_16>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_74>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_55>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_79>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_10>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_41>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_80>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_35>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_54>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_78>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_36>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_75>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_53>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_28>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_18>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_33>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_24>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_12>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_52>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_17>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_25>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_51>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_34>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_45>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_1>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_46>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_71>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_44>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_61>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_7>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_19>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_6>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_70>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_72>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_62>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_43>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_37>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_38>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_8>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_63>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_27>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_56>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_42>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_73>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_9>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_64>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_74>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_26>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_55>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_79>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_65>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_80>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_35>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_10>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_16>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_15>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_29>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_54>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_11>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_36>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_53>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_78>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_28>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_4>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_42>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_3>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_48>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_54>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_47>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_5>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_43>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_22>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_6>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_35>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_26>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_11>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_69>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_7>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_29>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_58>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_27>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_71>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_36>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_41>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_40>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_70>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_28>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_33>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_72>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_57>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_62>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_77>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_18>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_63>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_8>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_12>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_34>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_73>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_56>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_76>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_14>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_25>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_64>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_13>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_55>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_79>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_50>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_21>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_20>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_44>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_65>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_80>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_78>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_32>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_19>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_66>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_49>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_28>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_4>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_48>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_23>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_29>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_5>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_22>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_42>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_68>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_43>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_35>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_30>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_75>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_26>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_6>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_41>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_59>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_69>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_27>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_7>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_58>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_71>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_40>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_70>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_13>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_57>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_77>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_72>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_63>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_8>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_39>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_18>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_33>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_12>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_56>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_76>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_34>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_64>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_9>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_17>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_31>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_55>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_79>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_20>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_21>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_65>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_80>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_44>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_54>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_78>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_19>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_49>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_45>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_66>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_32>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_53>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_23>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_28>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_4>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_9>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_3>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_48>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_67>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_42>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_29>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_22>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_60>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_47>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_5>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_30>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_68>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_11>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_75>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_40>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_45>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_69>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_46>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_59>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_6>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_56>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_24>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_10>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_41>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_58>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_27>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_71>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_70>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_57>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_74>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_52>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_33>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_14>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_39>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_51>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_12>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_34>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_64>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_76>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_13>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_77>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_38>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_50>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_21>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_65>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_16>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_78>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_31>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_20>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_49>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_15>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_32>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_66>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_2>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_23>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_28>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_4>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_73>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_3>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_42>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_29>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_67>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_48>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_61>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_22>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_5>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_60>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_47>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_68>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_30>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_43>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_55>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_11>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_6>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_40>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_75>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_46>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_59>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_69>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_56>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_25>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_10>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_24>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_41>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_70>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_74>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_7>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_38>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_33>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_57>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_77>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_58>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_14>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_37>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_39>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_51>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_12>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_76>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_13>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_65>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_50>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_21>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_20>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_1>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_31>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_66>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_78>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_2>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_15>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_32>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_19>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_49>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_79>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_43>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_3>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_14>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_38>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_33>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_8>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_73>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_4>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_37>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_61>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_67>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_68>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_39>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_9>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_72>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_69>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_80>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_31>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_10>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_16>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_54>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_75>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_53>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_74>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_32>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_11>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_15>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_36>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_18>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_23>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_29>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_76>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_52>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_58>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_48>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_22>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_17>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_59>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_30>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_47>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_51>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_45>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_60>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_7>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_1>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_50>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_25>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_46>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_19>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_24>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_66>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_44>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_2>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_62>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_62>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_67>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_9>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_3>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_38>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_4>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_14>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_37>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_68>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_39>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_73>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_8>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_5>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_13>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_72>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_26>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_21>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_74>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_35>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_31>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_10>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_16>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_53>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_32>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_36>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_57>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_75>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_11>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_15>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_52>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_23>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_18>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_48>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_76>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_58>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_22>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_17>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_30>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_59>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_77>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_51>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_47>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_1>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_40>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_45>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_60>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_25>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_46>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_50>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_71>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_63>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_49>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_61>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_24>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_2>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_44>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_62>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_67>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_72>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_43>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_37>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_38>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_8>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_27>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_63>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_31>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_42>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_56>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_73>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_26>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_64>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_9>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_16>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_55>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_74>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_79>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_41>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_80>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_35>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_54>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_78>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_49>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_36>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_75>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_53>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_18>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_12>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_52>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_5>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_59>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_34>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_17>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_1>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_60>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_70>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_6>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_20>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_25>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_21>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_71>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_46>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_61>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_44>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_7>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_19>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_24>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_2>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_23>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_45>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_72>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_3>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_62>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_37>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_43>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_8>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_27>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_63>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_73>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_42>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_9>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_26>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_64>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_16>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_74>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_55>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_10>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_79>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_80>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_65>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_35>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_15>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_36>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_54>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_53>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_28>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_18>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_33>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_47>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_24>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_52>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_17>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_25>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_51>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_34>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_45>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_60>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_6>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_20>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_1>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_69>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_46>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_2>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_44>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_71>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_7>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_19>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_61>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_70>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_77>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_33>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_57>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_62>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_72>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_63>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_14>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_18>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_56>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_12>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_73>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_76>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_25>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_51>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_64>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_34>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_13>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_55>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_79>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_50>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_15>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_21>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_20>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_65>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_54>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_80>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_32>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_78>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_19>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_66>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_49>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_28>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_48>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_4>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_37>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_42>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_3>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_47>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_5>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_43>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_68>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_55>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_6>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_30>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_35>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_1>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_40>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_11>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_26>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_69>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_29>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_7>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_27>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_36>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_71>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_61>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_41>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_70>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_57>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_13>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_77>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_72>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_62>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_63>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_39>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_18>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_8>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_33>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_12>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_34>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_14>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_56>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_64>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_17>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_31>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_55>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_79>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_50>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_20>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_21>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_54>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_80>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_44>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_65>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_32>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_78>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_19>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_49>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_66>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_53>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_48>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_4>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_28>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_42>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_67>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_29>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_5>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_68>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_43>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_22>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_30>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_6>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_26>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_35>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_41>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_69>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_27>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_7>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_58>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_36>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_71>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_40>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_70>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_38>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_77>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_52>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_63>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_14>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_33>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_34>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_39>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_51>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_64>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_76>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_12>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_13>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_21>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_16>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_31>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_65>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_20>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_78>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_50>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_49>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_2>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_15>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_32>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_66>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_23>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_28>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_9>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_4>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_3>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_29>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_67>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_48>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_22>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_17>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_47>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_5>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_30>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_68>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_40>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_11>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_75>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_45>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_35>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_46>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_59>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_69>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_10>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_41>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_53>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_58>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_27>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_57>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_71>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_70>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_38>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_57>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_77>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_52>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_58>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_33>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_14>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_37>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_39>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_51>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_56>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_12>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_34>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_76>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_59>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_64>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_13>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_79>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_50>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_65>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_21>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_16>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_20>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_31>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_1>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_66>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_78>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_2>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_15>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_32>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_19>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_49>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_23>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_4>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_28>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_29>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_3>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_42>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_48>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_67>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_5>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_22>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_30>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_60>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_47>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_68>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_11>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_69>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_75>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_40>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_46>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_59>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_6>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_56>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_10>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_24>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_41>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_58>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_70>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_57>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_74>=1))&&(COMPTEUR_9>=1))")))))
Formula 0 simplified : !XF("((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_1>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_1>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_1>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_1>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_1>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_1>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_1>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_1>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_1>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_1>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_1>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_2>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_2>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_2>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_2>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_2>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_2>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_2>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_2>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_2>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_2>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_2>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_3>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_3>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_3>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_3>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_3>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_3>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_3>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_3>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_3>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_3>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_3>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_4>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_4>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_4>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_4>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_4>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_4>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_4>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_4>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_4>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_4>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_4>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_5>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_5>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_5>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_5>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_5>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_5>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_5>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_5>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_5>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_5>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_5>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_6>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_6>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_6>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_6>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_6>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_6>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_6>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_6>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_6>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_6>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_6>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_7>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_7>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_7>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_7>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_7>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_7>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_7>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_7>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_7>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_7>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_7>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_8>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_8>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_8>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_8>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_8>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_8>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_8>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_8>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_8>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_8>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_8>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_9>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_9>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_9>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_9>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_9>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_9>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_9>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_9>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_9>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_9>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_9>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_10>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_10>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_10>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_10>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_10>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_10>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_10>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_10>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_10>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_10>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_10>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_11>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_11>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_11>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_11>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_11>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_11>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_11>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_11>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_11>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_11>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_11>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_12>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_12>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_12>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_12>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_12>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_12>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_12>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_12>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_12>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_12>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_12>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_13>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_13>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_13>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_13>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_13>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_13>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_13>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_13>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_13>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_13>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_13>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_14>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_14>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_14>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_14>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_14>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_14>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_14>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_14>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_14>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_14>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_14>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_15>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_15>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_15>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_15>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_15>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_15>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_15>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_15>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_15>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_15>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_15>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_16>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_16>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_16>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_16>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_16>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_16>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_16>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_16>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_16>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_16>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_16>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_17>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_17>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_17>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_17>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_17>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_17>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_17>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_17>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_17>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_17>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_17>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_18>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_18>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_18>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_18>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_18>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_18>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_18>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_18>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_18>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_18>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_18>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_19>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_19>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_19>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_19>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_19>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_19>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_19>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_19>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_19>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_19>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_19>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_20>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_20>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_20>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_20>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_20>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_20>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_20>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_20>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_20>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_20>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_20>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_21>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_21>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_21>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_21>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_21>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_21>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_21>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_21>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_21>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_21>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_21>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_22>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_22>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_22>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_22>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_22>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_22>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_22>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_22>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_22>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_22>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_22>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_23>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_23>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_23>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_23>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_23>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_23>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_23>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_23>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_23>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_23>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_23>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_24>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_24>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_24>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_24>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_24>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_24>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_24>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_24>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_24>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_24>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_24>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_25>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_25>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_25>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_25>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_25>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_25>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_25>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_25>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_25>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_25>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_25>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_26>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_26>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_26>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_26>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_26>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_26>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_26>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_26>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_26>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_26>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_26>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_27>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_27>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_27>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_27>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_27>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_27>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_27>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_27>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_27>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_27>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_27>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_28>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_28>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_28>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_28>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_28>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_28>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_28>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_28>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_28>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_28>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_28>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_29>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_29>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_29>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_29>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_29>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_29>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_29>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_29>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_29>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_29>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_29>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_30>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_30>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_30>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_30>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_30>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_30>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_30>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_30>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_30>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_30>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_30>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_31>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_31>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_31>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_31>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_31>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_31>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_31>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_31>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_31>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_31>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_31>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_32>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_32>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_32>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_32>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_32>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_32>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_32>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_32>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_32>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_32>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_32>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_33>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_33>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_33>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_33>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_33>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_33>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_33>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_33>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_33>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_33>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_33>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_34>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_34>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_34>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_34>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_34>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_34>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_34>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_34>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_34>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_34>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_34>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_35>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_35>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_35>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_35>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_35>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_35>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_35>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_35>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_35>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_35>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_35>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_36>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_36>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_36>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_36>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_36>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_36>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_36>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_36>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_36>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_36>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_36>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_37>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_37>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_37>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_37>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_37>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_37>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_37>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_37>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_37>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_37>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_37>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_38>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_38>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_38>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_38>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_38>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_38>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_38>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_38>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_38>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_38>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_38>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_39>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_39>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_39>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_39>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_39>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_39>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_39>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_39>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_39>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_39>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_39>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_40>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_40>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_40>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_40>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_40>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_40>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_40>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_40>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_40>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_40>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_40>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_41>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_41>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_41>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_41>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_41>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_41>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_41>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_41>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_41>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_41>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_41>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_42>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_42>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_42>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_42>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_42>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_42>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_42>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_42>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_42>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_42>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_42>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_43>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_43>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_43>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_43>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_43>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_43>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_43>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_43>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_43>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_43>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_43>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_44>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_44>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_44>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_44>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_44>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_44>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_44>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_44>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_44>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_44>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_44>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_45>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_45>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_45>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_45>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_45>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_45>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_45>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_45>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_45>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_45>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_45>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_46>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_46>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_46>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_46>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_46>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_46>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_46>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_46>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_46>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_46>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_46>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_47>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_47>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_47>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_47>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_47>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_47>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_47>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_47>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_47>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_47>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_47>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_48>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_48>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_48>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_48>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_48>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_48>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_48>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_48>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_48>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_48>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_48>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_49>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_49>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_49>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_49>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_49>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_49>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_49>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_49>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_49>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_49>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_49>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_50>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_50>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_50>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_50>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_50>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_50>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_50>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_50>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_50>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_50>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_50>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_51>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_51>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_51>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_51>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_51>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_51>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_51>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_51>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_51>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_51>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_51>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_52>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_52>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_52>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_52>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_52>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_52>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_52>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_52>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_52>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_52>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_52>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_53>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_53>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_53>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_53>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_53>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_53>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_53>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_53>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_53>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_53>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_53>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_54>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_54>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_54>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_54>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_54>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_54>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_54>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_54>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_54>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_54>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_54>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_55>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_55>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_55>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_55>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_55>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_55>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_55>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_55>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_55>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_55>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_55>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_56>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_56>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_56>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_56>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_56>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_56>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_56>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_56>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_56>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_56>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_56>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_57>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_57>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_57>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_57>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_57>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_57>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_57>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_57>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_57>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_57>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_57>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_58>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_58>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_58>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_58>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_58>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_58>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_58>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_58>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_58>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_58>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_58>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_59>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_59>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_59>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_59>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_59>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_59>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_59>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_59>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_59>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_59>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_59>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_60>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_60>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_60>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_60>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_60>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_60>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_60>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_60>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_60>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_60>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_60>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_61>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_61>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_61>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_61>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_61>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_61>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_61>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_61>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_61>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_61>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_61>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_62>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_62>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_62>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_62>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_62>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_62>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_62>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_62>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_62>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_62>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_62>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_63>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_63>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_63>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_63>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_63>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_63>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_63>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_63>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_63>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_63>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_63>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_64>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_64>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_64>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_64>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_64>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_64>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_64>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_64>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_64>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_64>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_64>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_65>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_65>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_65>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_65>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_65>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_65>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_65>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_65>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_65>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_65>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_65>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_66>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_66>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_66>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_66>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_66>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_66>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_66>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_66>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_66>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_66>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_66>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_67>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_67>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_67>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_67>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_67>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_67>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_67>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_67>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_67>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_67>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_67>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_68>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_68>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_68>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_68>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_68>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_68>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_68>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_68>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_68>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_68>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_68>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_69>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_69>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_69>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_69>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_69>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_69>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_69>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_69>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_69>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_69>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_69>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_70>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_70>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_70>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_70>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_70>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_70>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_70>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_70>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_70>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_70>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_70>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_71>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_71>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_71>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_71>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_71>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_71>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_71>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_71>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_71>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_71>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_71>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_72>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_72>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_72>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_72>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_72>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_72>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_72>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_72>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_72>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_72>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_72>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_73>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_73>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_73>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_73>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_73>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_73>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_73>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_73>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_73>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_73>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_73>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_74>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_74>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_74>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_74>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_74>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_74>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_74>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_74>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_74>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_74>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_74>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_75>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_75>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_75>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_75>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_75>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_75>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_75>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_75>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_75>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_75>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_75>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_76>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_76>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_76>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_76>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_76>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_76>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_76>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_76>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_76>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_76>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_76>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_77>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_77>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_77>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_77>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_77>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_77>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_77>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_77>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_77>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_77>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_77>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_78>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_78>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_78>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_78>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_78>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_78>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_78>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_78>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_78>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_78>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_78>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_79>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_79>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_79>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_79>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_79>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_79>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_79>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_79>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_79>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_79>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_79>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_80>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_80>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_80>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_80>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_80>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_80>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_80>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_80>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_80>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_80>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(CONTROLEUR_1>=1))&&(NB_ATTENTE_B_80>=1))&&(COMPTEUR_10>=1))" | "((CHOIX_1>=1)&&(COMPTEUR_0>=1))" | "((CHOIX_1>=1)&&(COMPTEUR_1>=1))" | "((CHOIX_1>=1)&&(COMPTEUR_2>=1))" | "((CHOIX_1>=1)&&(COMPTEUR_3>=1))" | "((CHOIX_1>=1)&&(COMPTEUR_4>=1))" | "((CHOIX_1>=1)&&(COMPTEUR_5>=1))" | "((CHOIX_1>=1)&&(COMPTEUR_6>=1))" | "((CHOIX_1>=1)&&(COMPTEUR_7>=1))" | "((CHOIX_1>=1)&&(COMPTEUR_8>=1))" | "((CHOIX_1>=1)&&(COMPTEUR_9>=1))" | "((CHOIX_2>=1)&&(COMPTEUR_0>=1))" | "((CHOIX_2>=1)&&(COMPTEUR_1>=1))" | "((CHOIX_2>=1)&&(COMPTEUR_2>=1))" | "((CHOIX_2>=1)&&(COMPTEUR_3>=1))" | "((CHOIX_2>=1)&&(COMPTEUR_4>=1))" | "((CHOIX_2>=1)&&(COMPTEUR_5>=1))" | "((CHOIX_2>=1)&&(COMPTEUR_6>=1))" | "((CHOIX_2>=1)&&(COMPTEUR_7>=1))" | "((CHOIX_2>=1)&&(COMPTEUR_8>=1))" | "((CHOIX_2>=1)&&(COMPTEUR_9>=1))" | "(SUR_PONT_A>=1)" | X("(((((((((((((((((((((CHOIX_2>=1)&&(COMPTEUR_5>=1))||((CHOIX_2>=1)&&(COMPTEUR_4>=1)))||((CHOIX_2>=1)&&(COMPTEUR_7>=1)))||((CHOIX_2>=1)&&(COMPTEUR_6>=1)))||((CHOIX_2>=1)&&(COMPTEUR_9>=1)))||((CHOIX_2>=1)&&(COMPTEUR_8>=1)))||((CHOIX_1>=1)&&(COMPTEUR_0>=1)))||((CHOIX_1>=1)&&(COMPTEUR_4>=1)))||((CHOIX_1>=1)&&(COMPTEUR_3>=1)))||((CHOIX_1>=1)&&(COMPTEUR_2>=1)))||((CHOIX_1>=1)&&(COMPTEUR_1>=1)))||((CHOIX_1>=1)&&(COMPTEUR_8>=1)))||((CHOIX_1>=1)&&(COMPTEUR_7>=1)))||((CHOIX_1>=1)&&(COMPTEUR_6>=1)))||((CHOIX_1>=1)&&(COMPTEUR_5>=1)))||((CHOIX_1>=1)&&(COMPTEUR_9>=1)))||((CHOIX_2>=1)&&(COMPTEUR_1>=1)))||((CHOIX_2>=1)&&(COMPTEUR_0>=1)))||((CHOIX_2>=1)&&(COMPTEUR_3>=1)))||((CHOIX_2>=1)&&(COMPTEUR_2>=1)))" & X"((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((NB_ATTENTE_B_75>=1)&&(ROUTE_B>=1))||((NB_ATTENTE_B_56>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_17>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_36>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_55>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_16>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_6>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_65>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_76>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_46>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_27>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_74>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_57>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_15>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_37>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_54>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_66>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_7>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_28>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_45>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_63>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_14>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_4>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_73>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_38>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_23>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_48>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_29>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_30>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_47>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_13>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_72>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_39>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_5>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_22>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_64>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_41>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_31>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_70>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_51>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_2>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_21>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_50>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_12>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_42>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_61>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_80>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_3>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_40>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_20>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_71>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_11>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_32>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_49>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_79>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_62>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_19>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_24>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_68>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_58>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_34>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_0>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_53>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_33>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_44>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_67>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_59>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_78>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_10>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_8>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_52>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_25>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_69>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_35>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_1>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_18>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_77>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_60>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_26>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_43>=1)&&(ROUTE_B>=1)))||((NB_ATTENTE_B_9>=1)&&(ROUTE_B>=1)))"))
Detected timeout of ITS tools.
[2021-05-09 05:15:38] [INFO ] Flatten gal took : 115 ms
[2021-05-09 05:15:38] [INFO ] Applying decomposition
[2021-05-09 05:15:38] [INFO ] Flatten gal took : 101 ms
Converted graph to binary with : CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.louvain.binaries_1.0.0.202104292328/bin/convert-linux64, -i, /tmp/graph14277477433575978637.txt, -o, /tmp/graph14277477433575978637.bin, -w, /tmp/graph14277477433575978637.weights], workingDir=null]
Built communities with : CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.louvain.binaries_1.0.0.202104292328/bin/louvain-linux64, /tmp/graph14277477433575978637.bin, -l, -1, -v, -w, /tmp/graph14277477433575978637.weights, -q, 0, -e, 0.001], workingDir=null]
[2021-05-09 05:15:38] [INFO ] Decomposing Gal with order
[2021-05-09 05:15:38] [INFO ] Rewriting arrays to variables to allow decomposition.
[2021-05-09 05:15:39] [INFO ] Removed a total of 3713 redundant transitions.
[2021-05-09 05:15:39] [INFO ] Flatten gal took : 741 ms
[2021-05-09 05:15:39] [INFO ] Fuse similar labels procedure discarded/fused a total of 437 labels/synchronizations in 33 ms.
[2021-05-09 05:15:39] [INFO ] Time to serialize gal into /tmp/LTL4496192953296661788.gal : 3 ms
[2021-05-09 05:15:39] [INFO ] Time to serialize properties into /tmp/LTL5021539308974574191.ltl : 122 ms
Invoking ITS tools like this :CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64, --gc-threshold, 2000000, -i, /tmp/LTL4496192953296661788.gal, -t, CGAL, -LTL, /tmp/LTL5021539308974574191.ltl, -c, -stutter-deadlock], workingDir=/home/mcc/execution]

its-ltl command run as :

/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64 --gc-threshold 2000000 -i /tmp/LTL4496192953296661788.gal -t CGAL -LTL /tmp/LTL5021539308974574191.ltl -c -stutter-deadlock
Read 1 LTL properties
Checking formula 0 : !((X(F(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((("(u1.SUR_PONT_A>=1)")||(X(("(((((((((((((((((((((u1.CHOIX_2>=1)&&(i0.u0.COMPTEUR_5>=1))||((u1.CHOIX_2>=1)&&(i0.u0.COMPTEUR_4>=1)))||((u1.CHOIX_2>=1)&&(i0.u0.COMPTEUR_7>=1)))||((u1.CHOIX_2>=1)&&(i0.u0.COMPTEUR_6>=1)))||((u1.CHOIX_2>=1)&&(i0.u0.COMPTEUR_9>=1)))||((u1.CHOIX_2>=1)&&(i0.u0.COMPTEUR_8>=1)))||((u1.CHOIX_1>=1)&&(i0.u0.COMPTEUR_0>=1)))||((u1.CHOIX_1>=1)&&(i0.u0.COMPTEUR_4>=1)))||((u1.CHOIX_1>=1)&&(i0.u0.COMPTEUR_3>=1)))||((u1.CHOIX_1>=1)&&(i0.u0.COMPTEUR_2>=1)))||((u1.CHOIX_1>=1)&&(i0.u0.COMPTEUR_1>=1)))||((u1.CHOIX_1>=1)&&(i0.u0.COMPTEUR_8>=1)))||((u1.CHOIX_1>=1)&&(i0.u0.COMPTEUR_7>=1)))||((u1.CHOIX_1>=1)&&(i0.u0.COMPTEUR_6>=1)))||((u1.CHOIX_1>=1)&&(i0.u0.COMPTEUR_5>=1)))||((u1.CHOIX_1>=1)&&(i0.u0.COMPTEUR_9>=1)))||((u1.CHOIX_2>=1)&&(i0.u0.COMPTEUR_1>=1)))||((u1.CHOIX_2>=1)&&(i0.u0.COMPTEUR_0>=1)))||((u1.CHOIX_2>=1)&&(i0.u0.COMPTEUR_3>=1)))||((u1.CHOIX_2>=1)&&(i0.u0.COMPTEUR_2>=1)))")&&(X("((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((u3.NB_ATTENTE_B_75>=1)&&(u3.ROUTE_B>=1))||((u3.NB_ATTENTE_B_56>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_17>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_36>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_55>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_16>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_6>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_65>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_76>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_46>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_27>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_74>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_57>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_15>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_37>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_54>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_66>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_7>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_28>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_45>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_63>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_14>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_4>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_73>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_38>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_23>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_48>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_29>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_30>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_47>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_13>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_72>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_39>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_5>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_22>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_64>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_41>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_31>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_70>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_51>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_2>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_21>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_50>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_12>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_42>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_61>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_80>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_3>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_40>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_20>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_71>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_11>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_32>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_49>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_79>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_62>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_19>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_24>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_68>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_58>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_34>=1)&&(u3.ROUTE_B>=1)))||((i0.u2.NB_ATTENTE_B_0>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_53>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_33>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_44>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_67>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_59>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_78>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_10>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_8>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_52>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_25>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_69>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_35>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_1>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_18>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_77>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_60>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_26>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_43>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_9>=1)&&(u3.ROUTE_B>=1)))")))))||("((u1.CHOIX_2>=1)&&(i0.u0.COMPTEUR_5>=1))"))||("((u1.CHOIX_2>=1)&&(i0.u0.COMPTEUR_4>=1))"))||("((u1.CHOIX_2>=1)&&(i0.u0.COMPTEUR_7>=1))"))||("((u1.CHOIX_2>=1)&&(i0.u0.COMPTEUR_6>=1))"))||("((u1.CHOIX_2>=1)&&(i0.u0.COMPTEUR_9>=1))"))||("((u1.CHOIX_2>=1)&&(i0.u0.COMPTEUR_8>=1))"))||("((u1.CHOIX_1>=1)&&(i0.u0.COMPTEUR_0>=1))"))||("((u1.CHOIX_1>=1)&&(i0.u0.COMPTEUR_4>=1))"))||("((u1.CHOIX_1>=1)&&(i0.u0.COMPTEUR_3>=1))"))||("((u1.CHOIX_1>=1)&&(i0.u0.COMPTEUR_2>=1))"))||("((u1.CHOIX_1>=1)&&(i0.u0.COMPTEUR_1>=1))"))||("((u1.CHOIX_1>=1)&&(i0.u0.COMPTEUR_8>=1))"))||("((u1.CHOIX_1>=1)&&(i0.u0.COMPTEUR_7>=1))"))||("((u1.CHOIX_1>=1)&&(i0.u0.COMPTEUR_6>=1))"))||("((u1.CHOIX_1>=1)&&(i0.u0.COMPTEUR_5>=1))"))||("((u1.CHOIX_1>=1)&&(i0.u0.COMPTEUR_9>=1))"))||("((u1.CHOIX_2>=1)&&(i0.u0.COMPTEUR_1>=1))"))||("((u1.CHOIX_2>=1)&&(i0.u0.COMPTEUR_0>=1))"))||("((u1.CHOIX_2>=1)&&(i0.u0.COMPTEUR_3>=1))"))||("((u1.CHOIX_2>=1)&&(i0.u0.COMPTEUR_2>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_23>=1))&&(i0.u0.COMPTEUR_6>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_18>=1))&&(i0.u0.COMPTEUR_1>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_76>=1))&&(i0.u0.COMPTEUR_1>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_29>=1))&&(i0.u0.COMPTEUR_9>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_52>=1))&&(i0.u0.COMPTEUR_6>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_58>=1))&&(i0.u0.COMPTEUR_9>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_17>=1))&&(i0.u0.COMPTEUR_3>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_22>=1))&&(i0.u0.COMPTEUR_8>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_59>=1))&&(i0.u0.COMPTEUR_7>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_30>=1))&&(i0.u0.COMPTEUR_7>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_47>=1))&&(i0.u0.COMPTEUR_1>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_51>=1))&&(i0.u0.COMPTEUR_8>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_65>=1))&&(i0.u0.COMPTEUR_10>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_40>=1))&&(i0.u0.COMPTEUR_0>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_45>=1))&&(i0.u0.COMPTEUR_5>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_60>=1))&&(i0.u0.COMPTEUR_5>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_7>=1))&&(i0.u0.COMPTEUR_10>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_50>=1))&&(i0.u0.COMPTEUR_10>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_25>=1))&&(i0.u0.COMPTEUR_2>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_1>=1))&&(i0.u0.COMPTEUR_7>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_46>=1))&&(i0.u0.COMPTEUR_3>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_44>=1))&&(i0.u0.COMPTEUR_7>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_61>=1))&&(i0.u0.COMPTEUR_3>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_66>=1))&&(i0.u0.COMPTEUR_8>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_2>=1))&&(i0.u0.COMPTEUR_5>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_24>=1))&&(i0.u0.COMPTEUR_4>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_67>=1))&&(i0.u0.COMPTEUR_4>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_43>=1))&&(i0.u0.COMPTEUR_9>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_38>=1))&&(i0.u0.COMPTEUR_6>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_3>=1))&&(i0.u0.COMPTEUR_1>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_73>=1))&&(i0.u0.COMPTEUR_9>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_14>=1))&&(i0.u0.COMPTEUR_9>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_61>=1))&&(i0.u0.COMPTEUR_1>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_37>=1))&&(i0.u0.COMPTEUR_8>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_68>=1))&&(i0.u0.COMPTEUR_2>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_8>=1))&&(i0.u0.COMPTEUR_8>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_39>=1))&&(i0.u0.COMPTEUR_4>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_9>=1))&&(i0.u0.COMPTEUR_6>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_60>=1))&&(i0.u0.COMPTEUR_3>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_26>=1))&&(i0.u0.COMPTEUR_0>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_69>=1))&&(i0.u0.COMPTEUR_0>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_80>=1))&&(i0.u0.COMPTEUR_8>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_75>=1))&&(i0.u0.COMPTEUR_5>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_31>=1))&&(i0.u0.COMPTEUR_3>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_79>=1))&&(i0.u0.COMPTEUR_10>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_55>=1))&&(i0.u0.COMPTEUR_0>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_10>=1))&&(i0.u0.COMPTEUR_4>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_16>=1))&&(i0.u0.COMPTEUR_5>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_54>=1))&&(i0.u0.COMPTEUR_2>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_32>=1))&&(i0.u0.COMPTEUR_1>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_53>=1))&&(i0.u0.COMPTEUR_4>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_74>=1))&&(i0.u0.COMPTEUR_7>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_11>=1))&&(i0.u0.COMPTEUR_2>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_15>=1))&&(i0.u0.COMPTEUR_7>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_36>=1))&&(i0.u0.COMPTEUR_10>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_23>=1))&&(i0.u0.COMPTEUR_8>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_48>=1))&&(i0.u0.COMPTEUR_1>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_76>=1))&&(i0.u0.COMPTEUR_3>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_52>=1))&&(i0.u0.COMPTEUR_4>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_59>=1))&&(i0.u0.COMPTEUR_9>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_17>=1))&&(i0.u0.COMPTEUR_1>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_30>=1))&&(i0.u0.COMPTEUR_9>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_77>=1))&&(i0.u0.COMPTEUR_1>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_51>=1))&&(i0.u0.COMPTEUR_6>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_47>=1))&&(i0.u0.COMPTEUR_3>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_40>=1))&&(i0.u0.COMPTEUR_2>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_1>=1))&&(i0.u0.COMPTEUR_5>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_45>=1))&&(i0.u0.COMPTEUR_7>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_60>=1))&&(i0.u0.COMPTEUR_7>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_25>=1))&&(i0.u0.COMPTEUR_4>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_46>=1))&&(i0.u0.COMPTEUR_5>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_22>=1))&&(i0.u0.COMPTEUR_10>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_50>=1))&&(i0.u0.COMPTEUR_8>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_61>=1))&&(i0.u0.COMPTEUR_5>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_41>=1))&&(i0.u0.COMPTEUR_0>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_49>=1))&&(i0.u0.COMPTEUR_10>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_66>=1))&&(i0.u0.COMPTEUR_10>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_2>=1))&&(i0.u0.COMPTEUR_3>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_44>=1))&&(i0.u0.COMPTEUR_9>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_24>=1))&&(i0.u0.COMPTEUR_6>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_62>=1))&&(i0.u0.COMPTEUR_1>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_62>=1))&&(i0.u0.COMPTEUR_3>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_67>=1))&&(i0.u0.COMPTEUR_2>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_9>=1))&&(i0.u0.COMPTEUR_8>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_38>=1))&&(i0.u0.COMPTEUR_4>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_3>=1))&&(i0.u0.COMPTEUR_3>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_27>=1))&&(i0.u0.COMPTEUR_0>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_14>=1))&&(i0.u0.COMPTEUR_7>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_4>=1))&&(i0.u0.COMPTEUR_1>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_37>=1))&&(i0.u0.COMPTEUR_6>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_73>=1))&&(i0.u0.COMPTEUR_7>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_63>=1))&&(i0.u0.COMPTEUR_1>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_68>=1))&&(i0.u0.COMPTEUR_0>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_8>=1))&&(i0.u0.COMPTEUR_10>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_39>=1))&&(i0.u0.COMPTEUR_2>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_13>=1))&&(i0.u0.COMPTEUR_9>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_26>=1))&&(i0.u0.COMPTEUR_2>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_72>=1))&&(i0.u0.COMPTEUR_9>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_21>=1))&&(i0.u0.COMPTEUR_10>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_80>=1))&&(i0.u0.COMPTEUR_10>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_74>=1))&&(i0.u0.COMPTEUR_5>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_35>=1))&&(i0.u0.COMPTEUR_10>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_16>=1))&&(i0.u0.COMPTEUR_3>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_31>=1))&&(i0.u0.COMPTEUR_1>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_10>=1))&&(i0.u0.COMPTEUR_6>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_53>=1))&&(i0.u0.COMPTEUR_2>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_54>=1))&&(i0.u0.COMPTEUR_0>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_75>=1))&&(i0.u0.COMPTEUR_3>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_11>=1))&&(i0.u0.COMPTEUR_4>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_15>=1))&&(i0.u0.COMPTEUR_5>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_36>=1))&&(i0.u0.COMPTEUR_8>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_13>=1))&&(i0.u0.COMPTEUR_0>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_18>=1))&&(i0.u0.COMPTEUR_5>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_24>=1))&&(i0.u0.COMPTEUR_8>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_52>=1))&&(i0.u0.COMPTEUR_10>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_12>=1))&&(i0.u0.COMPTEUR_2>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_5>=1))&&(i0.u0.COMPTEUR_10>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_34>=1))&&(i0.u0.COMPTEUR_10>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_17>=1))&&(i0.u0.COMPTEUR_7>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_1>=1))&&(i0.u0.COMPTEUR_3>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_60>=1))&&(i0.u0.COMPTEUR_9>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_6>=1))&&(i0.u0.COMPTEUR_8>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_20>=1))&&(i0.u0.COMPTEUR_1>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_25>=1))&&(i0.u0.COMPTEUR_6>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_44>=1))&&(i0.u0.COMPTEUR_3>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_71>=1))&&(i0.u0.COMPTEUR_9>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_2>=1))&&(i0.u0.COMPTEUR_1>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_7>=1))&&(i0.u0.COMPTEUR_6>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_19>=1))&&(i0.u0.COMPTEUR_3>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_61>=1))&&(i0.u0.COMPTEUR_7>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_23>=1))&&(i0.u0.COMPTEUR_10>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_45>=1))&&(i0.u0.COMPTEUR_1>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_72>=1))&&(i0.u0.COMPTEUR_7>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_57>=1))&&(i0.u0.COMPTEUR_0>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_62>=1))&&(i0.u0.COMPTEUR_5>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_77>=1))&&(i0.u0.COMPTEUR_10>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_43>=1))&&(i0.u0.COMPTEUR_5>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_67>=1))&&(i0.u0.COMPTEUR_0>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_38>=1))&&(i0.u0.COMPTEUR_2>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_37>=1))&&(i0.u0.COMPTEUR_4>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_8>=1))&&(i0.u0.COMPTEUR_4>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_63>=1))&&(i0.u0.COMPTEUR_3>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_27>=1))&&(i0.u0.COMPTEUR_2>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_73>=1))&&(i0.u0.COMPTEUR_5>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_56>=1))&&(i0.u0.COMPTEUR_2>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_42>=1))&&(i0.u0.COMPTEUR_7>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_26>=1))&&(i0.u0.COMPTEUR_4>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_39>=1))&&(i0.u0.COMPTEUR_0>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_64>=1))&&(i0.u0.COMPTEUR_1>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_9>=1))&&(i0.u0.COMPTEUR_2>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_16>=1))&&(i0.u0.COMPTEUR_9>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_74>=1))&&(i0.u0.COMPTEUR_3>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_55>=1))&&(i0.u0.COMPTEUR_4>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_79>=1))&&(i0.u0.COMPTEUR_6>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_10>=1))&&(i0.u0.COMPTEUR_0>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_41>=1))&&(i0.u0.COMPTEUR_9>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_80>=1))&&(i0.u0.COMPTEUR_4>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_35>=1))&&(i0.u0.COMPTEUR_8>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_54>=1))&&(i0.u0.COMPTEUR_6>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_78>=1))&&(i0.u0.COMPTEUR_8>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_36>=1))&&(i0.u0.COMPTEUR_6>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_75>=1))&&(i0.u0.COMPTEUR_1>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_53>=1))&&(i0.u0.COMPTEUR_8>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_28>=1))&&(i0.u0.COMPTEUR_0>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_18>=1))&&(i0.u0.COMPTEUR_3>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_33>=1))&&(i0.u0.COMPTEUR_10>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_24>=1))&&(i0.u0.COMPTEUR_10>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_12>=1))&&(i0.u0.COMPTEUR_0>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_52>=1))&&(i0.u0.COMPTEUR_8>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_17>=1))&&(i0.u0.COMPTEUR_5>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_25>=1))&&(i0.u0.COMPTEUR_8>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_51>=1))&&(i0.u0.COMPTEUR_10>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_34>=1))&&(i0.u0.COMPTEUR_8>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_45>=1))&&(i0.u0.COMPTEUR_3>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_1>=1))&&(i0.u0.COMPTEUR_1>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_46>=1))&&(i0.u0.COMPTEUR_1>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_71>=1))&&(i0.u0.COMPTEUR_7>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_44>=1))&&(i0.u0.COMPTEUR_5>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_61>=1))&&(i0.u0.COMPTEUR_9>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_7>=1))&&(i0.u0.COMPTEUR_8>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_19>=1))&&(i0.u0.COMPTEUR_1>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_6>=1))&&(i0.u0.COMPTEUR_10>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_70>=1))&&(i0.u0.COMPTEUR_9>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_72>=1))&&(i0.u0.COMPTEUR_5>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_62>=1))&&(i0.u0.COMPTEUR_7>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_43>=1))&&(i0.u0.COMPTEUR_7>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_37>=1))&&(i0.u0.COMPTEUR_2>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_38>=1))&&(i0.u0.COMPTEUR_0>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_8>=1))&&(i0.u0.COMPTEUR_6>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_63>=1))&&(i0.u0.COMPTEUR_5>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_27>=1))&&(i0.u0.COMPTEUR_4>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_56>=1))&&(i0.u0.COMPTEUR_0>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_42>=1))&&(i0.u0.COMPTEUR_9>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_73>=1))&&(i0.u0.COMPTEUR_3>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_9>=1))&&(i0.u0.COMPTEUR_4>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_64>=1))&&(i0.u0.COMPTEUR_3>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_74>=1))&&(i0.u0.COMPTEUR_1>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_26>=1))&&(i0.u0.COMPTEUR_6>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_55>=1))&&(i0.u0.COMPTEUR_2>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_79>=1))&&(i0.u0.COMPTEUR_8>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_65>=1))&&(i0.u0.COMPTEUR_1>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_80>=1))&&(i0.u0.COMPTEUR_6>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_35>=1))&&(i0.u0.COMPTEUR_6>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_10>=1))&&(i0.u0.COMPTEUR_2>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_16>=1))&&(i0.u0.COMPTEUR_7>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_15>=1))&&(i0.u0.COMPTEUR_9>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_29>=1))&&(i0.u0.COMPTEUR_0>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_54>=1))&&(i0.u0.COMPTEUR_4>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_11>=1))&&(i0.u0.COMPTEUR_0>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_36>=1))&&(i0.u0.COMPTEUR_4>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_53>=1))&&(i0.u0.COMPTEUR_6>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_78>=1))&&(i0.u0.COMPTEUR_10>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_28>=1))&&(i0.u0.COMPTEUR_2>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_4>=1))&&(i0.u0.COMPTEUR_8>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_42>=1))&&(i0.u0.COMPTEUR_4>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_3>=1))&&(i0.u0.COMPTEUR_10>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_48>=1))&&(i0.u0.COMPTEUR_7>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_54>=1))&&(i0.u0.COMPTEUR_10>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_47>=1))&&(i0.u0.COMPTEUR_9>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_5>=1))&&(i0.u0.COMPTEUR_6>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_43>=1))&&(i0.u0.COMPTEUR_2>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_22>=1))&&(i0.u0.COMPTEUR_0>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_6>=1))&&(i0.u0.COMPTEUR_4>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_35>=1))&&(i0.u0.COMPTEUR_3>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_26>=1))&&(i0.u0.COMPTEUR_7>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_11>=1))&&(i0.u0.COMPTEUR_9>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_69>=1))&&(i0.u0.COMPTEUR_9>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_7>=1))&&(i0.u0.COMPTEUR_2>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_29>=1))&&(i0.u0.COMPTEUR_1>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_58>=1))&&(i0.u0.COMPTEUR_0>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_27>=1))&&(i0.u0.COMPTEUR_5>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_71>=1))&&(i0.u0.COMPTEUR_5>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_36>=1))&&(i0.u0.COMPTEUR_1>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_41>=1))&&(i0.u0.COMPTEUR_6>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_40>=1))&&(i0.u0.COMPTEUR_8>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_70>=1))&&(i0.u0.COMPTEUR_7>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_28>=1))&&(i0.u0.COMPTEUR_3>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_33>=1))&&(i0.u0.COMPTEUR_7>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_72>=1))&&(i0.u0.COMPTEUR_3>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_57>=1))&&(i0.u0.COMPTEUR_4>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_62>=1))&&(i0.u0.COMPTEUR_9>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_77>=1))&&(i0.u0.COMPTEUR_6>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_18>=1))&&(i0.u0.COMPTEUR_10>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_63>=1))&&(i0.u0.COMPTEUR_7>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_8>=1))&&(i0.u0.COMPTEUR_0>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_12>=1))&&(i0.u0.COMPTEUR_5>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_34>=1))&&(i0.u0.COMPTEUR_5>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_73>=1))&&(i0.u0.COMPTEUR_1>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_56>=1))&&(i0.u0.COMPTEUR_6>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_76>=1))&&(i0.u0.COMPTEUR_8>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_14>=1))&&(i0.u0.COMPTEUR_1>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_25>=1))&&(i0.u0.COMPTEUR_9>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_64>=1))&&(i0.u0.COMPTEUR_5>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_13>=1))&&(i0.u0.COMPTEUR_3>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_55>=1))&&(i0.u0.COMPTEUR_8>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_79>=1))&&(i0.u0.COMPTEUR_2>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_50>=1))&&(i0.u0.COMPTEUR_1>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_21>=1))&&(i0.u0.COMPTEUR_4>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_20>=1))&&(i0.u0.COMPTEUR_6>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_44>=1))&&(i0.u0.COMPTEUR_0>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_65>=1))&&(i0.u0.COMPTEUR_3>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_80>=1))&&(i0.u0.COMPTEUR_0>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_78>=1))&&(i0.u0.COMPTEUR_4>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_32>=1))&&(i0.u0.COMPTEUR_9>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_19>=1))&&(i0.u0.COMPTEUR_8>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_66>=1))&&(i0.u0.COMPTEUR_1>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_49>=1))&&(i0.u0.COMPTEUR_3>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_28>=1))&&(i0.u0.COMPTEUR_5>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_4>=1))&&(i0.u0.COMPTEUR_10>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_48>=1))&&(i0.u0.COMPTEUR_9>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_23>=1))&&(i0.u0.COMPTEUR_0>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_29>=1))&&(i0.u0.COMPTEUR_3>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_5>=1))&&(i0.u0.COMPTEUR_8>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_22>=1))&&(i0.u0.COMPTEUR_2>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_42>=1))&&(i0.u0.COMPTEUR_6>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_68>=1))&&(i0.u0.COMPTEUR_9>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_43>=1))&&(i0.u0.COMPTEUR_4>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_35>=1))&&(i0.u0.COMPTEUR_1>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_30>=1))&&(i0.u0.COMPTEUR_1>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_75>=1))&&(i0.u0.COMPTEUR_10>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_26>=1))&&(i0.u0.COMPTEUR_9>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_6>=1))&&(i0.u0.COMPTEUR_6>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_41>=1))&&(i0.u0.COMPTEUR_8>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_59>=1))&&(i0.u0.COMPTEUR_0>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_69>=1))&&(i0.u0.COMPTEUR_7>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_27>=1))&&(i0.u0.COMPTEUR_7>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_7>=1))&&(i0.u0.COMPTEUR_4>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_58>=1))&&(i0.u0.COMPTEUR_2>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_71>=1))&&(i0.u0.COMPTEUR_3>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_40>=1))&&(i0.u0.COMPTEUR_10>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_70>=1))&&(i0.u0.COMPTEUR_5>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_13>=1))&&(i0.u0.COMPTEUR_1>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_57>=1))&&(i0.u0.COMPTEUR_2>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_77>=1))&&(i0.u0.COMPTEUR_8>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_72>=1))&&(i0.u0.COMPTEUR_1>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_63>=1))&&(i0.u0.COMPTEUR_9>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_8>=1))&&(i0.u0.COMPTEUR_2>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_39>=1))&&(i0.u0.COMPTEUR_10>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_18>=1))&&(i0.u0.COMPTEUR_8>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_33>=1))&&(i0.u0.COMPTEUR_5>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_12>=1))&&(i0.u0.COMPTEUR_3>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_56>=1))&&(i0.u0.COMPTEUR_4>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_76>=1))&&(i0.u0.COMPTEUR_10>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_34>=1))&&(i0.u0.COMPTEUR_3>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_64>=1))&&(i0.u0.COMPTEUR_7>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_9>=1))&&(i0.u0.COMPTEUR_0>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_17>=1))&&(i0.u0.COMPTEUR_10>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_31>=1))&&(i0.u0.COMPTEUR_9>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_55>=1))&&(i0.u0.COMPTEUR_6>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_79>=1))&&(i0.u0.COMPTEUR_4>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_20>=1))&&(i0.u0.COMPTEUR_4>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_21>=1))&&(i0.u0.COMPTEUR_2>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_65>=1))&&(i0.u0.COMPTEUR_5>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_80>=1))&&(i0.u0.COMPTEUR_2>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_44>=1))&&(i0.u0.COMPTEUR_2>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_54>=1))&&(i0.u0.COMPTEUR_8>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_78>=1))&&(i0.u0.COMPTEUR_6>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_19>=1))&&(i0.u0.COMPTEUR_6>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_49>=1))&&(i0.u0.COMPTEUR_1>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_45>=1))&&(i0.u0.COMPTEUR_0>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_66>=1))&&(i0.u0.COMPTEUR_3>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_32>=1))&&(i0.u0.COMPTEUR_7>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_53>=1))&&(i0.u0.COMPTEUR_10>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_23>=1))&&(i0.u0.COMPTEUR_2>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_28>=1))&&(i0.u0.COMPTEUR_7>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_4>=1))&&(i0.u0.COMPTEUR_4>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_9>=1))&&(i0.u0.COMPTEUR_9>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_3>=1))&&(i0.u0.COMPTEUR_6>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_48>=1))&&(i0.u0.COMPTEUR_3>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_67>=1))&&(i0.u0.COMPTEUR_9>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_42>=1))&&(i0.u0.COMPTEUR_0>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_29>=1))&&(i0.u0.COMPTEUR_5>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_22>=1))&&(i0.u0.COMPTEUR_4>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_60>=1))&&(i0.u0.COMPTEUR_0>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_47>=1))&&(i0.u0.COMPTEUR_5>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_5>=1))&&(i0.u0.COMPTEUR_2>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_30>=1))&&(i0.u0.COMPTEUR_3>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_68>=1))&&(i0.u0.COMPTEUR_7>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_11>=1))&&(i0.u0.COMPTEUR_5>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_75>=1))&&(i0.u0.COMPTEUR_8>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_40>=1))&&(i0.u0.COMPTEUR_4>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_45>=1))&&(i0.u0.COMPTEUR_9>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_69>=1))&&(i0.u0.COMPTEUR_5>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_46>=1))&&(i0.u0.COMPTEUR_7>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_59>=1))&&(i0.u0.COMPTEUR_2>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_6>=1))&&(i0.u0.COMPTEUR_0>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_56>=1))&&(i0.u0.COMPTEUR_10>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_24>=1))&&(i0.u0.COMPTEUR_0>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_10>=1))&&(i0.u0.COMPTEUR_7>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_41>=1))&&(i0.u0.COMPTEUR_2>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_58>=1))&&(i0.u0.COMPTEUR_4>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_27>=1))&&(i0.u0.COMPTEUR_9>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_71>=1))&&(i0.u0.COMPTEUR_1>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_70>=1))&&(i0.u0.COMPTEUR_3>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_57>=1))&&(i0.u0.COMPTEUR_8>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_74>=1))&&(i0.u0.COMPTEUR_10>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_52>=1))&&(i0.u0.COMPTEUR_1>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_33>=1))&&(i0.u0.COMPTEUR_3>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_14>=1))&&(i0.u0.COMPTEUR_5>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_39>=1))&&(i0.u0.COMPTEUR_8>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_51>=1))&&(i0.u0.COMPTEUR_3>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_12>=1))&&(i0.u0.COMPTEUR_9>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_34>=1))&&(i0.u0.COMPTEUR_1>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_64>=1))&&(i0.u0.COMPTEUR_9>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_76>=1))&&(i0.u0.COMPTEUR_4>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_13>=1))&&(i0.u0.COMPTEUR_7>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_77>=1))&&(i0.u0.COMPTEUR_2>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_38>=1))&&(i0.u0.COMPTEUR_10>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_50>=1))&&(i0.u0.COMPTEUR_5>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_21>=1))&&(i0.u0.COMPTEUR_8>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_65>=1))&&(i0.u0.COMPTEUR_7>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_16>=1))&&(i0.u0.COMPTEUR_1>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_78>=1))&&(i0.u0.COMPTEUR_0>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_31>=1))&&(i0.u0.COMPTEUR_7>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_20>=1))&&(i0.u0.COMPTEUR_10>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_49>=1))&&(i0.u0.COMPTEUR_7>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_15>=1))&&(i0.u0.COMPTEUR_3>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_32>=1))&&(i0.u0.COMPTEUR_5>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_66>=1))&&(i0.u0.COMPTEUR_5>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_2>=1))&&(i0.u0.COMPTEUR_10>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_23>=1))&&(i0.u0.COMPTEUR_4>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_28>=1))&&(i0.u0.COMPTEUR_9>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_4>=1))&&(i0.u0.COMPTEUR_6>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_73>=1))&&(i0.u0.COMPTEUR_10>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_3>=1))&&(i0.u0.COMPTEUR_8>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_42>=1))&&(i0.u0.COMPTEUR_2>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_29>=1))&&(i0.u0.COMPTEUR_7>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_67>=1))&&(i0.u0.COMPTEUR_7>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_48>=1))&&(i0.u0.COMPTEUR_5>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_61>=1))&&(i0.u0.COMPTEUR_0>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_22>=1))&&(i0.u0.COMPTEUR_6>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_5>=1))&&(i0.u0.COMPTEUR_4>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_60>=1))&&(i0.u0.COMPTEUR_2>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_47>=1))&&(i0.u0.COMPTEUR_7>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_68>=1))&&(i0.u0.COMPTEUR_5>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_30>=1))&&(i0.u0.COMPTEUR_5>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_43>=1))&&(i0.u0.COMPTEUR_0>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_55>=1))&&(i0.u0.COMPTEUR_10>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_11>=1))&&(i0.u0.COMPTEUR_7>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_6>=1))&&(i0.u0.COMPTEUR_2>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_40>=1))&&(i0.u0.COMPTEUR_6>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_75>=1))&&(i0.u0.COMPTEUR_6>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_46>=1))&&(i0.u0.COMPTEUR_9>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_59>=1))&&(i0.u0.COMPTEUR_4>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_69>=1))&&(i0.u0.COMPTEUR_3>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_56>=1))&&(i0.u0.COMPTEUR_8>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_25>=1))&&(i0.u0.COMPTEUR_0>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_10>=1))&&(i0.u0.COMPTEUR_9>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_24>=1))&&(i0.u0.COMPTEUR_2>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_41>=1))&&(i0.u0.COMPTEUR_4>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_70>=1))&&(i0.u0.COMPTEUR_1>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_74>=1))&&(i0.u0.COMPTEUR_8>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_7>=1))&&(i0.u0.COMPTEUR_0>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_38>=1))&&(i0.u0.COMPTEUR_8>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_33>=1))&&(i0.u0.COMPTEUR_1>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_57>=1))&&(i0.u0.COMPTEUR_6>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_77>=1))&&(i0.u0.COMPTEUR_4>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_58>=1))&&(i0.u0.COMPTEUR_6>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_14>=1))&&(i0.u0.COMPTEUR_3>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_37>=1))&&(i0.u0.COMPTEUR_10>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_39>=1))&&(i0.u0.COMPTEUR_6>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_51>=1))&&(i0.u0.COMPTEUR_1>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_12>=1))&&(i0.u0.COMPTEUR_7>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_76>=1))&&(i0.u0.COMPTEUR_6>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_13>=1))&&(i0.u0.COMPTEUR_5>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_65>=1))&&(i0.u0.COMPTEUR_9>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_50>=1))&&(i0.u0.COMPTEUR_3>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_21>=1))&&(i0.u0.COMPTEUR_6>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_20>=1))&&(i0.u0.COMPTEUR_8>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_1>=1))&&(i0.u0.COMPTEUR_10>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_31>=1))&&(i0.u0.COMPTEUR_5>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_66>=1))&&(i0.u0.COMPTEUR_7>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_78>=1))&&(i0.u0.COMPTEUR_2>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_2>=1))&&(i0.u0.COMPTEUR_8>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_15>=1))&&(i0.u0.COMPTEUR_1>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_32>=1))&&(i0.u0.COMPTEUR_3>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_19>=1))&&(i0.u0.COMPTEUR_10>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_49>=1))&&(i0.u0.COMPTEUR_5>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_79>=1))&&(i0.u0.COMPTEUR_0>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_43>=1))&&(i0.u0.COMPTEUR_10>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_3>=1))&&(i0.u0.COMPTEUR_2>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_14>=1))&&(i0.u0.COMPTEUR_10>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_38>=1))&&(i0.u0.COMPTEUR_5>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_33>=1))&&(i0.u0.COMPTEUR_0>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_8>=1))&&(i0.u0.COMPTEUR_7>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_73>=1))&&(i0.u0.COMPTEUR_8>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_4>=1))&&(i0.u0.COMPTEUR_0>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_37>=1))&&(i0.u0.COMPTEUR_7>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_61>=1))&&(i0.u0.COMPTEUR_2>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_67>=1))&&(i0.u0.COMPTEUR_5>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_68>=1))&&(i0.u0.COMPTEUR_3>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_39>=1))&&(i0.u0.COMPTEUR_3>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_9>=1))&&(i0.u0.COMPTEUR_5>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_72>=1))&&(i0.u0.COMPTEUR_10>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_69>=1))&&(i0.u0.COMPTEUR_1>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_80>=1))&&(i0.u0.COMPTEUR_9>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_31>=1))&&(i0.u0.COMPTEUR_4>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_10>=1))&&(i0.u0.COMPTEUR_3>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_16>=1))&&(i0.u0.COMPTEUR_6>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_54>=1))&&(i0.u0.COMPTEUR_1>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_75>=1))&&(i0.u0.COMPTEUR_4>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_53>=1))&&(i0.u0.COMPTEUR_3>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_74>=1))&&(i0.u0.COMPTEUR_6>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_32>=1))&&(i0.u0.COMPTEUR_2>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_11>=1))&&(i0.u0.COMPTEUR_1>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_15>=1))&&(i0.u0.COMPTEUR_8>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_36>=1))&&(i0.u0.COMPTEUR_9>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_18>=1))&&(i0.u0.COMPTEUR_2>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_23>=1))&&(i0.u0.COMPTEUR_5>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_29>=1))&&(i0.u0.COMPTEUR_10>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_76>=1))&&(i0.u0.COMPTEUR_0>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_52>=1))&&(i0.u0.COMPTEUR_5>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_58>=1))&&(i0.u0.COMPTEUR_8>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_48>=1))&&(i0.u0.COMPTEUR_0>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_22>=1))&&(i0.u0.COMPTEUR_7>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_17>=1))&&(i0.u0.COMPTEUR_4>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_59>=1))&&(i0.u0.COMPTEUR_6>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_30>=1))&&(i0.u0.COMPTEUR_8>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_47>=1))&&(i0.u0.COMPTEUR_2>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_51>=1))&&(i0.u0.COMPTEUR_7>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_45>=1))&&(i0.u0.COMPTEUR_6>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_60>=1))&&(i0.u0.COMPTEUR_4>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_7>=1))&&(i0.u0.COMPTEUR_9>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_1>=1))&&(i0.u0.COMPTEUR_8>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_50>=1))&&(i0.u0.COMPTEUR_9>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_25>=1))&&(i0.u0.COMPTEUR_1>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_46>=1))&&(i0.u0.COMPTEUR_4>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_19>=1))&&(i0.u0.COMPTEUR_0>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_24>=1))&&(i0.u0.COMPTEUR_3>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_66>=1))&&(i0.u0.COMPTEUR_9>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_44>=1))&&(i0.u0.COMPTEUR_8>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_2>=1))&&(i0.u0.COMPTEUR_6>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_62>=1))&&(i0.u0.COMPTEUR_0>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_62>=1))&&(i0.u0.COMPTEUR_2>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_67>=1))&&(i0.u0.COMPTEUR_3>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_9>=1))&&(i0.u0.COMPTEUR_7>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_3>=1))&&(i0.u0.COMPTEUR_4>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_38>=1))&&(i0.u0.COMPTEUR_3>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_4>=1))&&(i0.u0.COMPTEUR_2>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_14>=1))&&(i0.u0.COMPTEUR_8>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_37>=1))&&(i0.u0.COMPTEUR_5>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_68>=1))&&(i0.u0.COMPTEUR_1>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_39>=1))&&(i0.u0.COMPTEUR_1>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_73>=1))&&(i0.u0.COMPTEUR_6>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_8>=1))&&(i0.u0.COMPTEUR_9>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_5>=1))&&(i0.u0.COMPTEUR_0>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_13>=1))&&(i0.u0.COMPTEUR_10>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_72>=1))&&(i0.u0.COMPTEUR_8>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_26>=1))&&(i0.u0.COMPTEUR_1>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_21>=1))&&(i0.u0.COMPTEUR_9>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_74>=1))&&(i0.u0.COMPTEUR_4>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_35>=1))&&(i0.u0.COMPTEUR_9>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_31>=1))&&(i0.u0.COMPTEUR_2>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_10>=1))&&(i0.u0.COMPTEUR_5>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_16>=1))&&(i0.u0.COMPTEUR_4>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_53>=1))&&(i0.u0.COMPTEUR_1>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_32>=1))&&(i0.u0.COMPTEUR_0>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_36>=1))&&(i0.u0.COMPTEUR_7>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_57>=1))&&(i0.u0.COMPTEUR_10>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_75>=1))&&(i0.u0.COMPTEUR_2>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_11>=1))&&(i0.u0.COMPTEUR_3>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_15>=1))&&(i0.u0.COMPTEUR_6>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_52>=1))&&(i0.u0.COMPTEUR_3>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_23>=1))&&(i0.u0.COMPTEUR_7>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_18>=1))&&(i0.u0.COMPTEUR_0>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_48>=1))&&(i0.u0.COMPTEUR_2>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_76>=1))&&(i0.u0.COMPTEUR_2>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_58>=1))&&(i0.u0.COMPTEUR_10>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_22>=1))&&(i0.u0.COMPTEUR_9>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_17>=1))&&(i0.u0.COMPTEUR_2>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_30>=1))&&(i0.u0.COMPTEUR_10>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_59>=1))&&(i0.u0.COMPTEUR_8>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_77>=1))&&(i0.u0.COMPTEUR_0>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_51>=1))&&(i0.u0.COMPTEUR_5>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_47>=1))&&(i0.u0.COMPTEUR_4>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_1>=1))&&(i0.u0.COMPTEUR_6>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_40>=1))&&(i0.u0.COMPTEUR_1>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_45>=1))&&(i0.u0.COMPTEUR_8>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_60>=1))&&(i0.u0.COMPTEUR_6>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_25>=1))&&(i0.u0.COMPTEUR_3>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_46>=1))&&(i0.u0.COMPTEUR_6>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_50>=1))&&(i0.u0.COMPTEUR_7>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_71>=1))&&(i0.u0.COMPTEUR_10>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_63>=1))&&(i0.u0.COMPTEUR_0>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_49>=1))&&(i0.u0.COMPTEUR_9>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_61>=1))&&(i0.u0.COMPTEUR_4>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_24>=1))&&(i0.u0.COMPTEUR_5>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_2>=1))&&(i0.u0.COMPTEUR_4>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_44>=1))&&(i0.u0.COMPTEUR_10>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_62>=1))&&(i0.u0.COMPTEUR_4>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_67>=1))&&(i0.u0.COMPTEUR_1>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_72>=1))&&(i0.u0.COMPTEUR_6>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_43>=1))&&(i0.u0.COMPTEUR_6>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_37>=1))&&(i0.u0.COMPTEUR_3>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_38>=1))&&(i0.u0.COMPTEUR_1>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_8>=1))&&(i0.u0.COMPTEUR_3>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_27>=1))&&(i0.u0.COMPTEUR_1>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_63>=1))&&(i0.u0.COMPTEUR_2>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_31>=1))&&(i0.u0.COMPTEUR_0>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_42>=1))&&(i0.u0.COMPTEUR_8>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_56>=1))&&(i0.u0.COMPTEUR_1>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_73>=1))&&(i0.u0.COMPTEUR_4>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_26>=1))&&(i0.u0.COMPTEUR_3>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_64>=1))&&(i0.u0.COMPTEUR_0>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_9>=1))&&(i0.u0.COMPTEUR_1>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_16>=1))&&(i0.u0.COMPTEUR_10>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_55>=1))&&(i0.u0.COMPTEUR_3>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_74>=1))&&(i0.u0.COMPTEUR_2>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_79>=1))&&(i0.u0.COMPTEUR_7>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_41>=1))&&(i0.u0.COMPTEUR_10>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_80>=1))&&(i0.u0.COMPTEUR_5>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_35>=1))&&(i0.u0.COMPTEUR_7>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_54>=1))&&(i0.u0.COMPTEUR_5>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_78>=1))&&(i0.u0.COMPTEUR_9>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_49>=1))&&(i0.u0.COMPTEUR_0>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_36>=1))&&(i0.u0.COMPTEUR_5>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_75>=1))&&(i0.u0.COMPTEUR_0>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_53>=1))&&(i0.u0.COMPTEUR_7>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_18>=1))&&(i0.u0.COMPTEUR_6>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_12>=1))&&(i0.u0.COMPTEUR_1>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_52>=1))&&(i0.u0.COMPTEUR_9>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_5>=1))&&(i0.u0.COMPTEUR_9>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_59>=1))&&(i0.u0.COMPTEUR_10>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_34>=1))&&(i0.u0.COMPTEUR_9>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_17>=1))&&(i0.u0.COMPTEUR_8>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_1>=1))&&(i0.u0.COMPTEUR_4>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_60>=1))&&(i0.u0.COMPTEUR_8>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_70>=1))&&(i0.u0.COMPTEUR_10>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_6>=1))&&(i0.u0.COMPTEUR_7>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_20>=1))&&(i0.u0.COMPTEUR_2>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_25>=1))&&(i0.u0.COMPTEUR_5>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_21>=1))&&(i0.u0.COMPTEUR_0>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_71>=1))&&(i0.u0.COMPTEUR_8>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_46>=1))&&(i0.u0.COMPTEUR_0>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_61>=1))&&(i0.u0.COMPTEUR_6>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_44>=1))&&(i0.u0.COMPTEUR_4>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_7>=1))&&(i0.u0.COMPTEUR_5>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_19>=1))&&(i0.u0.COMPTEUR_4>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_24>=1))&&(i0.u0.COMPTEUR_7>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_2>=1))&&(i0.u0.COMPTEUR_2>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_23>=1))&&(i0.u0.COMPTEUR_9>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_45>=1))&&(i0.u0.COMPTEUR_2>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_72>=1))&&(i0.u0.COMPTEUR_4>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_3>=1))&&(i0.u0.COMPTEUR_0>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_62>=1))&&(i0.u0.COMPTEUR_6>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_37>=1))&&(i0.u0.COMPTEUR_1>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_43>=1))&&(i0.u0.COMPTEUR_8>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_8>=1))&&(i0.u0.COMPTEUR_5>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_27>=1))&&(i0.u0.COMPTEUR_3>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_63>=1))&&(i0.u0.COMPTEUR_4>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_73>=1))&&(i0.u0.COMPTEUR_2>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_42>=1))&&(i0.u0.COMPTEUR_10>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_9>=1))&&(i0.u0.COMPTEUR_3>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_26>=1))&&(i0.u0.COMPTEUR_5>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_64>=1))&&(i0.u0.COMPTEUR_2>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_16>=1))&&(i0.u0.COMPTEUR_8>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_74>=1))&&(i0.u0.COMPTEUR_0>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_55>=1))&&(i0.u0.COMPTEUR_1>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_10>=1))&&(i0.u0.COMPTEUR_1>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_79>=1))&&(i0.u0.COMPTEUR_9>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_80>=1))&&(i0.u0.COMPTEUR_7>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_65>=1))&&(i0.u0.COMPTEUR_0>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_35>=1))&&(i0.u0.COMPTEUR_5>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_15>=1))&&(i0.u0.COMPTEUR_10>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_36>=1))&&(i0.u0.COMPTEUR_3>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_54>=1))&&(i0.u0.COMPTEUR_3>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_53>=1))&&(i0.u0.COMPTEUR_5>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_28>=1))&&(i0.u0.COMPTEUR_1>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_18>=1))&&(i0.u0.COMPTEUR_4>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_33>=1))&&(i0.u0.COMPTEUR_9>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_47>=1))&&(i0.u0.COMPTEUR_0>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_24>=1))&&(i0.u0.COMPTEUR_9>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_52>=1))&&(i0.u0.COMPTEUR_7>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_17>=1))&&(i0.u0.COMPTEUR_6>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_25>=1))&&(i0.u0.COMPTEUR_7>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_51>=1))&&(i0.u0.COMPTEUR_9>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_34>=1))&&(i0.u0.COMPTEUR_7>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_45>=1))&&(i0.u0.COMPTEUR_4>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_60>=1))&&(i0.u0.COMPTEUR_10>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_6>=1))&&(i0.u0.COMPTEUR_9>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_20>=1))&&(i0.u0.COMPTEUR_0>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_1>=1))&&(i0.u0.COMPTEUR_2>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_69>=1))&&(i0.u0.COMPTEUR_10>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_46>=1))&&(i0.u0.COMPTEUR_2>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_2>=1))&&(i0.u0.COMPTEUR_0>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_44>=1))&&(i0.u0.COMPTEUR_6>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_71>=1))&&(i0.u0.COMPTEUR_6>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_7>=1))&&(i0.u0.COMPTEUR_7>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_19>=1))&&(i0.u0.COMPTEUR_2>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_61>=1))&&(i0.u0.COMPTEUR_8>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_70>=1))&&(i0.u0.COMPTEUR_8>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_77>=1))&&(i0.u0.COMPTEUR_7>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_33>=1))&&(i0.u0.COMPTEUR_8>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_57>=1))&&(i0.u0.COMPTEUR_3>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_62>=1))&&(i0.u0.COMPTEUR_8>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_72>=1))&&(i0.u0.COMPTEUR_2>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_63>=1))&&(i0.u0.COMPTEUR_6>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_14>=1))&&(i0.u0.COMPTEUR_2>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_18>=1))&&(i0.u0.COMPTEUR_9>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_56>=1))&&(i0.u0.COMPTEUR_5>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_12>=1))&&(i0.u0.COMPTEUR_6>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_73>=1))&&(i0.u0.COMPTEUR_0>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_76>=1))&&(i0.u0.COMPTEUR_9>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_25>=1))&&(i0.u0.COMPTEUR_10>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_51>=1))&&(i0.u0.COMPTEUR_0>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_64>=1))&&(i0.u0.COMPTEUR_4>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_34>=1))&&(i0.u0.COMPTEUR_6>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_13>=1))&&(i0.u0.COMPTEUR_4>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_55>=1))&&(i0.u0.COMPTEUR_7>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_79>=1))&&(i0.u0.COMPTEUR_3>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_50>=1))&&(i0.u0.COMPTEUR_2>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_15>=1))&&(i0.u0.COMPTEUR_0>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_21>=1))&&(i0.u0.COMPTEUR_3>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_20>=1))&&(i0.u0.COMPTEUR_5>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_65>=1))&&(i0.u0.COMPTEUR_2>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_54>=1))&&(i0.u0.COMPTEUR_9>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_80>=1))&&(i0.u0.COMPTEUR_1>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_32>=1))&&(i0.u0.COMPTEUR_10>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_78>=1))&&(i0.u0.COMPTEUR_5>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_19>=1))&&(i0.u0.COMPTEUR_7>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_66>=1))&&(i0.u0.COMPTEUR_0>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_49>=1))&&(i0.u0.COMPTEUR_4>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_28>=1))&&(i0.u0.COMPTEUR_4>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_48>=1))&&(i0.u0.COMPTEUR_8>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_4>=1))&&(i0.u0.COMPTEUR_7>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_37>=1))&&(i0.u0.COMPTEUR_0>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_42>=1))&&(i0.u0.COMPTEUR_3>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_3>=1))&&(i0.u0.COMPTEUR_9>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_47>=1))&&(i0.u0.COMPTEUR_10>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_5>=1))&&(i0.u0.COMPTEUR_5>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_43>=1))&&(i0.u0.COMPTEUR_1>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_68>=1))&&(i0.u0.COMPTEUR_10>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_55>=1))&&(i0.u0.COMPTEUR_9>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_6>=1))&&(i0.u0.COMPTEUR_3>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_30>=1))&&(i0.u0.COMPTEUR_0>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_35>=1))&&(i0.u0.COMPTEUR_4>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_1>=1))&&(i0.u0.COMPTEUR_0>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_40>=1))&&(i0.u0.COMPTEUR_7>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_11>=1))&&(i0.u0.COMPTEUR_10>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_26>=1))&&(i0.u0.COMPTEUR_8>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_69>=1))&&(i0.u0.COMPTEUR_8>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_29>=1))&&(i0.u0.COMPTEUR_2>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_7>=1))&&(i0.u0.COMPTEUR_1>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_27>=1))&&(i0.u0.COMPTEUR_6>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_36>=1))&&(i0.u0.COMPTEUR_2>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_71>=1))&&(i0.u0.COMPTEUR_4>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_61>=1))&&(i0.u0.COMPTEUR_10>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_41>=1))&&(i0.u0.COMPTEUR_5>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_70>=1))&&(i0.u0.COMPTEUR_6>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_57>=1))&&(i0.u0.COMPTEUR_1>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_13>=1))&&(i0.u0.COMPTEUR_2>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_77>=1))&&(i0.u0.COMPTEUR_9>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_72>=1))&&(i0.u0.COMPTEUR_0>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_62>=1))&&(i0.u0.COMPTEUR_10>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_63>=1))&&(i0.u0.COMPTEUR_8>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_39>=1))&&(i0.u0.COMPTEUR_9>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_18>=1))&&(i0.u0.COMPTEUR_7>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_8>=1))&&(i0.u0.COMPTEUR_1>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_33>=1))&&(i0.u0.COMPTEUR_6>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_12>=1))&&(i0.u0.COMPTEUR_4>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_34>=1))&&(i0.u0.COMPTEUR_4>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_14>=1))&&(i0.u0.COMPTEUR_0>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_56>=1))&&(i0.u0.COMPTEUR_3>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_64>=1))&&(i0.u0.COMPTEUR_6>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_17>=1))&&(i0.u0.COMPTEUR_9>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_31>=1))&&(i0.u0.COMPTEUR_10>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_55>=1))&&(i0.u0.COMPTEUR_5>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_79>=1))&&(i0.u0.COMPTEUR_5>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_50>=1))&&(i0.u0.COMPTEUR_0>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_20>=1))&&(i0.u0.COMPTEUR_3>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_21>=1))&&(i0.u0.COMPTEUR_1>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_54>=1))&&(i0.u0.COMPTEUR_7>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_80>=1))&&(i0.u0.COMPTEUR_3>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_44>=1))&&(i0.u0.COMPTEUR_1>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_65>=1))&&(i0.u0.COMPTEUR_4>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_32>=1))&&(i0.u0.COMPTEUR_8>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_78>=1))&&(i0.u0.COMPTEUR_7>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_19>=1))&&(i0.u0.COMPTEUR_5>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_49>=1))&&(i0.u0.COMPTEUR_2>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_66>=1))&&(i0.u0.COMPTEUR_2>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_53>=1))&&(i0.u0.COMPTEUR_9>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_48>=1))&&(i0.u0.COMPTEUR_10>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_4>=1))&&(i0.u0.COMPTEUR_9>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_28>=1))&&(i0.u0.COMPTEUR_6>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_42>=1))&&(i0.u0.COMPTEUR_5>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_67>=1))&&(i0.u0.COMPTEUR_10>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_29>=1))&&(i0.u0.COMPTEUR_4>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_5>=1))&&(i0.u0.COMPTEUR_7>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_68>=1))&&(i0.u0.COMPTEUR_8>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_43>=1))&&(i0.u0.COMPTEUR_3>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_22>=1))&&(i0.u0.COMPTEUR_1>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_30>=1))&&(i0.u0.COMPTEUR_2>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_6>=1))&&(i0.u0.COMPTEUR_5>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_26>=1))&&(i0.u0.COMPTEUR_10>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_35>=1))&&(i0.u0.COMPTEUR_2>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_41>=1))&&(i0.u0.COMPTEUR_7>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_69>=1))&&(i0.u0.COMPTEUR_6>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_27>=1))&&(i0.u0.COMPTEUR_8>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_7>=1))&&(i0.u0.COMPTEUR_3>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_58>=1))&&(i0.u0.COMPTEUR_1>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_36>=1))&&(i0.u0.COMPTEUR_0>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_71>=1))&&(i0.u0.COMPTEUR_2>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_40>=1))&&(i0.u0.COMPTEUR_9>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_70>=1))&&(i0.u0.COMPTEUR_4>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_38>=1))&&(i0.u0.COMPTEUR_9>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_77>=1))&&(i0.u0.COMPTEUR_3>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_52>=1))&&(i0.u0.COMPTEUR_2>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_63>=1))&&(i0.u0.COMPTEUR_10>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_14>=1))&&(i0.u0.COMPTEUR_6>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_33>=1))&&(i0.u0.COMPTEUR_4>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_34>=1))&&(i0.u0.COMPTEUR_2>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_39>=1))&&(i0.u0.COMPTEUR_7>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_51>=1))&&(i0.u0.COMPTEUR_4>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_64>=1))&&(i0.u0.COMPTEUR_8>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_76>=1))&&(i0.u0.COMPTEUR_5>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_12>=1))&&(i0.u0.COMPTEUR_10>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_13>=1))&&(i0.u0.COMPTEUR_8>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_21>=1))&&(i0.u0.COMPTEUR_7>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_16>=1))&&(i0.u0.COMPTEUR_2>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_31>=1))&&(i0.u0.COMPTEUR_8>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_65>=1))&&(i0.u0.COMPTEUR_6>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_20>=1))&&(i0.u0.COMPTEUR_9>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_78>=1))&&(i0.u0.COMPTEUR_1>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_50>=1))&&(i0.u0.COMPTEUR_6>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_49>=1))&&(i0.u0.COMPTEUR_8>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_2>=1))&&(i0.u0.COMPTEUR_9>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_15>=1))&&(i0.u0.COMPTEUR_4>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_32>=1))&&(i0.u0.COMPTEUR_6>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_66>=1))&&(i0.u0.COMPTEUR_4>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_23>=1))&&(i0.u0.COMPTEUR_1>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_28>=1))&&(i0.u0.COMPTEUR_8>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_9>=1))&&(i0.u0.COMPTEUR_10>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_4>=1))&&(i0.u0.COMPTEUR_3>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_3>=1))&&(i0.u0.COMPTEUR_5>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_29>=1))&&(i0.u0.COMPTEUR_6>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_67>=1))&&(i0.u0.COMPTEUR_8>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_48>=1))&&(i0.u0.COMPTEUR_4>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_22>=1))&&(i0.u0.COMPTEUR_3>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_17>=1))&&(i0.u0.COMPTEUR_0>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_47>=1))&&(i0.u0.COMPTEUR_6>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_5>=1))&&(i0.u0.COMPTEUR_1>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_30>=1))&&(i0.u0.COMPTEUR_4>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_68>=1))&&(i0.u0.COMPTEUR_6>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_40>=1))&&(i0.u0.COMPTEUR_3>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_11>=1))&&(i0.u0.COMPTEUR_6>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_75>=1))&&(i0.u0.COMPTEUR_9>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_45>=1))&&(i0.u0.COMPTEUR_10>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_35>=1))&&(i0.u0.COMPTEUR_0>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_46>=1))&&(i0.u0.COMPTEUR_8>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_59>=1))&&(i0.u0.COMPTEUR_1>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_69>=1))&&(i0.u0.COMPTEUR_4>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_10>=1))&&(i0.u0.COMPTEUR_8>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_41>=1))&&(i0.u0.COMPTEUR_1>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_53>=1))&&(i0.u0.COMPTEUR_0>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_58>=1))&&(i0.u0.COMPTEUR_3>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_27>=1))&&(i0.u0.COMPTEUR_10>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_57>=1))&&(i0.u0.COMPTEUR_9>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_71>=1))&&(i0.u0.COMPTEUR_0>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_70>=1))&&(i0.u0.COMPTEUR_2>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_38>=1))&&(i0.u0.COMPTEUR_7>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_57>=1))&&(i0.u0.COMPTEUR_5>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_77>=1))&&(i0.u0.COMPTEUR_5>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_52>=1))&&(i0.u0.COMPTEUR_0>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_58>=1))&&(i0.u0.COMPTEUR_7>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_33>=1))&&(i0.u0.COMPTEUR_2>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_14>=1))&&(i0.u0.COMPTEUR_4>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_37>=1))&&(i0.u0.COMPTEUR_9>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_39>=1))&&(i0.u0.COMPTEUR_5>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_51>=1))&&(i0.u0.COMPTEUR_2>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_56>=1))&&(i0.u0.COMPTEUR_7>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_12>=1))&&(i0.u0.COMPTEUR_8>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_34>=1))&&(i0.u0.COMPTEUR_0>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_76>=1))&&(i0.u0.COMPTEUR_7>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_59>=1))&&(i0.u0.COMPTEUR_5>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_64>=1))&&(i0.u0.COMPTEUR_10>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_13>=1))&&(i0.u0.COMPTEUR_6>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_79>=1))&&(i0.u0.COMPTEUR_1>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_50>=1))&&(i0.u0.COMPTEUR_4>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_65>=1))&&(i0.u0.COMPTEUR_8>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_21>=1))&&(i0.u0.COMPTEUR_5>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_16>=1))&&(i0.u0.COMPTEUR_0>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_20>=1))&&(i0.u0.COMPTEUR_7>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_31>=1))&&(i0.u0.COMPTEUR_6>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_1>=1))&&(i0.u0.COMPTEUR_9>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_66>=1))&&(i0.u0.COMPTEUR_6>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_78>=1))&&(i0.u0.COMPTEUR_3>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_2>=1))&&(i0.u0.COMPTEUR_7>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_15>=1))&&(i0.u0.COMPTEUR_2>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_32>=1))&&(i0.u0.COMPTEUR_4>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_19>=1))&&(i0.u0.COMPTEUR_9>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_49>=1))&&(i0.u0.COMPTEUR_6>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_23>=1))&&(i0.u0.COMPTEUR_3>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_4>=1))&&(i0.u0.COMPTEUR_5>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_28>=1))&&(i0.u0.COMPTEUR_10>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_29>=1))&&(i0.u0.COMPTEUR_8>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_3>=1))&&(i0.u0.COMPTEUR_7>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_42>=1))&&(i0.u0.COMPTEUR_1>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_48>=1))&&(i0.u0.COMPTEUR_6>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_67>=1))&&(i0.u0.COMPTEUR_6>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_5>=1))&&(i0.u0.COMPTEUR_3>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_22>=1))&&(i0.u0.COMPTEUR_5>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_30>=1))&&(i0.u0.COMPTEUR_6>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_60>=1))&&(i0.u0.COMPTEUR_1>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_47>=1))&&(i0.u0.COMPTEUR_8>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_68>=1))&&(i0.u0.COMPTEUR_4>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_11>=1))&&(i0.u0.COMPTEUR_8>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_69>=1))&&(i0.u0.COMPTEUR_2>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_75>=1))&&(i0.u0.COMPTEUR_7>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_40>=1))&&(i0.u0.COMPTEUR_5>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_46>=1))&&(i0.u0.COMPTEUR_10>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_59>=1))&&(i0.u0.COMPTEUR_3>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_6>=1))&&(i0.u0.COMPTEUR_1>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_56>=1))&&(i0.u0.COMPTEUR_9>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_10>=1))&&(i0.u0.COMPTEUR_10>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_24>=1))&&(i0.u0.COMPTEUR_1>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_41>=1))&&(i0.u0.COMPTEUR_3>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_58>=1))&&(i0.u0.COMPTEUR_5>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_70>=1))&&(i0.u0.COMPTEUR_0>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_57>=1))&&(i0.u0.COMPTEUR_7>=1))"))||("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_74>=1))&&(i0.u0.COMPTEUR_9>=1))")))))
Formula 0 simplified : !XF("((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_1>=1))&&(i0.u0.COMPTEUR_0>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_1>=1))&&(i0.u0.COMPTEUR_1>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_1>=1))&&(i0.u0.COMPTEUR_2>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_1>=1))&&(i0.u0.COMPTEUR_3>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_1>=1))&&(i0.u0.COMPTEUR_4>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_1>=1))&&(i0.u0.COMPTEUR_5>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_1>=1))&&(i0.u0.COMPTEUR_6>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_1>=1))&&(i0.u0.COMPTEUR_7>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_1>=1))&&(i0.u0.COMPTEUR_8>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_1>=1))&&(i0.u0.COMPTEUR_9>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_1>=1))&&(i0.u0.COMPTEUR_10>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_2>=1))&&(i0.u0.COMPTEUR_0>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_2>=1))&&(i0.u0.COMPTEUR_1>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_2>=1))&&(i0.u0.COMPTEUR_2>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_2>=1))&&(i0.u0.COMPTEUR_3>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_2>=1))&&(i0.u0.COMPTEUR_4>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_2>=1))&&(i0.u0.COMPTEUR_5>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_2>=1))&&(i0.u0.COMPTEUR_6>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_2>=1))&&(i0.u0.COMPTEUR_7>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_2>=1))&&(i0.u0.COMPTEUR_8>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_2>=1))&&(i0.u0.COMPTEUR_9>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_2>=1))&&(i0.u0.COMPTEUR_10>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_3>=1))&&(i0.u0.COMPTEUR_0>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_3>=1))&&(i0.u0.COMPTEUR_1>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_3>=1))&&(i0.u0.COMPTEUR_2>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_3>=1))&&(i0.u0.COMPTEUR_3>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_3>=1))&&(i0.u0.COMPTEUR_4>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_3>=1))&&(i0.u0.COMPTEUR_5>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_3>=1))&&(i0.u0.COMPTEUR_6>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_3>=1))&&(i0.u0.COMPTEUR_7>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_3>=1))&&(i0.u0.COMPTEUR_8>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_3>=1))&&(i0.u0.COMPTEUR_9>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_3>=1))&&(i0.u0.COMPTEUR_10>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_4>=1))&&(i0.u0.COMPTEUR_0>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_4>=1))&&(i0.u0.COMPTEUR_1>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_4>=1))&&(i0.u0.COMPTEUR_2>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_4>=1))&&(i0.u0.COMPTEUR_3>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_4>=1))&&(i0.u0.COMPTEUR_4>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_4>=1))&&(i0.u0.COMPTEUR_5>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_4>=1))&&(i0.u0.COMPTEUR_6>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_4>=1))&&(i0.u0.COMPTEUR_7>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_4>=1))&&(i0.u0.COMPTEUR_8>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_4>=1))&&(i0.u0.COMPTEUR_9>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_4>=1))&&(i0.u0.COMPTEUR_10>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_5>=1))&&(i0.u0.COMPTEUR_0>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_5>=1))&&(i0.u0.COMPTEUR_1>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_5>=1))&&(i0.u0.COMPTEUR_2>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_5>=1))&&(i0.u0.COMPTEUR_3>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_5>=1))&&(i0.u0.COMPTEUR_4>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_5>=1))&&(i0.u0.COMPTEUR_5>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_5>=1))&&(i0.u0.COMPTEUR_6>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_5>=1))&&(i0.u0.COMPTEUR_7>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_5>=1))&&(i0.u0.COMPTEUR_8>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_5>=1))&&(i0.u0.COMPTEUR_9>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_5>=1))&&(i0.u0.COMPTEUR_10>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_6>=1))&&(i0.u0.COMPTEUR_0>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_6>=1))&&(i0.u0.COMPTEUR_1>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_6>=1))&&(i0.u0.COMPTEUR_2>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_6>=1))&&(i0.u0.COMPTEUR_3>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_6>=1))&&(i0.u0.COMPTEUR_4>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_6>=1))&&(i0.u0.COMPTEUR_5>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_6>=1))&&(i0.u0.COMPTEUR_6>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_6>=1))&&(i0.u0.COMPTEUR_7>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_6>=1))&&(i0.u0.COMPTEUR_8>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_6>=1))&&(i0.u0.COMPTEUR_9>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_6>=1))&&(i0.u0.COMPTEUR_10>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_7>=1))&&(i0.u0.COMPTEUR_0>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_7>=1))&&(i0.u0.COMPTEUR_1>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_7>=1))&&(i0.u0.COMPTEUR_2>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_7>=1))&&(i0.u0.COMPTEUR_3>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_7>=1))&&(i0.u0.COMPTEUR_4>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_7>=1))&&(i0.u0.COMPTEUR_5>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_7>=1))&&(i0.u0.COMPTEUR_6>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_7>=1))&&(i0.u0.COMPTEUR_7>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_7>=1))&&(i0.u0.COMPTEUR_8>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_7>=1))&&(i0.u0.COMPTEUR_9>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_7>=1))&&(i0.u0.COMPTEUR_10>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_8>=1))&&(i0.u0.COMPTEUR_0>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_8>=1))&&(i0.u0.COMPTEUR_1>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_8>=1))&&(i0.u0.COMPTEUR_2>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_8>=1))&&(i0.u0.COMPTEUR_3>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_8>=1))&&(i0.u0.COMPTEUR_4>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_8>=1))&&(i0.u0.COMPTEUR_5>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_8>=1))&&(i0.u0.COMPTEUR_6>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_8>=1))&&(i0.u0.COMPTEUR_7>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_8>=1))&&(i0.u0.COMPTEUR_8>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_8>=1))&&(i0.u0.COMPTEUR_9>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_8>=1))&&(i0.u0.COMPTEUR_10>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_9>=1))&&(i0.u0.COMPTEUR_0>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_9>=1))&&(i0.u0.COMPTEUR_1>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_9>=1))&&(i0.u0.COMPTEUR_2>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_9>=1))&&(i0.u0.COMPTEUR_3>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_9>=1))&&(i0.u0.COMPTEUR_4>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_9>=1))&&(i0.u0.COMPTEUR_5>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_9>=1))&&(i0.u0.COMPTEUR_6>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_9>=1))&&(i0.u0.COMPTEUR_7>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_9>=1))&&(i0.u0.COMPTEUR_8>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_9>=1))&&(i0.u0.COMPTEUR_9>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_9>=1))&&(i0.u0.COMPTEUR_10>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_10>=1))&&(i0.u0.COMPTEUR_0>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_10>=1))&&(i0.u0.COMPTEUR_1>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_10>=1))&&(i0.u0.COMPTEUR_2>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_10>=1))&&(i0.u0.COMPTEUR_3>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_10>=1))&&(i0.u0.COMPTEUR_4>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_10>=1))&&(i0.u0.COMPTEUR_5>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_10>=1))&&(i0.u0.COMPTEUR_6>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_10>=1))&&(i0.u0.COMPTEUR_7>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_10>=1))&&(i0.u0.COMPTEUR_8>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_10>=1))&&(i0.u0.COMPTEUR_9>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_10>=1))&&(i0.u0.COMPTEUR_10>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_11>=1))&&(i0.u0.COMPTEUR_0>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_11>=1))&&(i0.u0.COMPTEUR_1>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_11>=1))&&(i0.u0.COMPTEUR_2>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_11>=1))&&(i0.u0.COMPTEUR_3>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_11>=1))&&(i0.u0.COMPTEUR_4>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_11>=1))&&(i0.u0.COMPTEUR_5>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_11>=1))&&(i0.u0.COMPTEUR_6>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_11>=1))&&(i0.u0.COMPTEUR_7>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_11>=1))&&(i0.u0.COMPTEUR_8>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_11>=1))&&(i0.u0.COMPTEUR_9>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_11>=1))&&(i0.u0.COMPTEUR_10>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_12>=1))&&(i0.u0.COMPTEUR_0>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_12>=1))&&(i0.u0.COMPTEUR_1>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_12>=1))&&(i0.u0.COMPTEUR_2>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_12>=1))&&(i0.u0.COMPTEUR_3>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_12>=1))&&(i0.u0.COMPTEUR_4>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_12>=1))&&(i0.u0.COMPTEUR_5>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_12>=1))&&(i0.u0.COMPTEUR_6>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_12>=1))&&(i0.u0.COMPTEUR_7>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_12>=1))&&(i0.u0.COMPTEUR_8>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_12>=1))&&(i0.u0.COMPTEUR_9>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_12>=1))&&(i0.u0.COMPTEUR_10>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_13>=1))&&(i0.u0.COMPTEUR_0>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_13>=1))&&(i0.u0.COMPTEUR_1>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_13>=1))&&(i0.u0.COMPTEUR_2>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_13>=1))&&(i0.u0.COMPTEUR_3>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_13>=1))&&(i0.u0.COMPTEUR_4>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_13>=1))&&(i0.u0.COMPTEUR_5>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_13>=1))&&(i0.u0.COMPTEUR_6>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_13>=1))&&(i0.u0.COMPTEUR_7>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_13>=1))&&(i0.u0.COMPTEUR_8>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_13>=1))&&(i0.u0.COMPTEUR_9>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_13>=1))&&(i0.u0.COMPTEUR_10>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_14>=1))&&(i0.u0.COMPTEUR_0>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_14>=1))&&(i0.u0.COMPTEUR_1>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_14>=1))&&(i0.u0.COMPTEUR_2>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_14>=1))&&(i0.u0.COMPTEUR_3>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_14>=1))&&(i0.u0.COMPTEUR_4>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_14>=1))&&(i0.u0.COMPTEUR_5>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_14>=1))&&(i0.u0.COMPTEUR_6>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_14>=1))&&(i0.u0.COMPTEUR_7>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_14>=1))&&(i0.u0.COMPTEUR_8>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_14>=1))&&(i0.u0.COMPTEUR_9>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_14>=1))&&(i0.u0.COMPTEUR_10>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_15>=1))&&(i0.u0.COMPTEUR_0>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_15>=1))&&(i0.u0.COMPTEUR_1>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_15>=1))&&(i0.u0.COMPTEUR_2>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_15>=1))&&(i0.u0.COMPTEUR_3>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_15>=1))&&(i0.u0.COMPTEUR_4>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_15>=1))&&(i0.u0.COMPTEUR_5>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_15>=1))&&(i0.u0.COMPTEUR_6>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_15>=1))&&(i0.u0.COMPTEUR_7>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_15>=1))&&(i0.u0.COMPTEUR_8>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_15>=1))&&(i0.u0.COMPTEUR_9>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_15>=1))&&(i0.u0.COMPTEUR_10>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_16>=1))&&(i0.u0.COMPTEUR_0>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_16>=1))&&(i0.u0.COMPTEUR_1>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_16>=1))&&(i0.u0.COMPTEUR_2>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_16>=1))&&(i0.u0.COMPTEUR_3>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_16>=1))&&(i0.u0.COMPTEUR_4>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_16>=1))&&(i0.u0.COMPTEUR_5>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_16>=1))&&(i0.u0.COMPTEUR_6>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_16>=1))&&(i0.u0.COMPTEUR_7>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_16>=1))&&(i0.u0.COMPTEUR_8>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_16>=1))&&(i0.u0.COMPTEUR_9>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_16>=1))&&(i0.u0.COMPTEUR_10>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_17>=1))&&(i0.u0.COMPTEUR_0>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_17>=1))&&(i0.u0.COMPTEUR_1>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_17>=1))&&(i0.u0.COMPTEUR_2>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_17>=1))&&(i0.u0.COMPTEUR_3>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_17>=1))&&(i0.u0.COMPTEUR_4>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_17>=1))&&(i0.u0.COMPTEUR_5>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_17>=1))&&(i0.u0.COMPTEUR_6>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_17>=1))&&(i0.u0.COMPTEUR_7>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_17>=1))&&(i0.u0.COMPTEUR_8>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_17>=1))&&(i0.u0.COMPTEUR_9>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_17>=1))&&(i0.u0.COMPTEUR_10>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_18>=1))&&(i0.u0.COMPTEUR_0>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_18>=1))&&(i0.u0.COMPTEUR_1>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_18>=1))&&(i0.u0.COMPTEUR_2>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_18>=1))&&(i0.u0.COMPTEUR_3>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_18>=1))&&(i0.u0.COMPTEUR_4>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_18>=1))&&(i0.u0.COMPTEUR_5>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_18>=1))&&(i0.u0.COMPTEUR_6>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_18>=1))&&(i0.u0.COMPTEUR_7>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_18>=1))&&(i0.u0.COMPTEUR_8>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_18>=1))&&(i0.u0.COMPTEUR_9>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_18>=1))&&(i0.u0.COMPTEUR_10>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_19>=1))&&(i0.u0.COMPTEUR_0>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_19>=1))&&(i0.u0.COMPTEUR_1>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_19>=1))&&(i0.u0.COMPTEUR_2>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_19>=1))&&(i0.u0.COMPTEUR_3>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_19>=1))&&(i0.u0.COMPTEUR_4>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_19>=1))&&(i0.u0.COMPTEUR_5>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_19>=1))&&(i0.u0.COMPTEUR_6>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_19>=1))&&(i0.u0.COMPTEUR_7>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_19>=1))&&(i0.u0.COMPTEUR_8>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_19>=1))&&(i0.u0.COMPTEUR_9>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_19>=1))&&(i0.u0.COMPTEUR_10>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_20>=1))&&(i0.u0.COMPTEUR_0>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_20>=1))&&(i0.u0.COMPTEUR_1>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_20>=1))&&(i0.u0.COMPTEUR_2>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_20>=1))&&(i0.u0.COMPTEUR_3>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_20>=1))&&(i0.u0.COMPTEUR_4>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_20>=1))&&(i0.u0.COMPTEUR_5>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_20>=1))&&(i0.u0.COMPTEUR_6>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_20>=1))&&(i0.u0.COMPTEUR_7>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_20>=1))&&(i0.u0.COMPTEUR_8>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_20>=1))&&(i0.u0.COMPTEUR_9>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_20>=1))&&(i0.u0.COMPTEUR_10>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_21>=1))&&(i0.u0.COMPTEUR_0>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_21>=1))&&(i0.u0.COMPTEUR_1>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_21>=1))&&(i0.u0.COMPTEUR_2>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_21>=1))&&(i0.u0.COMPTEUR_3>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_21>=1))&&(i0.u0.COMPTEUR_4>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_21>=1))&&(i0.u0.COMPTEUR_5>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_21>=1))&&(i0.u0.COMPTEUR_6>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_21>=1))&&(i0.u0.COMPTEUR_7>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_21>=1))&&(i0.u0.COMPTEUR_8>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_21>=1))&&(i0.u0.COMPTEUR_9>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_21>=1))&&(i0.u0.COMPTEUR_10>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_22>=1))&&(i0.u0.COMPTEUR_0>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_22>=1))&&(i0.u0.COMPTEUR_1>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_22>=1))&&(i0.u0.COMPTEUR_2>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_22>=1))&&(i0.u0.COMPTEUR_3>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_22>=1))&&(i0.u0.COMPTEUR_4>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_22>=1))&&(i0.u0.COMPTEUR_5>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_22>=1))&&(i0.u0.COMPTEUR_6>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_22>=1))&&(i0.u0.COMPTEUR_7>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_22>=1))&&(i0.u0.COMPTEUR_8>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_22>=1))&&(i0.u0.COMPTEUR_9>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_22>=1))&&(i0.u0.COMPTEUR_10>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_23>=1))&&(i0.u0.COMPTEUR_0>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_23>=1))&&(i0.u0.COMPTEUR_1>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_23>=1))&&(i0.u0.COMPTEUR_2>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_23>=1))&&(i0.u0.COMPTEUR_3>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_23>=1))&&(i0.u0.COMPTEUR_4>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_23>=1))&&(i0.u0.COMPTEUR_5>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_23>=1))&&(i0.u0.COMPTEUR_6>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_23>=1))&&(i0.u0.COMPTEUR_7>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_23>=1))&&(i0.u0.COMPTEUR_8>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_23>=1))&&(i0.u0.COMPTEUR_9>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_23>=1))&&(i0.u0.COMPTEUR_10>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_24>=1))&&(i0.u0.COMPTEUR_0>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_24>=1))&&(i0.u0.COMPTEUR_1>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_24>=1))&&(i0.u0.COMPTEUR_2>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_24>=1))&&(i0.u0.COMPTEUR_3>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_24>=1))&&(i0.u0.COMPTEUR_4>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_24>=1))&&(i0.u0.COMPTEUR_5>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_24>=1))&&(i0.u0.COMPTEUR_6>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_24>=1))&&(i0.u0.COMPTEUR_7>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_24>=1))&&(i0.u0.COMPTEUR_8>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_24>=1))&&(i0.u0.COMPTEUR_9>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_24>=1))&&(i0.u0.COMPTEUR_10>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_25>=1))&&(i0.u0.COMPTEUR_0>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_25>=1))&&(i0.u0.COMPTEUR_1>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_25>=1))&&(i0.u0.COMPTEUR_2>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_25>=1))&&(i0.u0.COMPTEUR_3>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_25>=1))&&(i0.u0.COMPTEUR_4>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_25>=1))&&(i0.u0.COMPTEUR_5>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_25>=1))&&(i0.u0.COMPTEUR_6>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_25>=1))&&(i0.u0.COMPTEUR_7>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_25>=1))&&(i0.u0.COMPTEUR_8>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_25>=1))&&(i0.u0.COMPTEUR_9>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_25>=1))&&(i0.u0.COMPTEUR_10>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_26>=1))&&(i0.u0.COMPTEUR_0>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_26>=1))&&(i0.u0.COMPTEUR_1>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_26>=1))&&(i0.u0.COMPTEUR_2>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_26>=1))&&(i0.u0.COMPTEUR_3>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_26>=1))&&(i0.u0.COMPTEUR_4>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_26>=1))&&(i0.u0.COMPTEUR_5>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_26>=1))&&(i0.u0.COMPTEUR_6>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_26>=1))&&(i0.u0.COMPTEUR_7>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_26>=1))&&(i0.u0.COMPTEUR_8>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_26>=1))&&(i0.u0.COMPTEUR_9>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_26>=1))&&(i0.u0.COMPTEUR_10>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_27>=1))&&(i0.u0.COMPTEUR_0>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_27>=1))&&(i0.u0.COMPTEUR_1>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_27>=1))&&(i0.u0.COMPTEUR_2>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_27>=1))&&(i0.u0.COMPTEUR_3>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_27>=1))&&(i0.u0.COMPTEUR_4>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_27>=1))&&(i0.u0.COMPTEUR_5>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_27>=1))&&(i0.u0.COMPTEUR_6>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_27>=1))&&(i0.u0.COMPTEUR_7>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_27>=1))&&(i0.u0.COMPTEUR_8>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_27>=1))&&(i0.u0.COMPTEUR_9>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_27>=1))&&(i0.u0.COMPTEUR_10>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_28>=1))&&(i0.u0.COMPTEUR_0>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_28>=1))&&(i0.u0.COMPTEUR_1>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_28>=1))&&(i0.u0.COMPTEUR_2>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_28>=1))&&(i0.u0.COMPTEUR_3>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_28>=1))&&(i0.u0.COMPTEUR_4>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_28>=1))&&(i0.u0.COMPTEUR_5>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_28>=1))&&(i0.u0.COMPTEUR_6>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_28>=1))&&(i0.u0.COMPTEUR_7>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_28>=1))&&(i0.u0.COMPTEUR_8>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_28>=1))&&(i0.u0.COMPTEUR_9>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_28>=1))&&(i0.u0.COMPTEUR_10>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_29>=1))&&(i0.u0.COMPTEUR_0>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_29>=1))&&(i0.u0.COMPTEUR_1>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_29>=1))&&(i0.u0.COMPTEUR_2>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_29>=1))&&(i0.u0.COMPTEUR_3>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_29>=1))&&(i0.u0.COMPTEUR_4>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_29>=1))&&(i0.u0.COMPTEUR_5>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_29>=1))&&(i0.u0.COMPTEUR_6>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_29>=1))&&(i0.u0.COMPTEUR_7>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_29>=1))&&(i0.u0.COMPTEUR_8>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_29>=1))&&(i0.u0.COMPTEUR_9>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_29>=1))&&(i0.u0.COMPTEUR_10>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_30>=1))&&(i0.u0.COMPTEUR_0>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_30>=1))&&(i0.u0.COMPTEUR_1>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_30>=1))&&(i0.u0.COMPTEUR_2>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_30>=1))&&(i0.u0.COMPTEUR_3>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_30>=1))&&(i0.u0.COMPTEUR_4>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_30>=1))&&(i0.u0.COMPTEUR_5>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_30>=1))&&(i0.u0.COMPTEUR_6>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_30>=1))&&(i0.u0.COMPTEUR_7>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_30>=1))&&(i0.u0.COMPTEUR_8>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_30>=1))&&(i0.u0.COMPTEUR_9>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_30>=1))&&(i0.u0.COMPTEUR_10>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_31>=1))&&(i0.u0.COMPTEUR_0>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_31>=1))&&(i0.u0.COMPTEUR_1>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_31>=1))&&(i0.u0.COMPTEUR_2>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_31>=1))&&(i0.u0.COMPTEUR_3>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_31>=1))&&(i0.u0.COMPTEUR_4>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_31>=1))&&(i0.u0.COMPTEUR_5>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_31>=1))&&(i0.u0.COMPTEUR_6>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_31>=1))&&(i0.u0.COMPTEUR_7>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_31>=1))&&(i0.u0.COMPTEUR_8>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_31>=1))&&(i0.u0.COMPTEUR_9>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_31>=1))&&(i0.u0.COMPTEUR_10>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_32>=1))&&(i0.u0.COMPTEUR_0>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_32>=1))&&(i0.u0.COMPTEUR_1>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_32>=1))&&(i0.u0.COMPTEUR_2>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_32>=1))&&(i0.u0.COMPTEUR_3>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_32>=1))&&(i0.u0.COMPTEUR_4>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_32>=1))&&(i0.u0.COMPTEUR_5>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_32>=1))&&(i0.u0.COMPTEUR_6>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_32>=1))&&(i0.u0.COMPTEUR_7>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_32>=1))&&(i0.u0.COMPTEUR_8>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_32>=1))&&(i0.u0.COMPTEUR_9>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_32>=1))&&(i0.u0.COMPTEUR_10>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_33>=1))&&(i0.u0.COMPTEUR_0>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_33>=1))&&(i0.u0.COMPTEUR_1>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_33>=1))&&(i0.u0.COMPTEUR_2>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_33>=1))&&(i0.u0.COMPTEUR_3>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_33>=1))&&(i0.u0.COMPTEUR_4>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_33>=1))&&(i0.u0.COMPTEUR_5>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_33>=1))&&(i0.u0.COMPTEUR_6>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_33>=1))&&(i0.u0.COMPTEUR_7>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_33>=1))&&(i0.u0.COMPTEUR_8>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_33>=1))&&(i0.u0.COMPTEUR_9>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_33>=1))&&(i0.u0.COMPTEUR_10>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_34>=1))&&(i0.u0.COMPTEUR_0>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_34>=1))&&(i0.u0.COMPTEUR_1>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_34>=1))&&(i0.u0.COMPTEUR_2>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_34>=1))&&(i0.u0.COMPTEUR_3>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_34>=1))&&(i0.u0.COMPTEUR_4>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_34>=1))&&(i0.u0.COMPTEUR_5>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_34>=1))&&(i0.u0.COMPTEUR_6>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_34>=1))&&(i0.u0.COMPTEUR_7>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_34>=1))&&(i0.u0.COMPTEUR_8>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_34>=1))&&(i0.u0.COMPTEUR_9>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_34>=1))&&(i0.u0.COMPTEUR_10>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_35>=1))&&(i0.u0.COMPTEUR_0>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_35>=1))&&(i0.u0.COMPTEUR_1>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_35>=1))&&(i0.u0.COMPTEUR_2>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_35>=1))&&(i0.u0.COMPTEUR_3>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_35>=1))&&(i0.u0.COMPTEUR_4>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_35>=1))&&(i0.u0.COMPTEUR_5>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_35>=1))&&(i0.u0.COMPTEUR_6>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_35>=1))&&(i0.u0.COMPTEUR_7>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_35>=1))&&(i0.u0.COMPTEUR_8>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_35>=1))&&(i0.u0.COMPTEUR_9>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_35>=1))&&(i0.u0.COMPTEUR_10>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_36>=1))&&(i0.u0.COMPTEUR_0>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_36>=1))&&(i0.u0.COMPTEUR_1>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_36>=1))&&(i0.u0.COMPTEUR_2>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_36>=1))&&(i0.u0.COMPTEUR_3>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_36>=1))&&(i0.u0.COMPTEUR_4>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_36>=1))&&(i0.u0.COMPTEUR_5>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_36>=1))&&(i0.u0.COMPTEUR_6>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_36>=1))&&(i0.u0.COMPTEUR_7>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_36>=1))&&(i0.u0.COMPTEUR_8>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_36>=1))&&(i0.u0.COMPTEUR_9>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_36>=1))&&(i0.u0.COMPTEUR_10>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_37>=1))&&(i0.u0.COMPTEUR_0>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_37>=1))&&(i0.u0.COMPTEUR_1>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_37>=1))&&(i0.u0.COMPTEUR_2>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_37>=1))&&(i0.u0.COMPTEUR_3>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_37>=1))&&(i0.u0.COMPTEUR_4>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_37>=1))&&(i0.u0.COMPTEUR_5>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_37>=1))&&(i0.u0.COMPTEUR_6>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_37>=1))&&(i0.u0.COMPTEUR_7>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_37>=1))&&(i0.u0.COMPTEUR_8>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_37>=1))&&(i0.u0.COMPTEUR_9>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_37>=1))&&(i0.u0.COMPTEUR_10>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_38>=1))&&(i0.u0.COMPTEUR_0>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_38>=1))&&(i0.u0.COMPTEUR_1>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_38>=1))&&(i0.u0.COMPTEUR_2>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_38>=1))&&(i0.u0.COMPTEUR_3>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_38>=1))&&(i0.u0.COMPTEUR_4>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_38>=1))&&(i0.u0.COMPTEUR_5>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_38>=1))&&(i0.u0.COMPTEUR_6>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_38>=1))&&(i0.u0.COMPTEUR_7>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_38>=1))&&(i0.u0.COMPTEUR_8>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_38>=1))&&(i0.u0.COMPTEUR_9>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_38>=1))&&(i0.u0.COMPTEUR_10>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_39>=1))&&(i0.u0.COMPTEUR_0>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_39>=1))&&(i0.u0.COMPTEUR_1>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_39>=1))&&(i0.u0.COMPTEUR_2>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_39>=1))&&(i0.u0.COMPTEUR_3>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_39>=1))&&(i0.u0.COMPTEUR_4>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_39>=1))&&(i0.u0.COMPTEUR_5>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_39>=1))&&(i0.u0.COMPTEUR_6>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_39>=1))&&(i0.u0.COMPTEUR_7>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_39>=1))&&(i0.u0.COMPTEUR_8>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_39>=1))&&(i0.u0.COMPTEUR_9>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_39>=1))&&(i0.u0.COMPTEUR_10>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_40>=1))&&(i0.u0.COMPTEUR_0>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_40>=1))&&(i0.u0.COMPTEUR_1>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_40>=1))&&(i0.u0.COMPTEUR_2>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_40>=1))&&(i0.u0.COMPTEUR_3>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_40>=1))&&(i0.u0.COMPTEUR_4>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_40>=1))&&(i0.u0.COMPTEUR_5>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_40>=1))&&(i0.u0.COMPTEUR_6>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_40>=1))&&(i0.u0.COMPTEUR_7>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_40>=1))&&(i0.u0.COMPTEUR_8>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_40>=1))&&(i0.u0.COMPTEUR_9>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_40>=1))&&(i0.u0.COMPTEUR_10>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_41>=1))&&(i0.u0.COMPTEUR_0>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_41>=1))&&(i0.u0.COMPTEUR_1>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_41>=1))&&(i0.u0.COMPTEUR_2>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_41>=1))&&(i0.u0.COMPTEUR_3>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_41>=1))&&(i0.u0.COMPTEUR_4>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_41>=1))&&(i0.u0.COMPTEUR_5>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_41>=1))&&(i0.u0.COMPTEUR_6>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_41>=1))&&(i0.u0.COMPTEUR_7>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_41>=1))&&(i0.u0.COMPTEUR_8>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_41>=1))&&(i0.u0.COMPTEUR_9>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_41>=1))&&(i0.u0.COMPTEUR_10>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_42>=1))&&(i0.u0.COMPTEUR_0>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_42>=1))&&(i0.u0.COMPTEUR_1>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_42>=1))&&(i0.u0.COMPTEUR_2>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_42>=1))&&(i0.u0.COMPTEUR_3>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_42>=1))&&(i0.u0.COMPTEUR_4>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_42>=1))&&(i0.u0.COMPTEUR_5>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_42>=1))&&(i0.u0.COMPTEUR_6>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_42>=1))&&(i0.u0.COMPTEUR_7>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_42>=1))&&(i0.u0.COMPTEUR_8>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_42>=1))&&(i0.u0.COMPTEUR_9>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_42>=1))&&(i0.u0.COMPTEUR_10>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_43>=1))&&(i0.u0.COMPTEUR_0>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_43>=1))&&(i0.u0.COMPTEUR_1>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_43>=1))&&(i0.u0.COMPTEUR_2>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_43>=1))&&(i0.u0.COMPTEUR_3>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_43>=1))&&(i0.u0.COMPTEUR_4>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_43>=1))&&(i0.u0.COMPTEUR_5>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_43>=1))&&(i0.u0.COMPTEUR_6>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_43>=1))&&(i0.u0.COMPTEUR_7>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_43>=1))&&(i0.u0.COMPTEUR_8>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_43>=1))&&(i0.u0.COMPTEUR_9>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_43>=1))&&(i0.u0.COMPTEUR_10>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_44>=1))&&(i0.u0.COMPTEUR_0>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_44>=1))&&(i0.u0.COMPTEUR_1>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_44>=1))&&(i0.u0.COMPTEUR_2>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_44>=1))&&(i0.u0.COMPTEUR_3>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_44>=1))&&(i0.u0.COMPTEUR_4>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_44>=1))&&(i0.u0.COMPTEUR_5>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_44>=1))&&(i0.u0.COMPTEUR_6>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_44>=1))&&(i0.u0.COMPTEUR_7>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_44>=1))&&(i0.u0.COMPTEUR_8>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_44>=1))&&(i0.u0.COMPTEUR_9>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_44>=1))&&(i0.u0.COMPTEUR_10>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_45>=1))&&(i0.u0.COMPTEUR_0>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_45>=1))&&(i0.u0.COMPTEUR_1>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_45>=1))&&(i0.u0.COMPTEUR_2>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_45>=1))&&(i0.u0.COMPTEUR_3>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_45>=1))&&(i0.u0.COMPTEUR_4>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_45>=1))&&(i0.u0.COMPTEUR_5>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_45>=1))&&(i0.u0.COMPTEUR_6>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_45>=1))&&(i0.u0.COMPTEUR_7>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_45>=1))&&(i0.u0.COMPTEUR_8>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_45>=1))&&(i0.u0.COMPTEUR_9>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_45>=1))&&(i0.u0.COMPTEUR_10>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_46>=1))&&(i0.u0.COMPTEUR_0>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_46>=1))&&(i0.u0.COMPTEUR_1>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_46>=1))&&(i0.u0.COMPTEUR_2>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_46>=1))&&(i0.u0.COMPTEUR_3>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_46>=1))&&(i0.u0.COMPTEUR_4>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_46>=1))&&(i0.u0.COMPTEUR_5>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_46>=1))&&(i0.u0.COMPTEUR_6>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_46>=1))&&(i0.u0.COMPTEUR_7>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_46>=1))&&(i0.u0.COMPTEUR_8>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_46>=1))&&(i0.u0.COMPTEUR_9>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_46>=1))&&(i0.u0.COMPTEUR_10>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_47>=1))&&(i0.u0.COMPTEUR_0>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_47>=1))&&(i0.u0.COMPTEUR_1>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_47>=1))&&(i0.u0.COMPTEUR_2>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_47>=1))&&(i0.u0.COMPTEUR_3>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_47>=1))&&(i0.u0.COMPTEUR_4>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_47>=1))&&(i0.u0.COMPTEUR_5>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_47>=1))&&(i0.u0.COMPTEUR_6>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_47>=1))&&(i0.u0.COMPTEUR_7>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_47>=1))&&(i0.u0.COMPTEUR_8>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_47>=1))&&(i0.u0.COMPTEUR_9>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_47>=1))&&(i0.u0.COMPTEUR_10>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_48>=1))&&(i0.u0.COMPTEUR_0>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_48>=1))&&(i0.u0.COMPTEUR_1>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_48>=1))&&(i0.u0.COMPTEUR_2>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_48>=1))&&(i0.u0.COMPTEUR_3>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_48>=1))&&(i0.u0.COMPTEUR_4>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_48>=1))&&(i0.u0.COMPTEUR_5>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_48>=1))&&(i0.u0.COMPTEUR_6>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_48>=1))&&(i0.u0.COMPTEUR_7>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_48>=1))&&(i0.u0.COMPTEUR_8>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_48>=1))&&(i0.u0.COMPTEUR_9>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_48>=1))&&(i0.u0.COMPTEUR_10>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_49>=1))&&(i0.u0.COMPTEUR_0>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_49>=1))&&(i0.u0.COMPTEUR_1>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_49>=1))&&(i0.u0.COMPTEUR_2>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_49>=1))&&(i0.u0.COMPTEUR_3>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_49>=1))&&(i0.u0.COMPTEUR_4>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_49>=1))&&(i0.u0.COMPTEUR_5>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_49>=1))&&(i0.u0.COMPTEUR_6>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_49>=1))&&(i0.u0.COMPTEUR_7>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_49>=1))&&(i0.u0.COMPTEUR_8>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_49>=1))&&(i0.u0.COMPTEUR_9>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_49>=1))&&(i0.u0.COMPTEUR_10>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_50>=1))&&(i0.u0.COMPTEUR_0>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_50>=1))&&(i0.u0.COMPTEUR_1>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_50>=1))&&(i0.u0.COMPTEUR_2>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_50>=1))&&(i0.u0.COMPTEUR_3>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_50>=1))&&(i0.u0.COMPTEUR_4>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_50>=1))&&(i0.u0.COMPTEUR_5>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_50>=1))&&(i0.u0.COMPTEUR_6>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_50>=1))&&(i0.u0.COMPTEUR_7>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_50>=1))&&(i0.u0.COMPTEUR_8>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_50>=1))&&(i0.u0.COMPTEUR_9>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_50>=1))&&(i0.u0.COMPTEUR_10>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_51>=1))&&(i0.u0.COMPTEUR_0>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_51>=1))&&(i0.u0.COMPTEUR_1>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_51>=1))&&(i0.u0.COMPTEUR_2>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_51>=1))&&(i0.u0.COMPTEUR_3>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_51>=1))&&(i0.u0.COMPTEUR_4>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_51>=1))&&(i0.u0.COMPTEUR_5>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_51>=1))&&(i0.u0.COMPTEUR_6>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_51>=1))&&(i0.u0.COMPTEUR_7>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_51>=1))&&(i0.u0.COMPTEUR_8>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_51>=1))&&(i0.u0.COMPTEUR_9>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_51>=1))&&(i0.u0.COMPTEUR_10>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_52>=1))&&(i0.u0.COMPTEUR_0>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_52>=1))&&(i0.u0.COMPTEUR_1>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_52>=1))&&(i0.u0.COMPTEUR_2>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_52>=1))&&(i0.u0.COMPTEUR_3>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_52>=1))&&(i0.u0.COMPTEUR_4>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_52>=1))&&(i0.u0.COMPTEUR_5>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_52>=1))&&(i0.u0.COMPTEUR_6>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_52>=1))&&(i0.u0.COMPTEUR_7>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_52>=1))&&(i0.u0.COMPTEUR_8>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_52>=1))&&(i0.u0.COMPTEUR_9>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_52>=1))&&(i0.u0.COMPTEUR_10>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_53>=1))&&(i0.u0.COMPTEUR_0>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_53>=1))&&(i0.u0.COMPTEUR_1>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_53>=1))&&(i0.u0.COMPTEUR_2>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_53>=1))&&(i0.u0.COMPTEUR_3>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_53>=1))&&(i0.u0.COMPTEUR_4>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_53>=1))&&(i0.u0.COMPTEUR_5>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_53>=1))&&(i0.u0.COMPTEUR_6>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_53>=1))&&(i0.u0.COMPTEUR_7>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_53>=1))&&(i0.u0.COMPTEUR_8>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_53>=1))&&(i0.u0.COMPTEUR_9>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_53>=1))&&(i0.u0.COMPTEUR_10>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_54>=1))&&(i0.u0.COMPTEUR_0>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_54>=1))&&(i0.u0.COMPTEUR_1>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_54>=1))&&(i0.u0.COMPTEUR_2>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_54>=1))&&(i0.u0.COMPTEUR_3>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_54>=1))&&(i0.u0.COMPTEUR_4>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_54>=1))&&(i0.u0.COMPTEUR_5>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_54>=1))&&(i0.u0.COMPTEUR_6>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_54>=1))&&(i0.u0.COMPTEUR_7>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_54>=1))&&(i0.u0.COMPTEUR_8>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_54>=1))&&(i0.u0.COMPTEUR_9>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_54>=1))&&(i0.u0.COMPTEUR_10>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_55>=1))&&(i0.u0.COMPTEUR_0>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_55>=1))&&(i0.u0.COMPTEUR_1>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_55>=1))&&(i0.u0.COMPTEUR_2>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_55>=1))&&(i0.u0.COMPTEUR_3>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_55>=1))&&(i0.u0.COMPTEUR_4>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_55>=1))&&(i0.u0.COMPTEUR_5>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_55>=1))&&(i0.u0.COMPTEUR_6>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_55>=1))&&(i0.u0.COMPTEUR_7>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_55>=1))&&(i0.u0.COMPTEUR_8>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_55>=1))&&(i0.u0.COMPTEUR_9>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_55>=1))&&(i0.u0.COMPTEUR_10>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_56>=1))&&(i0.u0.COMPTEUR_0>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_56>=1))&&(i0.u0.COMPTEUR_1>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_56>=1))&&(i0.u0.COMPTEUR_2>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_56>=1))&&(i0.u0.COMPTEUR_3>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_56>=1))&&(i0.u0.COMPTEUR_4>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_56>=1))&&(i0.u0.COMPTEUR_5>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_56>=1))&&(i0.u0.COMPTEUR_6>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_56>=1))&&(i0.u0.COMPTEUR_7>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_56>=1))&&(i0.u0.COMPTEUR_8>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_56>=1))&&(i0.u0.COMPTEUR_9>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_56>=1))&&(i0.u0.COMPTEUR_10>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_57>=1))&&(i0.u0.COMPTEUR_0>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_57>=1))&&(i0.u0.COMPTEUR_1>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_57>=1))&&(i0.u0.COMPTEUR_2>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_57>=1))&&(i0.u0.COMPTEUR_3>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_57>=1))&&(i0.u0.COMPTEUR_4>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_57>=1))&&(i0.u0.COMPTEUR_5>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_57>=1))&&(i0.u0.COMPTEUR_6>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_57>=1))&&(i0.u0.COMPTEUR_7>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_57>=1))&&(i0.u0.COMPTEUR_8>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_57>=1))&&(i0.u0.COMPTEUR_9>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_57>=1))&&(i0.u0.COMPTEUR_10>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_58>=1))&&(i0.u0.COMPTEUR_0>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_58>=1))&&(i0.u0.COMPTEUR_1>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_58>=1))&&(i0.u0.COMPTEUR_2>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_58>=1))&&(i0.u0.COMPTEUR_3>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_58>=1))&&(i0.u0.COMPTEUR_4>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_58>=1))&&(i0.u0.COMPTEUR_5>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_58>=1))&&(i0.u0.COMPTEUR_6>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_58>=1))&&(i0.u0.COMPTEUR_7>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_58>=1))&&(i0.u0.COMPTEUR_8>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_58>=1))&&(i0.u0.COMPTEUR_9>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_58>=1))&&(i0.u0.COMPTEUR_10>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_59>=1))&&(i0.u0.COMPTEUR_0>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_59>=1))&&(i0.u0.COMPTEUR_1>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_59>=1))&&(i0.u0.COMPTEUR_2>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_59>=1))&&(i0.u0.COMPTEUR_3>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_59>=1))&&(i0.u0.COMPTEUR_4>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_59>=1))&&(i0.u0.COMPTEUR_5>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_59>=1))&&(i0.u0.COMPTEUR_6>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_59>=1))&&(i0.u0.COMPTEUR_7>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_59>=1))&&(i0.u0.COMPTEUR_8>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_59>=1))&&(i0.u0.COMPTEUR_9>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_59>=1))&&(i0.u0.COMPTEUR_10>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_60>=1))&&(i0.u0.COMPTEUR_0>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_60>=1))&&(i0.u0.COMPTEUR_1>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_60>=1))&&(i0.u0.COMPTEUR_2>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_60>=1))&&(i0.u0.COMPTEUR_3>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_60>=1))&&(i0.u0.COMPTEUR_4>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_60>=1))&&(i0.u0.COMPTEUR_5>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_60>=1))&&(i0.u0.COMPTEUR_6>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_60>=1))&&(i0.u0.COMPTEUR_7>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_60>=1))&&(i0.u0.COMPTEUR_8>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_60>=1))&&(i0.u0.COMPTEUR_9>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_60>=1))&&(i0.u0.COMPTEUR_10>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_61>=1))&&(i0.u0.COMPTEUR_0>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_61>=1))&&(i0.u0.COMPTEUR_1>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_61>=1))&&(i0.u0.COMPTEUR_2>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_61>=1))&&(i0.u0.COMPTEUR_3>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_61>=1))&&(i0.u0.COMPTEUR_4>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_61>=1))&&(i0.u0.COMPTEUR_5>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_61>=1))&&(i0.u0.COMPTEUR_6>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_61>=1))&&(i0.u0.COMPTEUR_7>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_61>=1))&&(i0.u0.COMPTEUR_8>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_61>=1))&&(i0.u0.COMPTEUR_9>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_61>=1))&&(i0.u0.COMPTEUR_10>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_62>=1))&&(i0.u0.COMPTEUR_0>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_62>=1))&&(i0.u0.COMPTEUR_1>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_62>=1))&&(i0.u0.COMPTEUR_2>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_62>=1))&&(i0.u0.COMPTEUR_3>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_62>=1))&&(i0.u0.COMPTEUR_4>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_62>=1))&&(i0.u0.COMPTEUR_5>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_62>=1))&&(i0.u0.COMPTEUR_6>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_62>=1))&&(i0.u0.COMPTEUR_7>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_62>=1))&&(i0.u0.COMPTEUR_8>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_62>=1))&&(i0.u0.COMPTEUR_9>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_62>=1))&&(i0.u0.COMPTEUR_10>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_63>=1))&&(i0.u0.COMPTEUR_0>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_63>=1))&&(i0.u0.COMPTEUR_1>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_63>=1))&&(i0.u0.COMPTEUR_2>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_63>=1))&&(i0.u0.COMPTEUR_3>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_63>=1))&&(i0.u0.COMPTEUR_4>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_63>=1))&&(i0.u0.COMPTEUR_5>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_63>=1))&&(i0.u0.COMPTEUR_6>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_63>=1))&&(i0.u0.COMPTEUR_7>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_63>=1))&&(i0.u0.COMPTEUR_8>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_63>=1))&&(i0.u0.COMPTEUR_9>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_63>=1))&&(i0.u0.COMPTEUR_10>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_64>=1))&&(i0.u0.COMPTEUR_0>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_64>=1))&&(i0.u0.COMPTEUR_1>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_64>=1))&&(i0.u0.COMPTEUR_2>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_64>=1))&&(i0.u0.COMPTEUR_3>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_64>=1))&&(i0.u0.COMPTEUR_4>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_64>=1))&&(i0.u0.COMPTEUR_5>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_64>=1))&&(i0.u0.COMPTEUR_6>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_64>=1))&&(i0.u0.COMPTEUR_7>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_64>=1))&&(i0.u0.COMPTEUR_8>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_64>=1))&&(i0.u0.COMPTEUR_9>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_64>=1))&&(i0.u0.COMPTEUR_10>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_65>=1))&&(i0.u0.COMPTEUR_0>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_65>=1))&&(i0.u0.COMPTEUR_1>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_65>=1))&&(i0.u0.COMPTEUR_2>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_65>=1))&&(i0.u0.COMPTEUR_3>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_65>=1))&&(i0.u0.COMPTEUR_4>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_65>=1))&&(i0.u0.COMPTEUR_5>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_65>=1))&&(i0.u0.COMPTEUR_6>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_65>=1))&&(i0.u0.COMPTEUR_7>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_65>=1))&&(i0.u0.COMPTEUR_8>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_65>=1))&&(i0.u0.COMPTEUR_9>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_65>=1))&&(i0.u0.COMPTEUR_10>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_66>=1))&&(i0.u0.COMPTEUR_0>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_66>=1))&&(i0.u0.COMPTEUR_1>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_66>=1))&&(i0.u0.COMPTEUR_2>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_66>=1))&&(i0.u0.COMPTEUR_3>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_66>=1))&&(i0.u0.COMPTEUR_4>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_66>=1))&&(i0.u0.COMPTEUR_5>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_66>=1))&&(i0.u0.COMPTEUR_6>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_66>=1))&&(i0.u0.COMPTEUR_7>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_66>=1))&&(i0.u0.COMPTEUR_8>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_66>=1))&&(i0.u0.COMPTEUR_9>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_66>=1))&&(i0.u0.COMPTEUR_10>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_67>=1))&&(i0.u0.COMPTEUR_0>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_67>=1))&&(i0.u0.COMPTEUR_1>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_67>=1))&&(i0.u0.COMPTEUR_2>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_67>=1))&&(i0.u0.COMPTEUR_3>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_67>=1))&&(i0.u0.COMPTEUR_4>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_67>=1))&&(i0.u0.COMPTEUR_5>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_67>=1))&&(i0.u0.COMPTEUR_6>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_67>=1))&&(i0.u0.COMPTEUR_7>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_67>=1))&&(i0.u0.COMPTEUR_8>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_67>=1))&&(i0.u0.COMPTEUR_9>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_67>=1))&&(i0.u0.COMPTEUR_10>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_68>=1))&&(i0.u0.COMPTEUR_0>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_68>=1))&&(i0.u0.COMPTEUR_1>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_68>=1))&&(i0.u0.COMPTEUR_2>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_68>=1))&&(i0.u0.COMPTEUR_3>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_68>=1))&&(i0.u0.COMPTEUR_4>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_68>=1))&&(i0.u0.COMPTEUR_5>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_68>=1))&&(i0.u0.COMPTEUR_6>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_68>=1))&&(i0.u0.COMPTEUR_7>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_68>=1))&&(i0.u0.COMPTEUR_8>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_68>=1))&&(i0.u0.COMPTEUR_9>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_68>=1))&&(i0.u0.COMPTEUR_10>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_69>=1))&&(i0.u0.COMPTEUR_0>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_69>=1))&&(i0.u0.COMPTEUR_1>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_69>=1))&&(i0.u0.COMPTEUR_2>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_69>=1))&&(i0.u0.COMPTEUR_3>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_69>=1))&&(i0.u0.COMPTEUR_4>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_69>=1))&&(i0.u0.COMPTEUR_5>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_69>=1))&&(i0.u0.COMPTEUR_6>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_69>=1))&&(i0.u0.COMPTEUR_7>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_69>=1))&&(i0.u0.COMPTEUR_8>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_69>=1))&&(i0.u0.COMPTEUR_9>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_69>=1))&&(i0.u0.COMPTEUR_10>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_70>=1))&&(i0.u0.COMPTEUR_0>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_70>=1))&&(i0.u0.COMPTEUR_1>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_70>=1))&&(i0.u0.COMPTEUR_2>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_70>=1))&&(i0.u0.COMPTEUR_3>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_70>=1))&&(i0.u0.COMPTEUR_4>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_70>=1))&&(i0.u0.COMPTEUR_5>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_70>=1))&&(i0.u0.COMPTEUR_6>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_70>=1))&&(i0.u0.COMPTEUR_7>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_70>=1))&&(i0.u0.COMPTEUR_8>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_70>=1))&&(i0.u0.COMPTEUR_9>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_70>=1))&&(i0.u0.COMPTEUR_10>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_71>=1))&&(i0.u0.COMPTEUR_0>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_71>=1))&&(i0.u0.COMPTEUR_1>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_71>=1))&&(i0.u0.COMPTEUR_2>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_71>=1))&&(i0.u0.COMPTEUR_3>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_71>=1))&&(i0.u0.COMPTEUR_4>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_71>=1))&&(i0.u0.COMPTEUR_5>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_71>=1))&&(i0.u0.COMPTEUR_6>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_71>=1))&&(i0.u0.COMPTEUR_7>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_71>=1))&&(i0.u0.COMPTEUR_8>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_71>=1))&&(i0.u0.COMPTEUR_9>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_71>=1))&&(i0.u0.COMPTEUR_10>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_72>=1))&&(i0.u0.COMPTEUR_0>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_72>=1))&&(i0.u0.COMPTEUR_1>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_72>=1))&&(i0.u0.COMPTEUR_2>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_72>=1))&&(i0.u0.COMPTEUR_3>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_72>=1))&&(i0.u0.COMPTEUR_4>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_72>=1))&&(i0.u0.COMPTEUR_5>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_72>=1))&&(i0.u0.COMPTEUR_6>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_72>=1))&&(i0.u0.COMPTEUR_7>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_72>=1))&&(i0.u0.COMPTEUR_8>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_72>=1))&&(i0.u0.COMPTEUR_9>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_72>=1))&&(i0.u0.COMPTEUR_10>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_73>=1))&&(i0.u0.COMPTEUR_0>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_73>=1))&&(i0.u0.COMPTEUR_1>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_73>=1))&&(i0.u0.COMPTEUR_2>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_73>=1))&&(i0.u0.COMPTEUR_3>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_73>=1))&&(i0.u0.COMPTEUR_4>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_73>=1))&&(i0.u0.COMPTEUR_5>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_73>=1))&&(i0.u0.COMPTEUR_6>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_73>=1))&&(i0.u0.COMPTEUR_7>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_73>=1))&&(i0.u0.COMPTEUR_8>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_73>=1))&&(i0.u0.COMPTEUR_9>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_73>=1))&&(i0.u0.COMPTEUR_10>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_74>=1))&&(i0.u0.COMPTEUR_0>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_74>=1))&&(i0.u0.COMPTEUR_1>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_74>=1))&&(i0.u0.COMPTEUR_2>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_74>=1))&&(i0.u0.COMPTEUR_3>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_74>=1))&&(i0.u0.COMPTEUR_4>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_74>=1))&&(i0.u0.COMPTEUR_5>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_74>=1))&&(i0.u0.COMPTEUR_6>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_74>=1))&&(i0.u0.COMPTEUR_7>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_74>=1))&&(i0.u0.COMPTEUR_8>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_74>=1))&&(i0.u0.COMPTEUR_9>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_74>=1))&&(i0.u0.COMPTEUR_10>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_75>=1))&&(i0.u0.COMPTEUR_0>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_75>=1))&&(i0.u0.COMPTEUR_1>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_75>=1))&&(i0.u0.COMPTEUR_2>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_75>=1))&&(i0.u0.COMPTEUR_3>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_75>=1))&&(i0.u0.COMPTEUR_4>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_75>=1))&&(i0.u0.COMPTEUR_5>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_75>=1))&&(i0.u0.COMPTEUR_6>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_75>=1))&&(i0.u0.COMPTEUR_7>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_75>=1))&&(i0.u0.COMPTEUR_8>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_75>=1))&&(i0.u0.COMPTEUR_9>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_75>=1))&&(i0.u0.COMPTEUR_10>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_76>=1))&&(i0.u0.COMPTEUR_0>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_76>=1))&&(i0.u0.COMPTEUR_1>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_76>=1))&&(i0.u0.COMPTEUR_2>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_76>=1))&&(i0.u0.COMPTEUR_3>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_76>=1))&&(i0.u0.COMPTEUR_4>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_76>=1))&&(i0.u0.COMPTEUR_5>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_76>=1))&&(i0.u0.COMPTEUR_6>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_76>=1))&&(i0.u0.COMPTEUR_7>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_76>=1))&&(i0.u0.COMPTEUR_8>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_76>=1))&&(i0.u0.COMPTEUR_9>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_76>=1))&&(i0.u0.COMPTEUR_10>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_77>=1))&&(i0.u0.COMPTEUR_0>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_77>=1))&&(i0.u0.COMPTEUR_1>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_77>=1))&&(i0.u0.COMPTEUR_2>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_77>=1))&&(i0.u0.COMPTEUR_3>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_77>=1))&&(i0.u0.COMPTEUR_4>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_77>=1))&&(i0.u0.COMPTEUR_5>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_77>=1))&&(i0.u0.COMPTEUR_6>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_77>=1))&&(i0.u0.COMPTEUR_7>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_77>=1))&&(i0.u0.COMPTEUR_8>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_77>=1))&&(i0.u0.COMPTEUR_9>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_77>=1))&&(i0.u0.COMPTEUR_10>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_78>=1))&&(i0.u0.COMPTEUR_0>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_78>=1))&&(i0.u0.COMPTEUR_1>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_78>=1))&&(i0.u0.COMPTEUR_2>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_78>=1))&&(i0.u0.COMPTEUR_3>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_78>=1))&&(i0.u0.COMPTEUR_4>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_78>=1))&&(i0.u0.COMPTEUR_5>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_78>=1))&&(i0.u0.COMPTEUR_6>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_78>=1))&&(i0.u0.COMPTEUR_7>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_78>=1))&&(i0.u0.COMPTEUR_8>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_78>=1))&&(i0.u0.COMPTEUR_9>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_78>=1))&&(i0.u0.COMPTEUR_10>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_79>=1))&&(i0.u0.COMPTEUR_0>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_79>=1))&&(i0.u0.COMPTEUR_1>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_79>=1))&&(i0.u0.COMPTEUR_2>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_79>=1))&&(i0.u0.COMPTEUR_3>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_79>=1))&&(i0.u0.COMPTEUR_4>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_79>=1))&&(i0.u0.COMPTEUR_5>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_79>=1))&&(i0.u0.COMPTEUR_6>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_79>=1))&&(i0.u0.COMPTEUR_7>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_79>=1))&&(i0.u0.COMPTEUR_8>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_79>=1))&&(i0.u0.COMPTEUR_9>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_79>=1))&&(i0.u0.COMPTEUR_10>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_80>=1))&&(i0.u0.COMPTEUR_0>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_80>=1))&&(i0.u0.COMPTEUR_1>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_80>=1))&&(i0.u0.COMPTEUR_2>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_80>=1))&&(i0.u0.COMPTEUR_3>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_80>=1))&&(i0.u0.COMPTEUR_4>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_80>=1))&&(i0.u0.COMPTEUR_5>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_80>=1))&&(i0.u0.COMPTEUR_6>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_80>=1))&&(i0.u0.COMPTEUR_7>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_80>=1))&&(i0.u0.COMPTEUR_8>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_80>=1))&&(i0.u0.COMPTEUR_9>=1))" | "((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_80>=1))&&(i0.u0.COMPTEUR_10>=1))" | "((u1.CHOIX_1>=1)&&(i0.u0.COMPTEUR_0>=1))" | "((u1.CHOIX_1>=1)&&(i0.u0.COMPTEUR_1>=1))" | "((u1.CHOIX_1>=1)&&(i0.u0.COMPTEUR_2>=1))" | "((u1.CHOIX_1>=1)&&(i0.u0.COMPTEUR_3>=1))" | "((u1.CHOIX_1>=1)&&(i0.u0.COMPTEUR_4>=1))" | "((u1.CHOIX_1>=1)&&(i0.u0.COMPTEUR_5>=1))" | "((u1.CHOIX_1>=1)&&(i0.u0.COMPTEUR_6>=1))" | "((u1.CHOIX_1>=1)&&(i0.u0.COMPTEUR_7>=1))" | "((u1.CHOIX_1>=1)&&(i0.u0.COMPTEUR_8>=1))" | "((u1.CHOIX_1>=1)&&(i0.u0.COMPTEUR_9>=1))" | "((u1.CHOIX_2>=1)&&(i0.u0.COMPTEUR_0>=1))" | "((u1.CHOIX_2>=1)&&(i0.u0.COMPTEUR_1>=1))" | "((u1.CHOIX_2>=1)&&(i0.u0.COMPTEUR_2>=1))" | "((u1.CHOIX_2>=1)&&(i0.u0.COMPTEUR_3>=1))" | "((u1.CHOIX_2>=1)&&(i0.u0.COMPTEUR_4>=1))" | "((u1.CHOIX_2>=1)&&(i0.u0.COMPTEUR_5>=1))" | "((u1.CHOIX_2>=1)&&(i0.u0.COMPTEUR_6>=1))" | "((u1.CHOIX_2>=1)&&(i0.u0.COMPTEUR_7>=1))" | "((u1.CHOIX_2>=1)&&(i0.u0.COMPTEUR_8>=1))" | "((u1.CHOIX_2>=1)&&(i0.u0.COMPTEUR_9>=1))" | "(u1.SUR_PONT_A>=1)" | X("(((((((((((((((((((((u1.CHOIX_2>=1)&&(i0.u0.COMPTEUR_5>=1))||((u1.CHOIX_2>=1)&&(i0.u0.COMPTEUR_4>=1)))||((u1.CHOIX_2>=1)&&(i0.u0.COMPTEUR_7>=1)))||((u1.CHOIX_2>=1)&&(i0.u0.COMPTEUR_6>=1)))||((u1.CHOIX_2>=1)&&(i0.u0.COMPTEUR_9>=1)))||((u1.CHOIX_2>=1)&&(i0.u0.COMPTEUR_8>=1)))||((u1.CHOIX_1>=1)&&(i0.u0.COMPTEUR_0>=1)))||((u1.CHOIX_1>=1)&&(i0.u0.COMPTEUR_4>=1)))||((u1.CHOIX_1>=1)&&(i0.u0.COMPTEUR_3>=1)))||((u1.CHOIX_1>=1)&&(i0.u0.COMPTEUR_2>=1)))||((u1.CHOIX_1>=1)&&(i0.u0.COMPTEUR_1>=1)))||((u1.CHOIX_1>=1)&&(i0.u0.COMPTEUR_8>=1)))||((u1.CHOIX_1>=1)&&(i0.u0.COMPTEUR_7>=1)))||((u1.CHOIX_1>=1)&&(i0.u0.COMPTEUR_6>=1)))||((u1.CHOIX_1>=1)&&(i0.u0.COMPTEUR_5>=1)))||((u1.CHOIX_1>=1)&&(i0.u0.COMPTEUR_9>=1)))||((u1.CHOIX_2>=1)&&(i0.u0.COMPTEUR_1>=1)))||((u1.CHOIX_2>=1)&&(i0.u0.COMPTEUR_0>=1)))||((u1.CHOIX_2>=1)&&(i0.u0.COMPTEUR_3>=1)))||((u1.CHOIX_2>=1)&&(i0.u0.COMPTEUR_2>=1)))" & X"((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((u3.NB_ATTENTE_B_75>=1)&&(u3.ROUTE_B>=1))||((u3.NB_ATTENTE_B_56>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_17>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_36>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_55>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_16>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_6>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_65>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_76>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_46>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_27>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_74>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_57>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_15>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_37>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_54>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_66>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_7>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_28>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_45>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_63>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_14>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_4>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_73>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_38>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_23>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_48>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_29>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_30>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_47>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_13>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_72>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_39>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_5>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_22>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_64>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_41>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_31>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_70>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_51>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_2>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_21>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_50>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_12>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_42>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_61>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_80>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_3>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_40>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_20>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_71>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_11>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_32>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_49>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_79>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_62>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_19>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_24>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_68>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_58>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_34>=1)&&(u3.ROUTE_B>=1)))||((i0.u2.NB_ATTENTE_B_0>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_53>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_33>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_44>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_67>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_59>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_78>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_10>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_8>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_52>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_25>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_69>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_35>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_1>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_18>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_77>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_60>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_26>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_43>=1)&&(u3.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_9>=1)&&(u3.ROUTE_B>=1)))"))
Detected timeout of ITS tools.
Built C files in :
/tmp/ltsmin11383589370805592322
[2021-05-09 05:15:54] [INFO ] Built C files in 26ms conformant to PINS (ltsmin variant)in folder :/tmp/ltsmin11383589370805592322
Running compilation step : CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/include/, -I., -std=c99, -fPIC, -O2, model.c], workingDir=/tmp/ltsmin11383589370805592322]
WARNING : LTS min runner thread failed on error :java.lang.RuntimeException: Compilation or link of executable timed out.java.util.concurrent.TimeoutException: Subprocess running CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/include/, -I., -std=c99, -fPIC, -O2, model.c], workingDir=/tmp/ltsmin11383589370805592322] killed by timeout after 1 SECONDS
java.lang.RuntimeException: Compilation or link of executable timed out.java.util.concurrent.TimeoutException: Subprocess running CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/include/, -I., -std=c99, -fPIC, -O2, model.c], workingDir=/tmp/ltsmin11383589370805592322] killed by timeout after 1 SECONDS
at fr.lip6.move.gal.application.LTSminRunner$1.run(LTSminRunner.java:79)
at java.base/java.lang.Thread.run(Thread.java:834)
Treatment of property BridgeAndVehicles-PT-V80P20N10-03 finished in 46786 ms.
Running Spot : CommandLine [args=[/home/mcc/BenchKit/bin//..//ltl2tgba, --hoaf=tv, -f, !(G((F(G(p0))&&F(p1))))], workingDir=/home/mcc/execution]
Support contains 175 out of 186 places. Attempting structural reductions.
Starting structural reductions, iteration 0 : 186/186 places, 2108/2108 transitions.
Discarding 1 places :
Symmetric choice reduction at 0 with 1 rule applications. Total rules 1 place count 185 transition count 2107
Iterating global reduction 0 with 1 rules applied. Total rules applied 2 place count 185 transition count 2107
Applied a total of 2 rules in 107 ms. Remains 185 /186 variables (removed 1) and now considering 2107/2108 (removed 1) transitions.
[2021-05-09 05:15:56] [INFO ] Flow matrix only has 369 transitions (discarded 1738 similar events)
// Phase 1: matrix 369 rows 185 cols
[2021-05-09 05:15:56] [INFO ] Computed 5 place invariants in 2 ms
[2021-05-09 05:15:56] [INFO ] Dead Transitions using invariants and state equation in 688 ms returned []
[2021-05-09 05:15:56] [INFO ] Flow matrix only has 369 transitions (discarded 1738 similar events)
// Phase 1: matrix 369 rows 185 cols
[2021-05-09 05:15:56] [INFO ] Computed 5 place invariants in 1 ms
[2021-05-09 05:15:56] [INFO ] Implicit Places using invariants in 79 ms returned []
[2021-05-09 05:15:56] [INFO ] Flow matrix only has 369 transitions (discarded 1738 similar events)
// Phase 1: matrix 369 rows 185 cols
[2021-05-09 05:15:56] [INFO ] Computed 5 place invariants in 1 ms
[2021-05-09 05:15:56] [INFO ] State equation strengthened by 22 read => feed constraints.
[2021-05-09 05:15:57] [INFO ] Implicit Places using invariants and state equation in 658 ms returned [82]
Discarding 1 places :
Implicit Place search using SMT with State Equation took 764 ms to find 1 implicit places.
[2021-05-09 05:15:57] [INFO ] Redundant transitions in 78 ms returned []
[2021-05-09 05:15:57] [INFO ] Flow matrix only has 369 transitions (discarded 1738 similar events)
// Phase 1: matrix 369 rows 184 cols
[2021-05-09 05:15:57] [INFO ] Computed 5 place invariants in 3 ms
[2021-05-09 05:15:58] [INFO ] Dead Transitions using invariants and state equation in 571 ms returned []
Starting structural reductions, iteration 1 : 184/186 places, 2107/2108 transitions.
Applied a total of 0 rules in 21 ms. Remains 184 /184 variables (removed 0) and now considering 2107/2107 (removed 0) transitions.
[2021-05-09 05:15:58] [INFO ] Redundant transitions in 34 ms returned []
[2021-05-09 05:15:58] [INFO ] Flow matrix only has 369 transitions (discarded 1738 similar events)
// Phase 1: matrix 369 rows 184 cols
[2021-05-09 05:15:58] [INFO ] Computed 5 place invariants in 1 ms
[2021-05-09 05:15:58] [INFO ] Dead Transitions using invariants and state equation in 517 ms returned []
Finished structural reductions, in 2 iterations. Remains : 184/186 places, 2107/2108 transitions.
Stuttering acceptance computed with spot in 80 ms :[(OR (NOT p0) (NOT p1)), (NOT p0), (NOT p1)]
Running random walk in product with property : BridgeAndVehicles-PT-V80P20N10-04 automaton TGBA [mat=[[{ cond=true, acceptance={} source=0 dest: 0}, { cond=(NOT p0), acceptance={} source=0 dest: 1}, { cond=(NOT p1), acceptance={} source=0 dest: 2}], [{ cond=(NOT p0), acceptance={0} source=1 dest: 1}, { cond=p0, acceptance={} source=1 dest: 1}], [{ cond=(NOT p1), acceptance={0} source=2 dest: 2}]], initial=0, aps=[p0:(OR (AND (GEQ s83 1) (GEQ s85 1) (GEQ s93 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s132 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s142 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s113 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s123 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s161 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s151 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s102 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s131 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s143 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s160 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s92 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s114 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s101 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s152 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s105 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s122 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s130 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s144 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s95 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s110 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s164 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s96 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s119 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s121 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s155 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s104 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s87 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s153 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s163 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s128 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s94 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s111 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s129 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s146 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s112 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s145 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s162 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s154 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s103 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s120 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s137 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s88 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s98 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s108 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s127 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s166 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s147 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s117 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s89 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s136 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s157 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s138 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s126 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s148 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s109 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s97 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s165 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s156 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s118 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s135 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s139 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s115 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s159 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s125 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s91 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s149 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s134 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s140 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s106 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s100 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s99 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s116 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s90 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s150 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s107 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s124 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s133 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s141 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s158 1) (GEQ s167 1))), p1:(OR (AND (GEQ s79 1) (GEQ s85 1) (GEQ s86 1) (GEQ s181 1)) (AND (GEQ s25 1) (GEQ s85 1) (GEQ s86 1) (GEQ s182 1)) (AND (GEQ s58 1) (GEQ s85 1) (GEQ s86 1) (GEQ s175 1)) (AND (GEQ s20 1) (GEQ s85 1) (GEQ s86 1) (GEQ s175 1)) (AND (GEQ s80 1) (GEQ s85 1) (GEQ s86 1) (GEQ s176 1)) (AND (GEQ s74 1) (GEQ s85 1) (GEQ s86 1) (GEQ s174 1)) (AND (GEQ s3 1) (GEQ s85 1) (GEQ s86 1) (GEQ s181 1)) (AND (GEQ s4 1) (GEQ s85 1) (GEQ s86 1) (GEQ s176 1)) (AND (GEQ s64 1) (GEQ s85 1) (GEQ s86 1) (GEQ s177 1)) (AND (GEQ s19 1) (GEQ s85 1) (GEQ s86 1) (GEQ s180 1)) (AND (GEQ s63 1) (GEQ s85 1) (GEQ s86 1) (GEQ s182 1)) (AND (GEQ s42 1) (GEQ s85 1) (GEQ s86 1) (GEQ s176 1)) (AND (GEQ s41 1) (GEQ s85 1) (GEQ s86 1) (GEQ s181 1)) (AND (GEQ s11 1) (GEQ s85 1) (GEQ s86 1) (GEQ s173 1)) (AND (GEQ s51 1) (GEQ s85 1) (GEQ s86 1) (GEQ s178 1)) (AND (GEQ s70 1) (GEQ s85 1) (GEQ s86 1) (GEQ s179 1)) (AND (GEQ s16 1) (GEQ s85 1) (GEQ s86 1) (GEQ s180 1)) (AND (GEQ s10 1) (GEQ s85 1) (GEQ s86 1) (GEQ s178 1)) (AND (GEQ s50 1) (GEQ s85 1) (GEQ s86 1) (GEQ s183 1)) (AND (GEQ s52 1) (GEQ s85 1) (GEQ s86 1) (GEQ s173 1)) (AND (GEQ s45 1) (GEQ s85 1) (GEQ s86 1) (GEQ s176 1)) (AND (GEQ s26 1) (GEQ s85 1) (GEQ s86 1) (GEQ s177 1)) (AND (GEQ s9 1) (GEQ s85 1) (GEQ s86 1) (GEQ s183 1)) (AND (GEQ s61 1) (GEQ s85 1) (GEQ s86 1) (GEQ s175 1)) (AND (GEQ s71 1) (GEQ s85 1) (GEQ s86 1) (GEQ s174 1)) (AND (GEQ s35 1) (GEQ s85 1) (GEQ s86 1) (GEQ s179 1)) (AND (GEQ s36 1) (GEQ s85 1) (GEQ s86 1) (GEQ s174 1)) (AND (GEQ s77 1) (GEQ s85 1) (GEQ s86 1) (GEQ s174 1)) (AND (GEQ s60 1) (GEQ s85 1) (GEQ s86 1) (GEQ s180 1)) (AND (GEQ s22 1) (GEQ s85 1) (GEQ s86 1) (GEQ s182 1)) (AND (GEQ s61 1) (GEQ s85 1) (GEQ s86 1) (GEQ s177 1)) (AND (GEQ s23 1) (GEQ s85 1) (GEQ s86 1) (GEQ s175 1)) (AND (GEQ s38 1) (GEQ s85 1) (GEQ s86 1) (GEQ s179 1)) (AND (GEQ s39 1) (GEQ s85 1) (GEQ s86 1) (GEQ s176 1)) (AND (GEQ s44 1) (GEQ s85 1) (GEQ s86 1) (GEQ s181 1)) (AND (GEQ s17 1) (GEQ s85 1) (GEQ s86 1) (GEQ s173 1)) (AND (GEQ s54 1) (GEQ s85 1) (GEQ s86 1) (GEQ s180 1)) (AND (GEQ s66 1) (GEQ s85 1) (GEQ s86 1) (GEQ s182 1)) (AND (GEQ s29 1) (GEQ s85 1) (GEQ s86 1) (GEQ s177 1)) (AND (GEQ s7 1) (GEQ s85 1) (GEQ s86 1) (GEQ s178 1)) (AND (GEQ s55 1) (GEQ s85 1) (GEQ s86 1) (GEQ s173 1)) (AND (GEQ s53 1) (GEQ s85 1) (GEQ s86 1) (GEQ s183 1)) (AND (GEQ s6 1) (GEQ s85 1) (GEQ s86 1) (GEQ s181 1)) (AND (GEQ s76 1) (GEQ s85 1) (GEQ s86 1) (GEQ s181 1)) (AND (GEQ s30 1) (GEQ s85 1) (GEQ s86 1) (GEQ s174 1)) (AND (GEQ s32 1) (GEQ s85 1) (GEQ s86 1) (GEQ s177 1)) (AND (GEQ s13 1) (GEQ s85 1) (GEQ s86 1) (GEQ s180 1)) (AND (GEQ s67 1) (GEQ s85 1) (GEQ s86 1) (GEQ s179 1)) (AND (GEQ s33 1) (GEQ s85 1) (GEQ s86 1) (GEQ s174 1)) (AND (GEQ s73 1) (GEQ s85 1) (GEQ s86 1) (GEQ s181 1)) (AND (GEQ s57 1) (GEQ s85 1) (GEQ s86 1) (GEQ s180 1)) (AND (GEQ s12 1) (GEQ s85 1) (GEQ s86 1) (GEQ s183 1)) (AND (GEQ s14 1) (GEQ s85 1) (GEQ s86 1) (GEQ s173 1)) (AND (GEQ s48 1) (GEQ s85 1) (GEQ s86 1) (GEQ s178 1)) (AND (GEQ s47 1) (GEQ s85 1) (GEQ s86 1) (GEQ s181 1)) (AND (GEQ s79 1) (GEQ s85 1) (GEQ s86 1) (GEQ s179 1)) (AND (GEQ s58 1) (GEQ s85 1) (GEQ s86 1) (GEQ s173 1)) (AND (GEQ s20 1) (GEQ s85 1) (GEQ s86 1) (GEQ s173 1)) (AND (GEQ s80 1) (GEQ s85 1) (GEQ s86 1) (GEQ s174 1)) (AND (GEQ s3 1) (GEQ s85 1) (GEQ s86 1) (GEQ s183 1)) (AND (GEQ s57 1) (GEQ s85 1) (GEQ s86 1) (GEQ s178 1)) (AND (GEQ s26 1) (GEQ s85 1) (GEQ s86 1) (GEQ s179 1)) (AND (GEQ s74 1) (GEQ s85 1) (GEQ s86 1) (GEQ s176 1)) (AND (GEQ s81 1) (GEQ s85 1) (GEQ s86 1) (GEQ s173 1)) (AND (GEQ s4 1) (GEQ s85 1) (GEQ s86 1) (GEQ s174 1)) (AND (GEQ s19 1) (GEQ s85 1) (GEQ s86 1) (GEQ s178 1)) (AND (GEQ s64 1) (GEQ s85 1) (GEQ s86 1) (GEQ s175 1)) (AND (GEQ s42 1) (GEQ s85 1) (GEQ s86 1) (GEQ s174 1)) (AND (GEQ s41 1) (GEQ s85 1) (GEQ s86 1) (GEQ s183 1)) (AND (GEQ s51 1) (GEQ s85 1) (GEQ s86 1) (GEQ s180 1)) (AND (GEQ s70 1) (GEQ s85 1) (GEQ s86 1) (GEQ s181 1)) (AND (GEQ s11 1) (GEQ s85 1) (GEQ s86 1) (GEQ s175 1)) (AND (GEQ s34 1) (GEQ s85 1) (GEQ s86 1) (GEQ s182 1)) (AND (GEQ s69 1) (GEQ s85 1) (GEQ s86 1) (GEQ s182 1)) (AND (GEQ s52 1) (GEQ s85 1) (GEQ s86 1) (GEQ s175 1)) (AND (GEQ s16 1) (GEQ s85 1) (GEQ s86 1) (GEQ s178 1)) (AND (GEQ s50 1) (GEQ s85 1) (GEQ s86 1) (GEQ s181 1)) (AND (GEQ s45 1) (GEQ s85 1) (GEQ s86 1) (GEQ s174 1)) (AND (GEQ s9 1) (GEQ s85 1) (GEQ s86 1) (GEQ s181 1)) (AND (GEQ s10 1) (GEQ s85 1) (GEQ s86 1) (GEQ s180 1)) (AND (GEQ s35 1) (GEQ s85 1) (GEQ s86 1) (GEQ s177 1)) (AND (GEQ s71 1) (GEQ s85 1) (GEQ s86 1) (GEQ s176 1)) (AND (GEQ s61 1) (GEQ s85 1) (GEQ s86 1) (GEQ s173 1)) (AND (GEQ s36 1) (GEQ s85 1) (GEQ s86 1) (GEQ s176 1)) (AND (GEQ s6 1) (GEQ s85 1) (GEQ s86 1) (GEQ s183 1)) (AND (GEQ s60 1) (GEQ s85 1) (GEQ s86 1) (GEQ s178 1)) (AND (GEQ s23 1) (GEQ s85 1) (GEQ s86 1) (GEQ s173 1)) (AND (GEQ s38 1) (GEQ s85 1) (GEQ s86 1) (GEQ s177 1)) (AND (GEQ s39 1) (GEQ s85 1) (GEQ s86 1) (GEQ s174 1)) (AND (GEQ s44 1) (GEQ s85 1) (GEQ s86 1) (GEQ s183 1)) (AND (GEQ s28 1) (GEQ s85 1) (GEQ s86 1) (GEQ s182 1)) (AND (GEQ s75 1) (GEQ s85 1) (GEQ s86 1) (GEQ s182 1)) (AND (GEQ s54 1) (GEQ s85 1) (GEQ s86 1) (GEQ s178 1)) (AND (GEQ s55 1) (GEQ s85 1) (GEQ s86 1) (GEQ s175 1)) (AND (GEQ s17 1) (GEQ s85 1) (GEQ s86 1) (GEQ s175 1)) (AND (GEQ s7 1) (GEQ s85 1) (GEQ s86 1) (GEQ s180 1)) (AND (GEQ s29 1) (GEQ s85 1) (GEQ s86 1) (GEQ s179 1)) (AND (GEQ s76 1) (GEQ s85 1) (GEQ s86 1) (GEQ s179 1)) (AND (GEQ s30 1) (GEQ s85 1) (GEQ s86 1) (GEQ s176 1)) (AND (GEQ s78 1) (GEQ s85 1) (GEQ s86 1) (GEQ s173 1)) (AND (GEQ s53 1) (GEQ s85 1) (GEQ s86 1) (GEQ s181 1)) (AND (GEQ s77 1) (GEQ s85 1) (GEQ s86 1) (GEQ s176 1)) (AND (GEQ s13 1) (GEQ s85 1) (GEQ s86 1) (GEQ s178 1)) (AND (GEQ s32 1) (GEQ s85 1) (GEQ s86 1) (GEQ s179 1)) (AND (GEQ s14 1) (GEQ s85 1) (GEQ s86 1) (GEQ s175 1)) (AND (GEQ s72 1) (GEQ s85 1) (GEQ s86 1) (GEQ s182 1)) (AND (GEQ s31 1) (GEQ s85 1) (GEQ s86 1) (GEQ s182 1)) (AND (GEQ s67 1) (GEQ s85 1) (GEQ s86 1) (GEQ s181 1)) (AND (GEQ s33 1) (GEQ s85 1) (GEQ s86 1) (GEQ s176 1)) (AND (GEQ s47 1) (GEQ s85 1) (GEQ s86 1) (GEQ s183 1)) (AND (GEQ s73 1) (GEQ s85 1) (GEQ s86 1) (GEQ s179 1)) (AND (GEQ s12 1) (GEQ s85 1) (GEQ s86 1) (GEQ s181 1)) (AND (GEQ s48 1) (GEQ s85 1) (GEQ s86 1) (GEQ s180 1)) (AND (GEQ s79 1) (GEQ s85 1) (GEQ s86 1) (GEQ s177 1)) (AND (GEQ s57 1) (GEQ s85 1) (GEQ s86 1) (GEQ s176 1)) (AND (GEQ s26 1) (GEQ s85 1) (GEQ s86 1) (GEQ s181 1)) (AND (GEQ s75 1) (GEQ s85 1) (GEQ s86 1) (GEQ s173 1)) (AND (GEQ s64 1) (GEQ s85 1) (GEQ s86 1) (GEQ s173 1)) (AND (GEQ s19 1) (GEQ s85 1) (GEQ s86 1) (GEQ s176 1)) (AND (GEQ s11 1) (GEQ s85 1) (GEQ s86 1) (GEQ s177 1)) (AND (GEQ s34 1) (GEQ s85 1) (GEQ s86 1) (GEQ s180 1)) (AND (GEQ s15 1) (GEQ s85 1) (GEQ s86 1) (GEQ s181 1)) (AND (GEQ s50 1) (GEQ s85 1) (GEQ s86 1) (GEQ s179 1)) (AND (GEQ s51 1) (GEQ s85 1) (GEQ s86 1) (GEQ s174 1)) (AND (GEQ s45 1) (GEQ s85 1) (GEQ s86 1) (GEQ s180 1)) (AND (GEQ s46 1) (GEQ s85 1) (GEQ s86 1) (GEQ s175 1)) (AND (GEQ s62 1) (GEQ s85 1) (GEQ s86 1) (GEQ s174 1)) (AND (GEQ s10 1) (GEQ s85 1) (GEQ s86 1) (GEQ s182 1)) (AND (GEQ s35 1) (GEQ s85 1) (GEQ s86 1) (GEQ s175 1)) (AND (GEQ s59 1) (GEQ s85 1) (GEQ s86 1) (GEQ s183 1)) (AND (GEQ s70 1) (GEQ s85 1) (GEQ s86 1) (GEQ s183 1)) (AND (GEQ s71 1) (GEQ s85 1) (GEQ s86 1) (GEQ s178 1)) (AND (GEQ s22 1) (GEQ s85 1) (GEQ s86 1) (GEQ s178 1)) (AND (GEQ s40 1) (GEQ s85 1) (GEQ s86 1) (GEQ s173 1)) (AND (GEQ s61 1) (GEQ s85 1) (GEQ s86 1) (GEQ s181 1)) (AND (GEQ s78 1) (GEQ s85 1) (GEQ s86 1) (GEQ s175 1)) (AND (GEQ s2 1) (GEQ s85 1) (GEQ s86 1) (GEQ s173 1)) (AND (GEQ s23 1) (GEQ s85 1) (GEQ s86 1) (GEQ s179 1)) (AND (GEQ s81 1) (GEQ s85 1) (GEQ s86 1) (GEQ s182 1)) (AND (GEQ s21 1) (GEQ s85 1) (GEQ s86 1) (GEQ s181 1)) (AND (GEQ s56 1) (GEQ s85 1) (GEQ s86 1) (GEQ s174 1)) (AND (GEQ s39 1) (GEQ s85 1) (GEQ s86 1) (GEQ s180 1)) (AND (GEQ s44 1) (GEQ s85 1) (GEQ s86 1) (GEQ s177 1)) (AND (GEQ s17 1) (GEQ s85 1) (GEQ s86 1) (GEQ s177 1)) (AND (GEQ s38 1) (GEQ s85 1) (GEQ s86 1) (GEQ s183 1)) (AND (GEQ s27 1) (GEQ s85 1) (GEQ s86 1) (GEQ s183 1)) (AND (GEQ s8 1) (GEQ s85 1) (GEQ s86 1) (GEQ s175 1)) (AND (GEQ s28 1) (GEQ s85 1) (GEQ s86 1) (GEQ s180 1)) (AND (GEQ s55 1) (GEQ s85 1) (GEQ s86 1) (GEQ s177 1)) (AND (GEQ s6 1) (GEQ s85 1) (GEQ s86 1) (GEQ s177 1)) (AND (GEQ s66 1) (GEQ s85 1) (GEQ s86 1) (GEQ s178 1)) (AND (GEQ s18 1) (GEQ s85 1) (GEQ s86 1) (GEQ s174 1)) (AND (GEQ s77 1) (GEQ s85 1) (GEQ s86 1) (GEQ s178 1)) (AND (GEQ s7 1) (GEQ s85 1) (GEQ s86 1) (GEQ s174 1)) (AND (GEQ s68 1) (GEQ s85 1) (GEQ s86 1) (GEQ s176 1)) (AND (GEQ s13 1) (GEQ s85 1) (GEQ s86 1) (GEQ s176 1)) (AND (GEQ s29 1) (GEQ s85 1) (GEQ s86 1) (GEQ s173 1)) (AND (GEQ s67 1) (GEQ s85 1) (GEQ s86 1) (GEQ s175 1)) (AND (GEQ s33 1) (GEQ s85 1) (GEQ s86 1) (GEQ s178 1)) (AND (GEQ s32 1) (GEQ s85 1) (GEQ s86 1) (GEQ s181 1)) (AND (GEQ s69 1) (GEQ s85 1) (GEQ s86 1) (GEQ s173 1)) (AND (GEQ s73 1) (GEQ s85 1) (GEQ s86 1) (GEQ s177 1)) (AND (GEQ s37 1) (GEQ s85 1) (GEQ s86 1) (GEQ s182 1)) (AND (GEQ s48 1) (GEQ s85 1) (GEQ s86 1) (GEQ s182 1)) (AND (GEQ s72 1) (GEQ s85 1) (GEQ s86 1) (GEQ s180 1)) (AND (GEQ s24 1) (GEQ s85 1) (GEQ s86 1) (GEQ s176 1)) (AND (GEQ s12 1) (GEQ s85 1) (GEQ s86 1) (GEQ s179 1)) (AND (GEQ s79 1) (GEQ s85 1) (GEQ s86 1) (GEQ s175 1)) (AND (GEQ s26 1) (GEQ s85 1) (GEQ s86 1) (GEQ s183 1)) (AND (GEQ s57 1) (GEQ s85 1) (GEQ s86 1) (GEQ s174 1)) (AND (GEQ s19 1) (GEQ s85 1) (GEQ s86 1) (GEQ s174 1)) (AND (GEQ s50 1) (GEQ s85 1) (GEQ s86 1) (GEQ s177 1)) (AND (GEQ s15 1) (GEQ s85 1) (GEQ s86 1) (GEQ s183 1)) (AND (GEQ s16 1) (GEQ s85 1) (GEQ s86 1) (GEQ s182 1)) (AND (GEQ s34 1) (GEQ s85 1) (GEQ s86 1) (GEQ s178 1)) (AND (GEQ s49 1) (GEQ s85 1) (GEQ s86 1) (GEQ s182 1)) (AND (GEQ s46 1) (GEQ s85 1) (GEQ s86 1) (GEQ s173 1)) (AND (GEQ s51 1) (GEQ s85 1) (GEQ s86 1) (GEQ s176 1)) (AND (GEQ s35 1) (GEQ s85 1) (GEQ s86 1) (GEQ s173 1)) (AND (GEQ s45 1) (GEQ s85 1) (GEQ s86 1) (GEQ s178 1)) (AND (GEQ s11 1) (GEQ s85 1) (GEQ s86 1) (GEQ s179 1)) (AND (GEQ s71 1) (GEQ s85 1) (GEQ s86 1) (GEQ s180 1)) (AND (GEQ s40 1) (GEQ s85 1) (GEQ s86 1) (GEQ s175 1)) (AND (GEQ s78 1) (GEQ s85 1) (GEQ s86 1) (GEQ s177 1)) (AND (GEQ s5 1) (GEQ s85 1) (GEQ s86 1) (GEQ s182 1)) (AND (GEQ s43 1) (GEQ s85 1) (GEQ s86 1) (GEQ s182 1)) (AND (GEQ s61 1) (GEQ s85 1) (GEQ s86 1) (GEQ s179 1)) (AND (GEQ s2 1) (GEQ s85 1) (GEQ s86 1) (GEQ s175 1)) (AND (GEQ s23 1) (GEQ s85 1) (GEQ s86 1) (GEQ s177 1)) (AND (GEQ s22 1) (GEQ s85 1) (GEQ s86 1) (GEQ s180 1)) (AND (GEQ s60 1) (GEQ s85 1) (GEQ s86 1) (GEQ s182 1)) (AND (GEQ s39 1) (GEQ s85 1) (GEQ s86 1) (GEQ s178 1)) (AND (GEQ s44 1) (GEQ s85 1) (GEQ s86 1) (GEQ s179 1)) (AND (GEQ s21 1) (GEQ s85 1) (GEQ s86 1) (GEQ s183 1)) (AND (GEQ s56 1) (GEQ s85 1) (GEQ s86 1) (GEQ s176 1)) (AND (GEQ s62 1) (GEQ s85 1) (GEQ s86 1) (GEQ s176 1)) (AND (GEQ s38 1) (GEQ s85 1) (GEQ s86 1) (GEQ s181 1)) (AND (GEQ s55 1) (GEQ s85 1) (GEQ s86 1) (GEQ s179 1)) (AND (GEQ s65 1) (GEQ s85 1) (GEQ s86 1) (GEQ s183 1)) (AND (GEQ s17 1) (GEQ s85 1) (GEQ s86 1) (GEQ s179 1)) (AND (GEQ s27 1) (GEQ s85 1) (GEQ s86 1) (GEQ s181 1)) (AND (GEQ s8 1) (GEQ s85 1) (GEQ s86 1) (GEQ s173 1)) (AND (GEQ s66 1) (GEQ s85 1) (GEQ s86 1) (GEQ s180 1)) (AND (GEQ s28 1) (GEQ s85 1) (GEQ s86 1) (GEQ s178 1)) (AND (GEQ s54 1) (GEQ s85 1) (GEQ s86 1) (GEQ s182 1)) (AND (GEQ s77 1) (GEQ s85 1) (GEQ s86 1) (GEQ s180 1)) (AND (GEQ s7 1) (GEQ s85 1) (GEQ s86 1) (GEQ s176 1)) (AND (GEQ s18 1) (GEQ s85 1) (GEQ s86 1) (GEQ s176 1)) (AND (GEQ s6 1) (GEQ s85 1) (GEQ s86 1) (GEQ s179 1)) (AND (GEQ s76 1) (GEQ s85 1) (GEQ s86 1) (GEQ s183 1)) (AND (GEQ s33 1) (GEQ s85 1) (GEQ s86 1) (GEQ s180 1)) (AND (GEQ s68 1) (GEQ s85 1) (GEQ s86 1) (GEQ s174 1)) (AND (GEQ s29 1) (GEQ s85 1) (GEQ s86 1) (GEQ s175 1)) (AND (GEQ s67 1) (GEQ s85 1) (GEQ s86 1) (GEQ s177 1)) (AND (GEQ s32 1) (GEQ s85 1) (GEQ s86 1) (GEQ s183 1)) (AND (GEQ s72 1) (GEQ s85 1) (GEQ s86 1) (GEQ s178 1)) (AND (GEQ s73 1) (GEQ s85 1) (GEQ s86 1) (GEQ s175 1)) (AND (GEQ s24 1) (GEQ s85 1) (GEQ s86 1) (GEQ s174 1)) (AND (GEQ s13 1) (GEQ s85 1) (GEQ s86 1) (GEQ s174 1)) (AND (GEQ s12 1) (GEQ s85 1) (GEQ s86 1) (GEQ s177 1)) (AND (GEQ s78 1) (GEQ s85 1) (GEQ s86 1) (GEQ s178 1)) (AND (GEQ s5 1) (GEQ s85 1) (GEQ s86 1) (GEQ s179 1)) (AND (GEQ s3 1) (GEQ s85 1) (GEQ s86 1) (GEQ s173 1)) (AND (GEQ s2 1) (GEQ s85 1) (GEQ s86 1) (GEQ s178 1)) (AND (GEQ s81 1) (GEQ s85 1) (GEQ s86 1) (GEQ s179 1)) (AND (GEQ s22 1) (GEQ s85 1) (GEQ s86 1) (GEQ s173 1)) (AND (GEQ s20 1) (GEQ s85 1) (GEQ s86 1) (GEQ s183 1)) (AND (GEQ s21 1) (GEQ s85 1) (GEQ s86 1) (GEQ s178 1)) (AND (GEQ s62 1) (GEQ s85 1) (GEQ s86 1) (GEQ s179 1)) (AND (GEQ s63 1) (GEQ s85 1) (GEQ s86 1) (GEQ s174 1)) (AND (GEQ s65 1) (GEQ s85 1) (GEQ s86 1) (GEQ s180 1)) (AND (GEQ s6 1) (GEQ s85 1) (GEQ s86 1) (GEQ s174 1)) (AND (GEQ s66 1) (GEQ s85 1) (GEQ s86 1) (GEQ s175 1)) (AND (GEQ s77 1) (GEQ s85 1) (GEQ s86 1) (GEQ s183 1)) (AND (GEQ s30 1) (GEQ s85 1) (GEQ s86 1) (GEQ s181 1)) (AND (GEQ s53 1) (GEQ s85 1) (GEQ s86 1) (GEQ s176 1)) (AND (GEQ s17 1) (GEQ s85 1) (GEQ s86 1) (GEQ s182 1)) (AND (GEQ s18 1) (GEQ s85 1) (GEQ s86 1) (GEQ s177 1)) (AND (GEQ s14 1) (GEQ s85 1) (GEQ s86 1) (GEQ s182 1)) (AND (GEQ s33 1) (GEQ s85 1) (GEQ s86 1) (GEQ s181 1)) (AND (GEQ s52 1) (GEQ s85 1) (GEQ s86 1) (GEQ s181 1)) (AND (GEQ s71 1) (GEQ s85 1) (GEQ s86 1) (GEQ s182 1)) (AND (GEQ s15 1) (GEQ s85 1) (GEQ s86 1) (GEQ s177 1)) (AND (GEQ s55 1) (GEQ s85 1) (GEQ s86 1) (GEQ s183 1)) (AND (GEQ s36 1) (GEQ s85 1) (GEQ s86 1) (GEQ s182 1)) (AND (GEQ s37 1) (GEQ s85 1) (GEQ s86 1) (GEQ s177 1)) (AND (GEQ s11 1) (GEQ s85 1) (GEQ s86 1) (GEQ s181 1)) (AND (GEQ s59 1) (GEQ s85 1) (GEQ s86 1) (GEQ s179 1)) (AND (GEQ s59 1) (GEQ s85 1) (GEQ s86 1) (GEQ s177 1)) (AND (GEQ s41 1) (GEQ s85 1) (GEQ s86 1) (GEQ s174 1)) (AND (GEQ s43 1) (GEQ s85 1) (GEQ s86 1) (GEQ s180 1)) (AND (GEQ s76 1) (GEQ s85 1) (GEQ s86 1) (GEQ s173 1)) (AND (GEQ s40 1) (GEQ s85 1) (GEQ s86 1) (GEQ s177 1)) (AND (GEQ s42 1) (GEQ s85 1) (GEQ s86 1) (GEQ s183 1)) (AND (GEQ s75 1) (GEQ s85 1) (GEQ s86 1) (GEQ s176 1)) (AND (GEQ s56 1) (GEQ s85 1) (GEQ s86 1) (GEQ s178 1)) (AND (GEQ s27 1) (GEQ s85 1) (GEQ s86 1) (GEQ s179 1)) (AND (GEQ s8 1) (GEQ s85 1) (GEQ s86 1) (GEQ s179 1)) (AND (GEQ s55 1) (GEQ s85 1) (GEQ s86 1) (GEQ s181 1)) (AND (GEQ s74 1) (GEQ s85 1) (GEQ s86 1) (GEQ s183 1)) (AND (GEQ s44 1) (GEQ s85 1) (GEQ s86 1) (GEQ s173 1)) (AND (GEQ s31 1) (GEQ s85 1) (GEQ s86 1) (GEQ s176 1)) (AND (GEQ s12 1) (GEQ s85 1) (GEQ s86 1) (GEQ s175 1)) (AND (GEQ s50 1) (GEQ s85 1) (GEQ s86 1) (GEQ s176 1)) (AND (GEQ s69 1) (GEQ s85 1) (GEQ s86 1) (GEQ s177 1)) (AND (GEQ s49 1) (GEQ s85 1) (GEQ s86 1) (GEQ s179 1)) (AND (GEQ s47 1) (GEQ s85 1) (GEQ s86 1) (GEQ s173 1)) (AND (GEQ s28 1) (GEQ s85 1) (GEQ s86 1) (GEQ s176 1)) (AND (GEQ s34 1) (GEQ s85 1) (GEQ s86 1) (GEQ s175 1)) (AND (GEQ s9 1) (GEQ s85 1) (GEQ s86 1) (GEQ s176 1)) (AND (GEQ s68 1) (GEQ s85 1) (GEQ s86 1) (GEQ s180 1)) (AND (GEQ s46 1) (GEQ s85 1) (GEQ s86 1) (GEQ s180 1)) (AND (GEQ s72 1) (GEQ s85 1) (GEQ s86 1) (GEQ s176 1)) (AND (GEQ s73 1) (GEQ s85 1) (GEQ s86 1) (GEQ s173 1)) (AND (GEQ s45 1) (GEQ s85 1) (GEQ s86 1) (GEQ s183 1)) (AND (GEQ s24 1) (GEQ s85 1) (GEQ s86 1) (GEQ s180 1)) (AND (GEQ s25 1) (GEQ s85 1) (GEQ s86 1) (GEQ s173 1)) (AND (GEQ s23 1) (GEQ s85 1) (GEQ s86 1) (GEQ s183 1)) (AND (GEQ s61 1) (GEQ s85 1) (GEQ s86 1) (GEQ s182 1)) (AND (GEQ s22 1) (GEQ s85 1) (GEQ s86 1) (GEQ s175 1)) (AND (GEQ s80 1) (GEQ s85 1) (GEQ s86 1) (GEQ s182 1)) (AND (GEQ s3 1) (GEQ s85 1) (GEQ s86 1) (GEQ s175 1)) (AND (GEQ s81 1) (GEQ s85 1) (GEQ s86 1) (GEQ s181 1)) (AND (GEQ s4 1) (GEQ s85 1) (GEQ s86 1) (GEQ s182 1)) (AND (GEQ s5 1) (GEQ s85 1) (GEQ s86 1) (GEQ s177 1)) (AND (GEQ s78 1) (GEQ s85 1) (GEQ s86 1) (GEQ s180 1)) (AND (GEQ s2 1) (GEQ s85 1) (GEQ s86 1) (GEQ s180 1)) (AND (GEQ s21 1) (GEQ s85 1) (GEQ s86 1) (GEQ s180 1)) (AND (GEQ s20 1) (GEQ s85 1) (GEQ s86 1) (GEQ s181 1)) (AND (GEQ s62 1) (GEQ s85 1) (GEQ s86 1) (GEQ s181 1)) (AND (GEQ s18 1) (GEQ s85 1) (GEQ s86 1) (GEQ s179 1)) (AND (GEQ s63 1) (GEQ s85 1) (GEQ s86 1) (GEQ s176 1)) (AND (GEQ s30 1) (GEQ s85 1) (GEQ s86 1) (GEQ s183 1)) (AND (GEQ s53 1) (GEQ s85 1) (GEQ s86 1) (GEQ s174 1)) (AND (GEQ s6 1) (GEQ s85 1) (GEQ s86 1) (GEQ s176 1)) (AND (GEQ s66 1) (GEQ s85 1) (GEQ s86 1) (GEQ s177 1)) (AND (GEQ s64 1) (GEQ s85 1) (GEQ s86 1) (GEQ s183 1)) (AND (GEQ s65 1) (GEQ s85 1) (GEQ s86 1) (GEQ s178 1)) (AND (GEQ s33 1) (GEQ s85 1) (GEQ s86 1) (GEQ s183 1)) (AND (GEQ s52 1) (GEQ s85 1) (GEQ s86 1) (GEQ s183 1)) (AND (GEQ s15 1) (GEQ s85 1) (GEQ s86 1) (GEQ s179 1)) (AND (GEQ s37 1) (GEQ s85 1) (GEQ s86 1) (GEQ s179 1)) (AND (GEQ s58 1) (GEQ s85 1) (GEQ s86 1) (GEQ s182 1)) (AND (GEQ s11 1) (GEQ s85 1) (GEQ s86 1) (GEQ s183 1)) (AND (GEQ s59 1) (GEQ s85 1) (GEQ s86 1) (GEQ s181 1)) (AND (GEQ s23 1) (GEQ s85 1) (GEQ s86 1) (GEQ s181 1)) (AND (GEQ s42 1) (GEQ s85 1) (GEQ s86 1) (GEQ s181 1)) (AND (GEQ s41 1) (GEQ s85 1) (GEQ s86 1) (GEQ s176 1)) (AND (GEQ s40 1) (GEQ s85 1) (GEQ s86 1) (GEQ s179 1)) (AND (GEQ s43 1) (GEQ s85 1) (GEQ s86 1) (GEQ s178 1)) (AND (GEQ s75 1) (GEQ s85 1) (GEQ s86 1) (GEQ s174 1)) (AND (GEQ s56 1) (GEQ s85 1) (GEQ s86 1) (GEQ s180 1)) (AND (GEQ s8 1) (GEQ s85 1) (GEQ s86 1) (GEQ s177 1)) (AND (GEQ s39 1) (GEQ s85 1) (GEQ s86 1) (GEQ s182 1)) (AND (GEQ s44 1) (GEQ s85 1) (GEQ s86 1) (GEQ s175 1)) (AND (GEQ s12 1) (GEQ s85 1) (GEQ s86 1) (GEQ s173 1)) (AND (GEQ s31 1) (GEQ s85 1) (GEQ s86 1) (GEQ s174 1)) (AND (GEQ s50 1) (GEQ s85 1) (GEQ s86 1) (GEQ s174 1)) (AND (GEQ s49 1) (GEQ s85 1) (GEQ s86 1) (GEQ s177 1)) (AND (GEQ s28 1) (GEQ s85 1) (GEQ s86 1) (GEQ s174 1)) (AND (GEQ s9 1) (GEQ s85 1) (GEQ s86 1) (GEQ s174 1)) (AND (GEQ s34 1) (GEQ s85 1) (GEQ s86 1) (GEQ s173 1)) (AND (GEQ s69 1) (GEQ s85 1) (GEQ s86 1) (GEQ s175 1)) (AND (GEQ s27 1) (GEQ s85 1) (GEQ s86 1) (GEQ s177 1)) (AND (GEQ s68 1) (GEQ s85 1) (GEQ s86 1) (GEQ s178 1)) (AND (GEQ s45 1) (GEQ s85 1) (GEQ s86 1) (GEQ s181 1)) (AND (GEQ s46 1) (GEQ s85 1) (GEQ s86 1) (GEQ s178 1)) (AND (GEQ s47 1) (GEQ s85 1) (GEQ s86 1) (GEQ s175 1)) (AND (GEQ s25 1) (GEQ s85 1) (GEQ s86 1) (GEQ s175 1)) (AND (GEQ s24 1) (GEQ s85 1) (GEQ s86 1) (GEQ s178 1)) (AND (GEQ s72 1) (GEQ s85 1) (GEQ s86 1) (GEQ s174 1)) (AND (GEQ s3 1) (GEQ s85 1) (GEQ s86 1) (GEQ s177 1)) (AND (GEQ s4 1) (GEQ s85 1) (GEQ s86 1) (GEQ s180 1)) (AND (GEQ s21 1) (GEQ s85 1) (GEQ s86 1) (GEQ s174 1)) (AND (GEQ s62 1) (GEQ s85 1) (GEQ s86 1) (GEQ s183 1)) (AND (GEQ s78 1) (GEQ s85 1) (GEQ s86 1) (GEQ s182 1)) (AND (GEQ s38 1) (GEQ s85 1) (GEQ s86 1) (GEQ s176 1)) (AND (GEQ s5 1) (GEQ s85 1) (GEQ s86 1) (GEQ s175 1)) (AND (GEQ s2 1) (GEQ s85 1) (GEQ s86 1) (GEQ s182 1)) (AND (GEQ s20 1) (GEQ s85 1) (GEQ s86 1) (GEQ s179 1)) (AND (GEQ s80 1) (GEQ s85 1) (GEQ s86 1) (GEQ s180 1)) (AND (GEQ s81 1) (GEQ s85 1) (GEQ s86 1) (GEQ s175 1)) (AND (GEQ s54 1) (GEQ s85 1) (GEQ s86 1) (GEQ s175 1)) (AND (GEQ s18 1) (GEQ s85 1) (GEQ s86 1) (GEQ s181 1)) (AND (GEQ s63 1) (GEQ s85 1) (GEQ s86 1) (GEQ s178 1)) (AND (GEQ s64 1) (GEQ s85 1) (GEQ s86 1) (GEQ s181 1)) (AND (GEQ s65 1) (GEQ s85 1) (GEQ s86 1) (GEQ s176 1)) (AND (GEQ s29 1) (GEQ s85 1) (GEQ s86 1) (GEQ s182 1)) (AND (GEQ s30 1) (GEQ s85 1) (GEQ s86 1) (GEQ s177 1)) (AND (GEQ s53 1) (GEQ s85 1) (GEQ s86 1) (GEQ s180 1)) (AND (GEQ s13 1) (GEQ s85 1) (GEQ s86 1) (GEQ s183 1)) (AND (GEQ s14 1) (GEQ s85 1) (GEQ s86 1) (GEQ s178 1)) (AND (GEQ s51 1) (GEQ s85 1) (GEQ s86 1) (GEQ s182 1)) (AND (GEQ s52 1) (GEQ s85 1) (GEQ s86 1) (GEQ s177 1)) (AND (GEQ s16 1) (GEQ s85 1) (GEQ s86 1) (GEQ s176 1)) (AND (GEQ s56 1) (GEQ s85 1) (GEQ s86 1) (GEQ s182 1)) (AND (GEQ s36 1) (GEQ s85 1) (GEQ s86 1) (GEQ s178 1)) (AND (GEQ s15 1) (GEQ s85 1) (GEQ s86 1) (GEQ s173 1)) (AND (GEQ s58 1) (GEQ s85 1) (GEQ s86 1) (GEQ s180 1)) (AND (GEQ s35 1) (GEQ s85 1) (GEQ s86 1) (GEQ s183 1)) (AND (GEQ s37 1) (GEQ s85 1) (GEQ s86 1) (GEQ s173 1)) (AND (GEQ s60 1) (GEQ s85 1) (GEQ s86 1) (GEQ s176 1)) (AND (GEQ s41 1) (GEQ s85 1) (GEQ s86 1) (GEQ s178 1)) (AND (GEQ s42 1) (GEQ s85 1) (GEQ s86 1) (GEQ s179 1)) (AND (GEQ s59 1) (GEQ s85 1) (GEQ s86 1) (GEQ s173 1)) (AND (GEQ s7 1) (GEQ s85 1) (GEQ s86 1) (GEQ s182 1)) (AND (GEQ s8 1) (GEQ s85 1) (GEQ s86 1) (GEQ s183 1)) (AND (GEQ s74 1) (GEQ s85 1) (GEQ s86 1) (GEQ s179 1)) (AND (GEQ s75 1) (GEQ s85 1) (GEQ s86 1) (GEQ s180 1)) (AND (GEQ s43 1) (GEQ s85 1) (GEQ s86 1) (GEQ s176 1)) (AND (GEQ s76 1) (GEQ s85 1) (GEQ s86 1) (GEQ s177 1)) (AND (GEQ s40 1) (GEQ s85 1) (GEQ s86 1) (GEQ s181 1)) (AND (GEQ s49 1) (GEQ s85 1) (GEQ s86 1) (GEQ s175 1)) (AND (GEQ s31 1) (GEQ s85 1) (GEQ s86 1) (GEQ s180 1)) (AND (GEQ s32 1) (GEQ s85 1) (GEQ s86 1) (GEQ s173 1)) (AND (GEQ s69 1) (GEQ s85 1) (GEQ s86 1) (GEQ s181 1)) (AND (GEQ s70 1) (GEQ s85 1) (GEQ s86 1) (GEQ s174 1)) (AND (GEQ s67 1) (GEQ s85 1) (GEQ s86 1) (GEQ s183 1)) (AND (GEQ s27 1) (GEQ s85 1) (GEQ s86 1) (GEQ s175 1)) (AND (GEQ s10 1) (GEQ s85 1) (GEQ s86 1) (GEQ s173 1)) (AND (GEQ s9 1) (GEQ s85 1) (GEQ s86 1) (GEQ s180 1)) (AND (GEQ s26 1) (GEQ s85 1) (GEQ s86 1) (GEQ s174 1)) (AND (GEQ s25 1) (GEQ s85 1) (GEQ s86 1) (GEQ s177 1)) (AND (GEQ s47 1) (GEQ s85 1) (GEQ s86 1) (GEQ s177 1)) (AND (GEQ s48 1) (GEQ s85 1) (GEQ s86 1) (GEQ s174 1)) (AND (GEQ s4 1) (GEQ s85 1) (GEQ s86 1) (GEQ s178 1)) (AND (GEQ s38 1) (GEQ s85 1) (GEQ s86 1) (GEQ s174 1)) (AND (GEQ s20 1) (GEQ s85 1) (GEQ s86 1) (GEQ s177 1)) (AND (GEQ s81 1) (GEQ s85 1) (GEQ s86 1) (GEQ s177 1)) (AND (GEQ s5 1) (GEQ s85 1) (GEQ s86 1) (GEQ s173 1)) (AND (GEQ s21 1) (GEQ s85 1) (GEQ s86 1) (GEQ s176 1)) (AND (GEQ s79 1) (GEQ s85 1) (GEQ s86 1) (GEQ s183 1)) (AND (GEQ s80 1) (GEQ s85 1) (GEQ s86 1) (GEQ s178 1)) (AND (GEQ s3 1) (GEQ s85 1) (GEQ s86 1) (GEQ s179 1)) (AND (GEQ s19 1) (GEQ s85 1) (GEQ s86 1) (GEQ s182 1)) (AND (GEQ s64 1) (GEQ s85 1) (GEQ s86 1) (GEQ s179 1)) (AND (GEQ s18 1) (GEQ s85 1) (GEQ s86 1) (GEQ s183 1)) (AND (GEQ s63 1) (GEQ s85 1) (GEQ s86 1) (GEQ s180 1)) (AND (GEQ s30 1) (GEQ s85 1) (GEQ s86 1) (GEQ s179 1)) (AND (GEQ s53 1) (GEQ s85 1) (GEQ s86 1) (GEQ s178 1)) (AND (GEQ s65 1) (GEQ s85 1) (GEQ s86 1) (GEQ s174 1)) (AND (GEQ s54 1) (GEQ s85 1) (GEQ s86 1) (GEQ s173 1)) (AND (GEQ s66 1) (GEQ s85 1) (GEQ s86 1) (GEQ s173 1)) (AND (GEQ s14 1) (GEQ s85 1) (GEQ s86 1) (GEQ s180 1)) (AND (GEQ s52 1) (GEQ s85 1) (GEQ s86 1) (GEQ s179 1)) (AND (GEQ s13 1) (GEQ s85 1) (GEQ s86 1) (GEQ s181 1)) (AND (GEQ s15 1) (GEQ s85 1) (GEQ s86 1) (GEQ s175 1)) (AND (GEQ s16 1) (GEQ s85 1) (GEQ s86 1) (GEQ s174 1)) (AND (GEQ s73 1) (GEQ s85 1) (GEQ s86 1) (GEQ s182 1)) (AND (GEQ s36 1) (GEQ s85 1) (GEQ s86 1) (GEQ s180 1)) (AND (GEQ s57 1) (GEQ s85 1) (GEQ s86 1) (GEQ s183 1)) (AND (GEQ s37 1) (GEQ s85 1) (GEQ s86 1) (GEQ s175 1)) (AND (GEQ s35 1) (GEQ s85 1) (GEQ s86 1) (GEQ s181 1)) (AND (GEQ s60 1) (GEQ s85 1) (GEQ s86 1) (GEQ s174 1)) (AND (GEQ s24 1) (GEQ s85 1) (GEQ s86 1) (GEQ s182 1)) (AND (GEQ s59 1) (GEQ s85 1) (GEQ s86 1) (GEQ s175 1)) (AND (GEQ s58 1) (GEQ s85 1) (GEQ s86 1) (GEQ s178 1)) (AND (GEQ s76 1) (GEQ s85 1) (GEQ s86 1) (GEQ s175 1)) (AND (GEQ s8 1) (GEQ s85 1) (GEQ s86 1) (GEQ s181 1)) (AND (GEQ s74 1) (GEQ s85 1) (GEQ s86 1) (GEQ s181 1)) (AND (GEQ s75 1) (GEQ s85 1) (GEQ s86 1) (GEQ s178 1)) (AND (GEQ s40 1) (GEQ s85 1) (GEQ s86 1) (GEQ s183 1)) (AND (GEQ s43 1) (GEQ s85 1) (GEQ s86 1) (GEQ s174 1)) (AND (GEQ s42 1) (GEQ s85 1) (GEQ s86 1) (GEQ s177 1)) (AND (GEQ s41 1) (GEQ s85 1) (GEQ s86 1) (GEQ s180 1)) (AND (GEQ s49 1) (GEQ s85 1) (GEQ s86 1) (GEQ s173 1)) (AND (GEQ s69 1) (GEQ s85 1) (GEQ s86 1) (GEQ s179 1)) (AND (GEQ s32 1) (GEQ s85 1) (GEQ s86 1) (GEQ s175 1)) (AND (GEQ s31 1) (GEQ s85 1) (GEQ s86 1) (GEQ s178 1)) (AND (GEQ s70 1) (GEQ s85 1) (GEQ s86 1) (GEQ s176 1)) (AND (GEQ s10 1) (GEQ s85 1) (GEQ s86 1) (GEQ s175 1)) (AND (GEQ s27 1) (GEQ s85 1) (GEQ s86 1) (GEQ s173 1)) (AND (GEQ s68 1) (GEQ s85 1) (GEQ s86 1) (GEQ s182 1)) (AND (GEQ s9 1) (GEQ s85 1) (GEQ s86 1) (GEQ s178 1)) (AND (GEQ s26 1) (GEQ s85 1) (GEQ s86 1) (GEQ s176 1)) (AND (GEQ s46 1) (GEQ s85 1) (GEQ s86 1) (GEQ s182 1)) (AND (GEQ s71 1) (GEQ s85 1) (GEQ s86 1) (GEQ s173 1)) (AND (GEQ s25 1) (GEQ s85 1) (GEQ s86 1) (GEQ s179 1)) (AND (GEQ s47 1) (GEQ s85 1) (GEQ s86 1) (GEQ s179 1)) (AND (GEQ s48 1) (GEQ s85 1) (GEQ s86 1) (GEQ s176 1)) (AND (GEQ s77 1) (GEQ s85 1) (GEQ s86 1) (GEQ s175 1)) (AND (GEQ s22 1) (GEQ s85 1) (GEQ s86 1) (GEQ s181 1)) (AND (GEQ s23 1) (GEQ s85 1) (GEQ s86 1) (GEQ s176 1)) (AND (GEQ s60 1) (GEQ s85 1) (GEQ s86 1) (GEQ s181 1)) (AND (GEQ s61 1) (GEQ s85 1) (GEQ s86 1) (GEQ s176 1)) (AND (GEQ s38 1) (GEQ s85 1) (GEQ s86 1) (GEQ s180 1)) (AND (GEQ s39 1) (GEQ s85 1) (GEQ s86 1) (GEQ s175 1)) (AND (GEQ s44 1) (GEQ s85 1) (GEQ s86 1) (GEQ s182 1)) (AND (GEQ s66 1) (GEQ s85 1) (GEQ s86 1) (GEQ s183 1)) (AND (GEQ s28 1) (GEQ s85 1) (GEQ s86 1) (GEQ s183 1)) (AND (GEQ s54 1) (GEQ s85 1) (GEQ s86 1) (GEQ s179 1)) (AND (GEQ s55 1) (GEQ s85 1) (GEQ s86 1) (GEQ s174 1)) (AND (GEQ s17 1) (GEQ s85 1) (GEQ s86 1) (GEQ s174 1)) (AND (GEQ s76 1) (GEQ s85 1) (GEQ s86 1) (GEQ s180 1)) (AND (GEQ s29 1) (GEQ s85 1) (GEQ s86 1) (GEQ s178 1)) (AND (GEQ s6 1) (GEQ s85 1) (GEQ s86 1) (GEQ s182 1)) (AND (GEQ s7 1) (GEQ s85 1) (GEQ s86 1) (GEQ s177 1)) (AND (GEQ s13 1) (GEQ s85 1) (GEQ s86 1) (GEQ s179 1)) (AND (GEQ s68 1) (GEQ s85 1) (GEQ s86 1) (GEQ s173 1)) (AND (GEQ s30 1) (GEQ s85 1) (GEQ s86 1) (GEQ s173 1)) (AND (GEQ s32 1) (GEQ s85 1) (GEQ s86 1) (GEQ s178 1)) (AND (GEQ s67 1) (GEQ s85 1) (GEQ s86 1) (GEQ s178 1)) (AND (GEQ s33 1) (GEQ s85 1) (GEQ s86 1) (GEQ s173 1)) (AND (GEQ s31 1) (GEQ s85 1) (GEQ s86 1) (GEQ s183 1)) (AND (GEQ s73 1) (GEQ s85 1) (GEQ s86 1) (GEQ s180 1)) (AND (GEQ s47 1) (GEQ s85 1) (GEQ s86 1) (GEQ s182 1)) (AND (GEQ s57 1) (GEQ s85 1) (GEQ s86 1) (GEQ s181 1)) (AND (GEQ s14 1) (GEQ s85 1) (GEQ s86 1) (GEQ s174 1)) (AND (GEQ s48 1) (GEQ s85 1) (GEQ s86 1) (GEQ s177 1)) (AND (GEQ s79 1) (GEQ s85 1) (GEQ s86 1) (GEQ s180 1)) (AND (GEQ s58 1) (GEQ s85 1) (GEQ s86 1) (GEQ s176 1)) (AND (GEQ s20 1) (GEQ s85 1) (GEQ s86 1) (GEQ s176 1)) (AND (GEQ s25 1) (GEQ s85 1) (GEQ s86 1) (GEQ s181 1)) (AND (GEQ s3 1) (GEQ s85 1) (GEQ s86 1) (GEQ s182 1)) (AND (GEQ s57 1) (GEQ s85 1) (GEQ s86 1) (GEQ s179 1)) (AND (GEQ s4 1) (GEQ s85 1) (GEQ s86 1) (GEQ s175 1)) (AND (GEQ s74 1) (GEQ s85 1) (GEQ s86 1) (GEQ s175 1)) (AND (GEQ s80 1) (GEQ s85 1) (GEQ s86 1) (GEQ s177 1)) (AND (GEQ s19 1) (GEQ s85 1) (GEQ s86 1) (GEQ s179 1)) (AND (GEQ s64 1) (GEQ s85 1) (GEQ s86 1) (GEQ s176 1)) (AND (GEQ s63 1) (GEQ s85 1) (GEQ s86 1) (GEQ s183 1)) (AND (GEQ s65 1) (GEQ s85 1) (GEQ s86 1) (GEQ s173 1)) (AND (GEQ s41 1) (GEQ s85 1) (GEQ s86 1) (GEQ s182 1)) (AND (GEQ s42 1) (GEQ s85 1) (GEQ s86 1) (GEQ s175 1)) (AND (GEQ s34 1) (GEQ s85 1) (GEQ s86 1) (GEQ s183 1)) (AND (GEQ s70 1) (GEQ s85 1) (GEQ s86 1) (GEQ s178 1)) (AND (GEQ s11 1) (GEQ s85 1) (GEQ s86 1) (GEQ s174 1)) (AND (GEQ s16 1) (GEQ s85 1) (GEQ s86 1) (GEQ s179 1)) (AND (GEQ s52 1) (GEQ s85 1) (GEQ s86 1) (GEQ s174 1)) (AND (GEQ s10 1) (GEQ s85 1) (GEQ s86 1) (GEQ s177 1)) (AND (GEQ s51 1) (GEQ s85 1) (GEQ s86 1) (GEQ s177 1)) (AND (GEQ s45 1) (GEQ s85 1) (GEQ s86 1) (GEQ s175 1)) (AND (GEQ s26 1) (GEQ s85 1) (GEQ s86 1) (GEQ s178 1)) (AND (GEQ s71 1) (GEQ s85 1) (GEQ s86 1) (GEQ s175 1)) (AND (GEQ s36 1) (GEQ s85 1) (GEQ s86 1) (GEQ s173 1)) (AND (GEQ s35 1) (GEQ s85 1) (GEQ s86 1) (GEQ s180 1)) (AND (GEQ s60 1) (GEQ s85 1) (GEQ s86 1) (GEQ s179 1)) (AND (GEQ s39 1) (GEQ s85 1) (GEQ s86 1) (GEQ s173 1)) (AND (GEQ s23 1) (GEQ s85 1) (GEQ s86 1) (GEQ s174 1)) (AND (GEQ s38 1) (GEQ s85 1) (GEQ s86 1) (GEQ s178 1)) (AND (GEQ s17 1) (GEQ s85 1) (GEQ s86 1) (GEQ s176 1)) (AND (GEQ s75 1) (GEQ s85 1) (GEQ s86 1) (GEQ s183 1)) (AND (GEQ s28 1) (GEQ s85 1) (GEQ s86 1) (GEQ s181 1)) (AND (GEQ s54 1) (GEQ s85 1) (GEQ s86 1) (GEQ s177 1)) (AND (GEQ s55 1) (GEQ s85 1) (GEQ s86 1) (GEQ s176 1)) (AND (GEQ s76 1) (GEQ s85 1) (GEQ s86 1) (GEQ s178 1)) (AND (GEQ s7 1) (GEQ s85 1) (GEQ s86 1) (GEQ s179 1)) (AND (GEQ s29 1) (GEQ s85 1) (GEQ s86 1) (GEQ s180 1)) (AND (GEQ s30 1) (GEQ s85 1) (GEQ s86 1) (GEQ s175 1)) (AND (GEQ s53 1) (GEQ s85 1) (GEQ s86 1) (GEQ s182 1)) (AND (GEQ s77 1) (GEQ s85 1) (GEQ s86 1) (GEQ s177 1)) (AND (GEQ s32 1) (GEQ s85 1) (GEQ s86 1) (GEQ s180 1)) (AND (GEQ s13 1) (GEQ s85 1) (GEQ s86 1) (GEQ s177 1)) (AND (GEQ s31 1) (GEQ s85 1) (GEQ s86 1) (GEQ s181 1)) (AND (GEQ s14 1) (GEQ s85 1) (GEQ s86 1) (GEQ s176 1)) (AND (GEQ s33 1) (GEQ s85 1) (GEQ s86 1) (GEQ s175 1)) (AND (GEQ s72 1) (GEQ s85 1) (GEQ s86 1) (GEQ s183 1)) (AND (GEQ s67 1) (GEQ s85 1) (GEQ s86 1) (GEQ s180 1)) (AND (GEQ s73 1) (GEQ s85 1) (GEQ s86 1) (GEQ s178 1)) (AND (GEQ s22 1) (GEQ s85 1) (GEQ s86 1) (GEQ s183 1)) (AND (GEQ s12 1) (GEQ s85 1) (GEQ s86 1) (GEQ s182 1)) (AND (GEQ s48 1) (GEQ s85 1) (GEQ s86 1) (GEQ s179 1)) (AND (GEQ s58 1) (GEQ s85 1) (GEQ s86 1) (GEQ s174 1)) (AND (GEQ s79 1) (GEQ s85 1) (GEQ s86 1) (GEQ s178 1)) (AND (GEQ s20 1) (GEQ s85 1) (GEQ s86 1) (GEQ s174 1)) (AND (GEQ s25 1) (GEQ s85 1) (GEQ s86 1) (GEQ s183 1)) (AND (GEQ s57 1) (GEQ s85 1) (GEQ s86 1) (GEQ s177 1)) (AND (GEQ s80 1) (GEQ s85 1) (GEQ s86 1) (GEQ s175 1)) (AND (GEQ s26 1) (GEQ s85 1) (GEQ s86 1) (GEQ s180 1)) (AND (GEQ s4 1) (GEQ s85 1) (GEQ s86 1) (GEQ s173 1)) (AND (GEQ s74 1) (GEQ s85 1) (GEQ s86 1) (GEQ s177 1)) (AND (GEQ s64 1) (GEQ s85 1) (GEQ s86 1) (GEQ s174 1)) (AND (GEQ s42 1) (GEQ s85 1) (GEQ s86 1) (GEQ s173 1)) (AND (GEQ s19 1) (GEQ s85 1) (GEQ s86 1) (GEQ s177 1)) (AND (GEQ s70 1) (GEQ s85 1) (GEQ s86 1) (GEQ s180 1)) (AND (GEQ s51 1) (GEQ s85 1) (GEQ s86 1) (GEQ s179 1)) (AND (GEQ s34 1) (GEQ s85 1) (GEQ s86 1) (GEQ s181 1)) (AND (GEQ s69 1) (GEQ s85 1) (GEQ s86 1) (GEQ s183 1)) (AND (GEQ s11 1) (GEQ s85 1) (GEQ s86 1) (GEQ s176 1)) (AND (GEQ s52 1) (GEQ s85 1) (GEQ s86 1) (GEQ s176 1)) (AND (GEQ s16 1) (GEQ s85 1) (GEQ s86 1) (GEQ s177 1)) (AND (GEQ s45 1) (GEQ s85 1) (GEQ s86 1) (GEQ s173 1)) (AND (GEQ s50 1) (GEQ s85 1) (GEQ s86 1) (GEQ s182 1)) (AND (GEQ s9 1) (GEQ s85 1) (GEQ s86 1) (GEQ s182 1)) (AND (GEQ s10 1) (GEQ s85 1) (GEQ s86 1) (GEQ s179 1)) (AND (GEQ s71 1) (GEQ s85 1) (GEQ s86 1) (GEQ s177 1)) (AND (GEQ s61 1) (GEQ s85 1) (GEQ s86 1) (GEQ s174 1)) (AND (GEQ s35 1) (GEQ s85 1) (GEQ s86 1) (GEQ s178 1)) (AND (GEQ s36 1) (GEQ s85 1) (GEQ s86 1) (GEQ s175 1)) (AND (GEQ s5 1) (GEQ s85 1) (GEQ s86 1) (GEQ s183 1)) (AND (GEQ s22 1) (GEQ s85 1) (GEQ s86 1) (GEQ s177 1)) (AND (GEQ s23 1) (GEQ s85 1) (GEQ s86 1) (GEQ s180 1)) (AND (GEQ s2 1) (GEQ s85 1) (GEQ s86 1) (GEQ s174 1)) (AND (GEQ s43 1) (GEQ s85 1) (GEQ s86 1) (GEQ s183 1)) (AND (GEQ s40 1) (GEQ s85 1) (GEQ s86 1) (GEQ s174 1)) (AND (GEQ s61 1) (GEQ s85 1) (GEQ s86 1) (GEQ s180 1)) (AND (GEQ s39 1) (GEQ s85 1) (GEQ s86 1) (GEQ s179 1)) (AND (GEQ s56 1) (GEQ s85 1) (GEQ s86 1) (GEQ s173 1)) (AND (GEQ s44 1) (GEQ s85 1) (GEQ s86 1) (GEQ s178 1)) (AND (GEQ s21 1) (GEQ s85 1) (GEQ s86 1) (GEQ s182 1)) (AND (GEQ s62 1) (GEQ s85 1) (GEQ s86 1) (GEQ s175 1)) (AND (GEQ s7 1) (GEQ s85 1) (GEQ s86 1) (GEQ s173 1)) (AND (GEQ s55 1) (GEQ s85 1) (GEQ s86 1) (GEQ s178 1)) (AND (GEQ s17 1) (GEQ s85 1) (GEQ s86 1) (GEQ s178 1)) (AND (GEQ s66 1) (GEQ s85 1) (GEQ s86 1) (GEQ s179 1)) (AND (GEQ s28 1) (GEQ s85 1) (GEQ s86 1) (GEQ s179 1)) (AND (GEQ s8 1) (GEQ s85 1) (GEQ s86 1) (GEQ s176 1)) (AND (GEQ s6 1) (GEQ s85 1) (GEQ s86 1) (GEQ s178 1)) (AND (GEQ s18 1) (GEQ s85 1) (GEQ s86 1) (GEQ s173 1)) (AND (GEQ s77 1) (GEQ s85 1) (GEQ s86 1) (GEQ s179 1)) (AND (GEQ s78 1) (GEQ s85 1) (GEQ s86 1) (GEQ s174 1)) (AND (GEQ s67 1) (GEQ s85 1) (GEQ s86 1) (GEQ s174 1)) (AND (GEQ s29 1) (GEQ s85 1) (GEQ s86 1) (GEQ s174 1)) (AND (GEQ s72 1) (GEQ s85 1) (GEQ s86 1) (GEQ s181 1)) (AND (GEQ s33 1) (GEQ s85 1) (GEQ s86 1) (GEQ s177 1)) (AND (GEQ s68 1) (GEQ s85 1) (GEQ s86 1) (GEQ s177 1)) (AND (GEQ s32 1) (GEQ s85 1) (GEQ s86 1) (GEQ s182 1)) (AND (GEQ s73 1) (GEQ s85 1) (GEQ s86 1) (GEQ s176 1)) (AND (GEQ s37 1) (GEQ s85 1) (GEQ s86 1) (GEQ s181 1)) (AND (GEQ s48 1) (GEQ s85 1) (GEQ s86 1) (GEQ s181 1)) (AND (GEQ s24 1) (GEQ s85 1) (GEQ s86 1) (GEQ s175 1)) (AND (GEQ s81 1) (GEQ s85 1) (GEQ s86 1) (GEQ s183 1)) (AND (GEQ s12 1) (GEQ s85 1) (GEQ s86 1) (GEQ s180 1)) (AND (GEQ s13 1) (GEQ s85 1) (GEQ s86 1) (GEQ s175 1)) (AND (GEQ s79 1) (GEQ s85 1) (GEQ s86 1) (GEQ s176 1)) (AND (GEQ s80 1) (GEQ s85 1) (GEQ s86 1) (GEQ s173 1)) (AND (GEQ s57 1) (GEQ s85 1) (GEQ s86 1) (GEQ s175 1)) (AND (GEQ s26 1) (GEQ s85 1) (GEQ s86 1) (GEQ s182 1)) (AND (GEQ s19 1) (GEQ s85 1) (GEQ s86 1) (GEQ s175 1)) (AND (GEQ s70 1) (GEQ s85 1) (GEQ s86 1) (GEQ s182 1)) (AND (GEQ s15 1) (GEQ s85 1) (GEQ s86 1) (GEQ s182 1)) (AND (GEQ s16 1) (GEQ s85 1) (GEQ s86 1) (GEQ s183 1)) (AND (GEQ s34 1) (GEQ s85 1) (GEQ s86 1) (GEQ s179 1)) (AND (GEQ s49 1) (GEQ s85 1) (GEQ s86 1) (GEQ s183 1)) (AND (GEQ s51 1) (GEQ s85 1) (GEQ s86 1) (GEQ s173 1)) (AND (GEQ s50 1) (GEQ s85 1) (GEQ s86 1) (GEQ s180 1)) (AND (GEQ s45 1) (GEQ s85 1) (GEQ s86 1) (GEQ s179 1)) (AND (GEQ s35 1) (GEQ s85 1) (GEQ s86 1) (GEQ s176 1)) (AND (GEQ s46 1) (GEQ s85 1) (GEQ s86 1) (GEQ s176 1)) (AND (GEQ s62 1) (GEQ s85 1) (GEQ s86 1) (GEQ s173 1)) (AND (GEQ s10 1) (GEQ s85 1) (GEQ s86 1) (GEQ s181 1)) (AND (GEQ s11 1) (GEQ s85 1) (GEQ s86 1) (GEQ s178 1)) (AND (GEQ s59 1) (GEQ s85 1) (GEQ s86 1) (GEQ s182 1)) (AND (GEQ s71 1) (GEQ s85 1) (GEQ s86 1) (GEQ s179 1)) (AND (GEQ s40 1) (GEQ s85 1) (GEQ s86 1) (GEQ s176 1)) (AND (GEQ s5 1) (GEQ s85 1) (GEQ s86 1) (GEQ s181 1)) (AND (GEQ s23 1) (GEQ s85 1) (GEQ s86 1) (GEQ s178 1)) (AND (GEQ s78 1) (GEQ s85 1) (GEQ s86 1) (GEQ s176 1)) (AND (GEQ s2 1) (GEQ s85 1) (GEQ s86 1) (GEQ s176 1)) (AND (GEQ s43 1) (GEQ s85 1) (GEQ s86 1) (GEQ s181 1)) (AND (GEQ s60 1) (GEQ s85 1) (GEQ s86 1) (GEQ s183 1)) (AND (GEQ s61 1) (GEQ s85 1) (GEQ s86 1) (GEQ s178 1)) (AND (GEQ s22 1) (GEQ s85 1) (GEQ s86 1) (GEQ s179 1)) (AND (GEQ s44 1) (GEQ s85 1) (GEQ s86 1) (GEQ s180 1)) (AND (GEQ s62 1) (GEQ s85 1) (GEQ s86 1) (GEQ s177 1)) (AND (GEQ s56 1) (GEQ s85 1) (GEQ s86 1) (GEQ s175 1)) (AND (GEQ s38 1) (GEQ s85 1) (GEQ s86 1) (GEQ s182 1)) (AND (GEQ s39 1) (GEQ s85 1) (GEQ s86 1) (GEQ s177 1)) (AND (GEQ s55 1) (GEQ s85 1) (GEQ s86 1) (GEQ s180 1)) (AND (GEQ s65 1) (GEQ s85 1) (GEQ s86 1) (GEQ s182 1)) (AND (GEQ s27 1) (GEQ s85 1) (GEQ s86 1) (GEQ s182 1)) (AND (GEQ s28 1) (GEQ s85 1) (GEQ s86 1) (GEQ s177 1)) (AND (GEQ s8 1) (GEQ s85 1) (GEQ s86 1) (GEQ s174 1)) (AND (GEQ s54 1) (GEQ s85 1) (GEQ s86 1) (GEQ s181 1)) (AND (GEQ s66 1) (GEQ s85 1) (GEQ s86 1) (GEQ s181 1)) (AND (GEQ s29 1) (GEQ s85 1) (GEQ s86 1) (GEQ s176 1)) (AND (GEQ s77 1) (GEQ s85 1) (GEQ s86 1) (GEQ s181 1)) (AND (GEQ s17 1) (GEQ s85 1) (GEQ s86 1) (GEQ s180 1)) (AND (GEQ s18 1) (GEQ s85 1) (GEQ s86 1) (GEQ s175 1)) (AND (GEQ s76 1) (GEQ s85 1) (GEQ s86 1) (GEQ s182 1)) (AND (GEQ s6 1) (GEQ s85 1) (GEQ s86 1) (GEQ s180 1)) (AND (GEQ s7 1) (GEQ s85 1) (GEQ s86 1) (GEQ s175 1)) (AND (GEQ s68 1) (GEQ s85 1) (GEQ s86 1) (GEQ s175 1)) (AND (GEQ s67 1) (GEQ s85 1) (GEQ s86 1) (GEQ s176 1)) (AND (GEQ s33 1) (GEQ s85 1) (GEQ s86 1) (GEQ s179 1)) (AND (GEQ s37 1) (GEQ s85 1) (GEQ s86 1) (GEQ s183 1)) (AND (GEQ s72 1) (GEQ s85 1) (GEQ s86 1) (GEQ s179 1)) (AND (GEQ s73 1) (GEQ s85 1) (GEQ s86 1) (GEQ s174 1)) (AND (GEQ s48 1) (GEQ s85 1) (GEQ s86 1) (GEQ s183 1)) (AND (GEQ s24 1) (GEQ s85 1) (GEQ s86 1) (GEQ s173 1)) (AND (GEQ s12 1) (GEQ s85 1) (GEQ s86 1) (GEQ s178 1)) (AND (GEQ s13 1) (GEQ s85 1) (GEQ s86 1) (GEQ s173 1)) (AND (GEQ s79 1) (GEQ s85 1) (GEQ s86 1) (GEQ s174 1)) (AND (GEQ s74 1) (GEQ s85 1) (GEQ s86 1) (GEQ s173 1)) (AND (GEQ s57 1) (GEQ s85 1) (GEQ s86 1) (GEQ s173 1)) (AND (GEQ s19 1) (GEQ s85 1) (GEQ s86 1) (GEQ s173 1)) (AND (GEQ s50 1) (GEQ s85 1) (GEQ s86 1) (GEQ s178 1)) (AND (GEQ s16 1) (GEQ s85 1) (GEQ s86 1) (GEQ s181 1)) (AND (GEQ s54 1) (GEQ s85 1) (GEQ s86 1) (GEQ s183 1)) (AND (GEQ s34 1) (GEQ s85 1) (GEQ s86 1) (GEQ s177 1)) (AND (GEQ s46 1) (GEQ s85 1) (GEQ s86 1) (GEQ s174 1)) (AND (GEQ s49 1) (GEQ s85 1) (GEQ s86 1) (GEQ s181 1)) (AND (GEQ s51 1) (GEQ s85 1) (GEQ s86 1) (GEQ s175 1)) (AND (GEQ s10 1) (GEQ s85 1) (GEQ s86 1) (GEQ s183 1)) (AND (GEQ s45 1) (GEQ s85 1) (GEQ s86 1) (GEQ s177 1)) (AND (GEQ s35 1) (GEQ s85 1) (GEQ s86 1) (GEQ s174 1)) (AND (GEQ s11 1) (GEQ s85 1) (GEQ s86 1) (GEQ s180 1)) (AND (GEQ s71 1) (GEQ s85 1) (GEQ s86 1) (GEQ s181 1)) (AND (GEQ s43 1) (GEQ s85 1) (GEQ s86 1) (GEQ s179 1)) (AND (GEQ s40 1) (GEQ s85 1) (GEQ s86 1) (GEQ s178 1)) (AND (GEQ s41 1) (GEQ s85 1) (GEQ s86 1) (GEQ s173 1)) (AND (GEQ s75 1) (GEQ s85 1) (GEQ s86 1) (GEQ s177 1)) (AND (GEQ s56 1) (GEQ s85 1) (GEQ s86 1) (GEQ s177 1)) (AND (GEQ s27 1) (GEQ s85 1) (GEQ s86 1) (GEQ s180 1)) (AND (GEQ s8 1) (GEQ s85 1) (GEQ s86 1) (GEQ s180 1)) (AND (GEQ s74 1) (GEQ s85 1) (GEQ s86 1) (GEQ s182 1)) (AND (GEQ s39 1) (GEQ s85 1) (GEQ s86 1) (GEQ s183 1)) (AND (GEQ s44 1) (GEQ s85 1) (GEQ s86 1) (GEQ s174 1)) (AND (GEQ s79 1) (GEQ s85 1) (GEQ s86 1) (GEQ s173 1)) (AND (GEQ s12 1) (GEQ s85 1) (GEQ s86 1) (GEQ s176 1)) (AND (GEQ s50 1) (GEQ s85 1) (GEQ s86 1) (GEQ s175 1)) (AND (GEQ s69 1) (GEQ s85 1) (GEQ s86 1) (GEQ s176 1)) (AND (GEQ s31 1) (GEQ s85 1) (GEQ s86 1) (GEQ s175 1)) (AND (GEQ s28 1) (GEQ s85 1) (GEQ s86 1) (GEQ s175 1)) (AND (GEQ s34 1) (GEQ s85 1) (GEQ s86 1) (GEQ s176 1)) (AND (GEQ s49 1) (GEQ s85 1) (GEQ s86 1) (GEQ s180 1)) (AND (GEQ s68 1) (GEQ s85 1) (GEQ s86 1) (GEQ s181 1)) (AND (GEQ s9 1) (GEQ s85 1) (GEQ s86 1) (GEQ s175 1)) (AND (GEQ s63 1) (GEQ s85 1) (GEQ s86 1) (GEQ s173 1)) (AND (GEQ s46 1) (GEQ s85 1) (GEQ s86 1) (GEQ s179 1)) (AND (GEQ s72 1) (GEQ s85 1) (GEQ s86 1) (GEQ s177 1)) (AND (GEQ s47 1) (GEQ s85 1) (GEQ s86 1) (GEQ s174 1)) (AND (GEQ s24 1) (GEQ s85 1) (GEQ s86 1) (GEQ s179 1)) (AND (GEQ s25 1) (GEQ s85 1) (GEQ s86 1) (GEQ s174 1)) (AND (GEQ s3 1) (GEQ s85 1) (GEQ s86 1) (GEQ s174 1)) (AND (GEQ s5 1) (GEQ s85 1) (GEQ s86 1) (GEQ s180 1)) (AND (GEQ s4 1) (GEQ s85 1) (GEQ s86 1) (GEQ s183 1)) (AND (GEQ s78 1) (GEQ s85 1) (GEQ s86 1) (GEQ s179 1)) (AND (GEQ s2 1) (GEQ s85 1) (GEQ s86 1) (GEQ s177 1)) (AND (GEQ s62 1) (GEQ s85 1) (GEQ s86 1) (GEQ s178 1)) (AND (GEQ s81 1) (GEQ s85 1) (GEQ s86 1) (GEQ s178 1)) (AND (GEQ s21 1) (GEQ s85 1) (GEQ s86 1) (GEQ s177 1)) (AND (GEQ s22 1) (GEQ s85 1) (GEQ s86 1) (GEQ s174 1)) (AND (GEQ s65 1) (GEQ s85 1) (GEQ s86 1) (GEQ s181 1)) (AND (GEQ s63 1) (GEQ s85 1) (GEQ s86 1) (GEQ s175 1)) (AND (GEQ s53 1) (GEQ s85 1) (GEQ s86 1) (GEQ s175 1)) (AND (GEQ s6 1) (GEQ s85 1) (GEQ s86 1) (GEQ s173 1)) (AND (GEQ s77 1) (GEQ s85 1) (GEQ s86 1) (GEQ s182 1)) (AND (GEQ s30 1) (GEQ s85 1) (GEQ s86 1) (GEQ s182 1)) (AND (GEQ s17 1) (GEQ s85 1) (GEQ s86 1) (GEQ s181 1)) (AND (GEQ s66 1) (GEQ s85 1) (GEQ s86 1) (GEQ s174 1)) (AND (GEQ s18 1) (GEQ s85 1) (GEQ s86 1) (GEQ s178 1)) (AND (GEQ s14 1) (GEQ s85 1) (GEQ s86 1) (GEQ s181 1)) (AND (GEQ s33 1) (GEQ s85 1) (GEQ s86 1) (GEQ s182 1)) (AND (GEQ s52 1) (GEQ s85 1) (GEQ s86 1) (GEQ s182 1)) (AND (GEQ s71 1) (GEQ s85 1) (GEQ s86 1) (GEQ s183 1)) (AND (GEQ s55 1) (GEQ s85 1) (GEQ s86 1) (GEQ s182 1)) (AND (GEQ s15 1) (GEQ s85 1) (GEQ s86 1) (GEQ s178 1)) (AND (GEQ s36 1) (GEQ s85 1) (GEQ s86 1) (GEQ s181 1)) (AND (GEQ s37 1) (GEQ s85 1) (GEQ s86 1) (GEQ s178 1)) (AND (GEQ s11 1) (GEQ s85 1) (GEQ s86 1) (GEQ s182 1)) (AND (GEQ s59 1) (GEQ s85 1) (GEQ s86 1) (GEQ s178 1)) (AND (GEQ s42 1) (GEQ s85 1) (GEQ s86 1) (GEQ s182 1)) (AND (GEQ s40 1) (GEQ s85 1) (GEQ s86 1) (GEQ s180 1)) (AND (GEQ s41 1) (GEQ s85 1) (GEQ s86 1) (GEQ s175 1)) (AND (GEQ s75 1) (GEQ s85 1) (GEQ s86 1) (GEQ s175 1)) (AND (GEQ s39 1) (GEQ s85 1) (GEQ s86 1) (GEQ s181 1)) (AND (GEQ s56 1) (GEQ s85 1) (GEQ s86 1) (GEQ s179 1)) (AND (GEQ s27 1) (GEQ s85 1) (GEQ s86 1) (GEQ s178 1)) (AND (GEQ s8 1) (GEQ s85 1) (GEQ s86 1) (GEQ s178 1)) (AND (GEQ s44 1) (GEQ s85 1) (GEQ s86 1) (GEQ s176 1)) (AND (GEQ s43 1) (GEQ s85 1) (GEQ s86 1) (GEQ s177 1)) (AND (GEQ s31 1) (GEQ s85 1) (GEQ s86 1) (GEQ s173 1)) (AND (GEQ s12 1) (GEQ s85 1) (GEQ s86 1) (GEQ s174 1)) (AND (GEQ s49 1) (GEQ s85 1) (GEQ s86 1) (GEQ s178 1)) (AND (GEQ s50 1) (GEQ s85 1) (GEQ s86 1) (GEQ s173 1)) (AND (GEQ s28 1) (GEQ s85 1) (GEQ s86 1) (GEQ s173 1)) (AND (GEQ s9 1) (GEQ s85 1) (GEQ s86 1) (GEQ s173 1)) (AND (GEQ s70 1) (GEQ s85 1) (GEQ s86 1) (GEQ s173 1)) (AND (GEQ s34 1) (GEQ s85 1) (GEQ s86 1) (GEQ s174 1)) (AND (GEQ s68 1) (GEQ s85 1) (GEQ s86 1) (GEQ s179 1)) (AND (GEQ s69 1) (GEQ s85 1) (GEQ s86 1) (GEQ s174 1)) (AND (GEQ s45 1) (GEQ s85 1) (GEQ s86 1) (GEQ s182 1)) (AND (GEQ s46 1) (GEQ s85 1) (GEQ s86 1) (GEQ s177 1)) (AND (GEQ s25 1) (GEQ s85 1) (GEQ s86 1) (GEQ s176 1)) (AND (GEQ s47 1) (GEQ s85 1) (GEQ s86 1) (GEQ s176 1)) (AND (GEQ s72 1) (GEQ s85 1) (GEQ s86 1) (GEQ s175 1)) (AND (GEQ s23 1) (GEQ s85 1) (GEQ s86 1) (GEQ s182 1)) (AND (GEQ s24 1) (GEQ s85 1) (GEQ s86 1) (GEQ s177 1)) (AND (GEQ s3 1) (GEQ s85 1) (GEQ s86 1) (GEQ s176 1)) (AND (GEQ s61 1) (GEQ s85 1) (GEQ s86 1) (GEQ s183 1)) (AND (GEQ s80 1) (GEQ s85 1) (GEQ s86 1) (GEQ s183 1)) (AND (GEQ s22 1) (GEQ s85 1) (GEQ s86 1) (GEQ s176 1)) (AND (GEQ s5 1) (GEQ s85 1) (GEQ s86 1) (GEQ s178 1)) (AND (GEQ s78 1) (GEQ s85 1) (GEQ s86 1) (GEQ s181 1)) (AND (GEQ s4 1) (GEQ s85 1) (GEQ s86 1) (GEQ s181 1)) (AND (GEQ s81 1) (GEQ s85 1) (GEQ s86 1) (GEQ s180 1)) (AND (GEQ s2 1) (GEQ s85 1) (GEQ s86 1) (GEQ s179 1)) (AND (GEQ s20 1) (GEQ s85 1) (GEQ s86 1) (GEQ s182 1)) (AND (GEQ s21 1) (GEQ s85 1) (GEQ s86 1) (GEQ s179 1)) (AND (GEQ s62 1) (GEQ s85 1) (GEQ s86 1) (GEQ s180 1)) (AND (GEQ s18 1) (GEQ s85 1) (GEQ s86 1) (GEQ s180 1)) (AND (GEQ s63 1) (GEQ s85 1) (GEQ s86 1) (GEQ s177 1)) (AND (GEQ s53 1) (GEQ s85 1) (GEQ s86 1) (GEQ s173 1)) (AND (GEQ s66 1) (GEQ s85 1) (GEQ s86 1) (GEQ s176 1)) (AND (GEQ s6 1) (GEQ s85 1) (GEQ s86 1) (GEQ s175 1)) (AND (GEQ s64 1) (GEQ s85 1) (GEQ s86 1) (GEQ s182 1)) (AND (GEQ s65 1) (GEQ s85 1) (GEQ s86 1) (GEQ s179 1)) (AND (GEQ s17 1) (GEQ s85 1) (GEQ s86 1) (GEQ s183 1)) (AND (GEQ s67 1) (GEQ s85 1) (GEQ s86 1) (GEQ s173 1)) (AND (GEQ s15 1) (GEQ s85 1) (GEQ s86 1) (GEQ s180 1)) (AND (GEQ s14 1) (GEQ s85 1) (GEQ s86 1) (GEQ s183 1)) (AND (GEQ s37 1) (GEQ s85 1) (GEQ s86 1) (GEQ s180 1)) (AND (GEQ s56 1) (GEQ s85 1) (GEQ s86 1) (GEQ s181 1)) (AND (GEQ s36 1) (GEQ s85 1) (GEQ s86 1) (GEQ s183 1)) (AND (GEQ s59 1) (GEQ s85 1) (GEQ s86 1) (GEQ s180 1)) (AND (GEQ s58 1) (GEQ s85 1) (GEQ s86 1) (GEQ s183 1)) (AND (GEQ s24 1) (GEQ s85 1) (GEQ s86 1) (GEQ s183 1)) (AND (GEQ s42 1) (GEQ s85 1) (GEQ s86 1) (GEQ s180 1)) (AND (GEQ s59 1) (GEQ s85 1) (GEQ s86 1) (GEQ s174 1)) (AND (GEQ s60 1) (GEQ s85 1) (GEQ s86 1) (GEQ s177 1)) (AND (GEQ s41 1) (GEQ s85 1) (GEQ s86 1) (GEQ s177 1)) (AND (GEQ s76 1) (GEQ s85 1) (GEQ s86 1) (GEQ s176 1)) (AND (GEQ s74 1) (GEQ s85 1) (GEQ s86 1) (GEQ s178 1)) (AND (GEQ s75 1) (GEQ s85 1) (GEQ s86 1) (GEQ s181 1)) (AND (GEQ s7 1) (GEQ s85 1) (GEQ s86 1) (GEQ s181 1)) (AND (GEQ s43 1) (GEQ s85 1) (GEQ s86 1) (GEQ s175 1)) (AND (GEQ s40 1) (GEQ s85 1) (GEQ s86 1) (GEQ s182 1)) (AND (GEQ s49 1) (GEQ s85 1) (GEQ s86 1) (GEQ s176 1)) (AND (GEQ s69 1) (GEQ s85 1) (GEQ s86 1) (GEQ s180 1)) (AND (GEQ s31 1) (GEQ s85 1) (GEQ s86 1) (GEQ s179 1)) (AND (GEQ s32 1) (GEQ s85 1) (GEQ s86 1) (GEQ s174 1)) (AND (GEQ s70 1) (GEQ s85 1) (GEQ s86 1) (GEQ s175 1)) (AND (GEQ s67 1) (GEQ s85 1) (GEQ s86 1) (GEQ s182 1)) (AND (GEQ s10 1) (GEQ s85 1) (GEQ s86 1) (GEQ s174 1)) (AND (GEQ s27 1) (GEQ s85 1) (GEQ s86 1) (GEQ s176 1)) (AND (GEQ s9 1) (GEQ s85 1) (GEQ s86 1) (GEQ s179 1)) (AND (GEQ s26 1) (GEQ s85 1) (GEQ s86 1) (GEQ s173 1)) (AND (GEQ s25 1) (GEQ s85 1) (GEQ s86 1) (GEQ s178 1)) (AND (GEQ s46 1) (GEQ s85 1) (GEQ s86 1) (GEQ s183 1)) (AND (GEQ s47 1) (GEQ s85 1) (GEQ s86 1) (GEQ s178 1)) (AND (GEQ s48 1) (GEQ s85 1) (GEQ s86 1) (GEQ s173 1)) (AND (GEQ s72 1) (GEQ s85 1) (GEQ s86 1) (GEQ s173 1)) (AND (GEQ s4 1) (GEQ s85 1) (GEQ s86 1) (GEQ s179 1)) (AND (GEQ s21 1) (GEQ s85 1) (GEQ s86 1) (GEQ s173 1)) (AND (GEQ s80 1) (GEQ s85 1) (GEQ s86 1) (GEQ s181 1)) (AND (GEQ s5 1) (GEQ s85 1) (GEQ s86 1) (GEQ s176 1)) (AND (GEQ s38 1) (GEQ s85 1) (GEQ s86 1) (GEQ s175 1)) (AND (GEQ s20 1) (GEQ s85 1) (GEQ s86 1) (GEQ s180 1)) (AND (GEQ s78 1) (GEQ s85 1) (GEQ s86 1) (GEQ s183 1)) (AND (GEQ s2 1) (GEQ s85 1) (GEQ s86 1) (GEQ s181 1)) (AND (GEQ s62 1) (GEQ s85 1) (GEQ s86 1) (GEQ s182 1)) (AND (GEQ s81 1) (GEQ s85 1) (GEQ s86 1) (GEQ s174 1)) (AND (GEQ s3 1) (GEQ s85 1) (GEQ s86 1) (GEQ s178 1)) (AND (GEQ s19 1) (GEQ s85 1) (GEQ s86 1) (GEQ s183 1)) (AND (GEQ s54 1) (GEQ s85 1) (GEQ s86 1) (GEQ s176 1)) (AND (GEQ s18 1) (GEQ s85 1) (GEQ s86 1) (GEQ s182 1)) (AND (GEQ s64 1) (GEQ s85 1) (GEQ s86 1) (GEQ s180 1)) (AND (GEQ s63 1) (GEQ s85 1) (GEQ s86 1) (GEQ s179 1)) (AND (GEQ s53 1) (GEQ s85 1) (GEQ s86 1) (GEQ s179 1)) (AND (GEQ s29 1) (GEQ s85 1) (GEQ s86 1) (GEQ s181 1)) (AND (GEQ s65 1) (GEQ s85 1) (GEQ s86 1) (GEQ s177 1)) (AND (GEQ s30 1) (GEQ s85 1) (GEQ s86 1) (GEQ s178 1)) (AND (GEQ s51 1) (GEQ s85 1) (GEQ s86 1) (GEQ s181 1)) (AND (GEQ s14 1) (GEQ s85 1) (GEQ s86 1) (GEQ s177 1)) (AND (GEQ s52 1) (GEQ s85 1) (GEQ s86 1) (GEQ s178 1)) (AND (GEQ s15 1) (GEQ s85 1) (GEQ s86 1) (GEQ s174 1)) (AND (GEQ s16 1) (GEQ s85 1) (GEQ s86 1) (GEQ s175 1)) (AND (GEQ s36 1) (GEQ s85 1) (GEQ s86 1) (GEQ s177 1)) (AND (GEQ s56 1) (GEQ s85 1) (GEQ s86 1) (GEQ s183 1)) (AND (GEQ s58 1) (GEQ s85 1) (GEQ s86 1) (GEQ s181 1)) (AND (GEQ s37 1) (GEQ s85 1) (GEQ s86 1) (GEQ s174 1)) (AND (GEQ s60 1) (GEQ s85 1) (GEQ s86 1) (GEQ s175 1)) (AND (GEQ s59 1) (GEQ s85 1) (GEQ s86 1) (GEQ s176 1)) (AND (GEQ s41 1) (GEQ s85 1) (GEQ s86 1) (GEQ s179 1)) (AND (GEQ s24 1) (GEQ s85 1) (GEQ s86 1) (GEQ s181 1)) (AND (GEQ s77 1) (GEQ s85 1) (GEQ s86 1) (GEQ s173 1)) (AND (GEQ s76 1) (GEQ s85 1) (GEQ s86 1) (GEQ s174 1)) (AND (GEQ s7 1) (GEQ s85 1) (GEQ s86 1) (GEQ s183 1)) (AND (GEQ s25 1) (GEQ s85 1) (GEQ s86 1) (GEQ s180 1)) (AND (GEQ s58 1) (GEQ s85 1) (GEQ s86 1) (GEQ s177 1)) (AND (GEQ s8 1) (GEQ s85 1) (GEQ s86 1) (GEQ s182 1)) (AND (GEQ s74 1) (GEQ s85 1) (GEQ s86 1) (GEQ s180 1)) (AND (GEQ s75 1) (GEQ s85 1) (GEQ s86 1) (GEQ s179 1)) (AND (GEQ s42 1) (GEQ s85 1) (GEQ s86 1) (GEQ s178 1)) (AND (GEQ s43 1) (GEQ s85 1) (GEQ s86 1) (GEQ s173 1)) (AND (GEQ s49 1) (GEQ s85 1) (GEQ s86 1) (GEQ s174 1)) (AND (GEQ s69 1) (GEQ s85 1) (GEQ s86 1) (GEQ s178 1)) (AND (GEQ s31 1) (GEQ s85 1) (GEQ s86 1) (GEQ s177 1)) (AND (GEQ s32 1) (GEQ s85 1) (GEQ s86 1) (GEQ s176 1)) (AND (GEQ s70 1) (GEQ s85 1) (GEQ s86 1) (GEQ s177 1)) (AND (GEQ s10 1) (GEQ s85 1) (GEQ s86 1) (GEQ s176 1)) (AND (GEQ s68 1) (GEQ s85 1) (GEQ s86 1) (GEQ s183 1)) (AND (GEQ s27 1) (GEQ s85 1) (GEQ s86 1) (GEQ s174 1)) (AND (GEQ s9 1) (GEQ s85 1) (GEQ s86 1) (GEQ s177 1)) (AND (GEQ s26 1) (GEQ s85 1) (GEQ s86 1) (GEQ s175 1)) (AND (GEQ s46 1) (GEQ s85 1) (GEQ s86 1) (GEQ s181 1)) (AND (GEQ s47 1) (GEQ s85 1) (GEQ s86 1) (GEQ s180 1)) (AND (GEQ s48 1) (GEQ s85 1) (GEQ s86 1) (GEQ s175 1)) (AND (GEQ s4 1) (GEQ s85 1) (GEQ s86 1) (GEQ s177 1)) (AND (GEQ s21 1) (GEQ s85 1) (GEQ s86 1) (GEQ s175 1)) (AND (GEQ s38 1) (GEQ s85 1) (GEQ s86 1) (GEQ s173 1)) (AND (GEQ s5 1) (GEQ s85 1) (GEQ s86 1) (GEQ s174 1)) (AND (GEQ s20 1) (GEQ s85 1) (GEQ s86 1) (GEQ s178 1)) (AND (GEQ s81 1) (GEQ s85 1) (GEQ s86 1) (GEQ s176 1)) (AND (GEQ s3 1) (GEQ s85 1) (GEQ s86 1) (GEQ s180 1)) (AND (GEQ s2 1) (GEQ s85 1) (GEQ s86 1) (GEQ s183 1)) (AND (GEQ s80 1) (GEQ s85 1) (GEQ s86 1) (GEQ s179 1)) (AND (GEQ s79 1) (GEQ s85 1) (GEQ s86 1) (GEQ s182 1)) (AND (GEQ s64 1) (GEQ s85 1) (GEQ s86 1) (GEQ s178 1)) (AND (GEQ s19 1) (GEQ s85 1) (GEQ s86 1) (GEQ s181 1)) (AND (GEQ s63 1) (GEQ s85 1) (GEQ s86 1) (GEQ s181 1)) (AND (GEQ s30 1) (GEQ s85 1) (GEQ s86 1) (GEQ s180 1)) (AND (GEQ s53 1) (GEQ s85 1) (GEQ s86 1) (GEQ s177 1)) (AND (GEQ s65 1) (GEQ s85 1) (GEQ s86 1) (GEQ s175 1)) (AND (GEQ s29 1) (GEQ s85 1) (GEQ s86 1) (GEQ s183 1)) (AND (GEQ s54 1) (GEQ s85 1) (GEQ s86 1) (GEQ s174 1)) (AND (GEQ s14 1) (GEQ s85 1) (GEQ s86 1) (GEQ s179 1)) (AND (GEQ s13 1) (GEQ s85 1) (GEQ s86 1) (GEQ s182 1)) (AND (GEQ s52 1) (GEQ s85 1) (GEQ s86 1) (GEQ s180 1)) (AND (GEQ s51 1) (GEQ s85 1) (GEQ s86 1) (GEQ s183 1)) (AND (GEQ s15 1) (GEQ s85 1) (GEQ s86 1) (GEQ s176 1)) (AND (GEQ s16 1) (GEQ s85 1) (GEQ s86 1) (GEQ s173 1)) (AND (GEQ s73 1) (GEQ s85 1) (GEQ s86 1) (GEQ s183 1)) (AND (GEQ s36 1) (GEQ s85 1) (GEQ s86 1) (GEQ s179 1)) (AND (GEQ s37 1) (GEQ s85 1) (GEQ s86 1) (GEQ s176 1)) (AND (GEQ s57 1) (GEQ s85 1) (GEQ s86 1) (GEQ s182 1)) (AND (GEQ s35 1) (GEQ s85 1) (GEQ s86 1) (GEQ s182 1)) (AND (GEQ s58 1) (GEQ s85 1) (GEQ s86 1) (GEQ s179 1)) (AND (GEQ s60 1) (GEQ s85 1) (GEQ s86 1) (GEQ s173 1)))], nbAcceptance=1, properties=[trans-labels, explicit-labels, trans-acc, no-univ-branch, stutter-invariant], stateDesc=[null, null, null][true, true, true]]
Stuttering criterion allowed to conclude after 676 steps with 0 reset in 22 ms.
FORMULA BridgeAndVehicles-PT-V80P20N10-04 FALSE TECHNIQUES STUTTER_TEST
Treatment of property BridgeAndVehicles-PT-V80P20N10-04 finished in 2972 ms.
Running Spot : CommandLine [args=[/home/mcc/BenchKit/bin//..//ltl2tgba, --hoaf=tv, -f, !(G((F(p1)||p0)))], workingDir=/home/mcc/execution]
Support contains 84 out of 186 places. Attempting structural reductions.
Starting structural reductions, iteration 0 : 186/186 places, 2108/2108 transitions.
Applied a total of 0 rules in 131 ms. Remains 186 /186 variables (removed 0) and now considering 2108/2108 (removed 0) transitions.
[2021-05-09 05:15:59] [INFO ] Flow matrix only has 370 transitions (discarded 1738 similar events)
// Phase 1: matrix 370 rows 186 cols
[2021-05-09 05:15:59] [INFO ] Computed 5 place invariants in 1 ms
[2021-05-09 05:15:59] [INFO ] Dead Transitions using invariants and state equation in 601 ms returned []
[2021-05-09 05:15:59] [INFO ] Flow matrix only has 370 transitions (discarded 1738 similar events)
// Phase 1: matrix 370 rows 186 cols
[2021-05-09 05:15:59] [INFO ] Computed 5 place invariants in 2 ms
[2021-05-09 05:15:59] [INFO ] Implicit Places using invariants in 166 ms returned []
[2021-05-09 05:15:59] [INFO ] Flow matrix only has 370 transitions (discarded 1738 similar events)
// Phase 1: matrix 370 rows 186 cols
[2021-05-09 05:15:59] [INFO ] Computed 5 place invariants in 1 ms
[2021-05-09 05:15:59] [INFO ] State equation strengthened by 22 read => feed constraints.
[2021-05-09 05:16:00] [INFO ] Implicit Places using invariants and state equation in 798 ms returned [82]
Discarding 1 places :
Implicit Place search using SMT with State Equation took 994 ms to find 1 implicit places.
[2021-05-09 05:16:00] [INFO ] Redundant transitions in 33 ms returned []
[2021-05-09 05:16:00] [INFO ] Flow matrix only has 370 transitions (discarded 1738 similar events)
// Phase 1: matrix 370 rows 185 cols
[2021-05-09 05:16:00] [INFO ] Computed 5 place invariants in 1 ms
[2021-05-09 05:16:01] [INFO ] Dead Transitions using invariants and state equation in 662 ms returned []
Starting structural reductions, iteration 1 : 185/186 places, 2108/2108 transitions.
Applied a total of 0 rules in 79 ms. Remains 185 /185 variables (removed 0) and now considering 2108/2108 (removed 0) transitions.
[2021-05-09 05:16:01] [INFO ] Redundant transitions in 34 ms returned []
[2021-05-09 05:16:01] [INFO ] Flow matrix only has 370 transitions (discarded 1738 similar events)
// Phase 1: matrix 370 rows 185 cols
[2021-05-09 05:16:01] [INFO ] Computed 5 place invariants in 1 ms
[2021-05-09 05:16:02] [INFO ] Dead Transitions using invariants and state equation in 537 ms returned []
Finished structural reductions, in 2 iterations. Remains : 185/186 places, 2108/2108 transitions.
Stuttering acceptance computed with spot in 45 ms :[(AND (NOT p0) (NOT p1)), (NOT p1)]
Running random walk in product with property : BridgeAndVehicles-PT-V80P20N10-05 automaton TGBA [mat=[[{ cond=true, acceptance={} source=0 dest: 0}, { cond=(AND (NOT p0) (NOT p1)), acceptance={} source=0 dest: 1}], [{ cond=(NOT p1), acceptance={0} source=1 dest: 1}]], initial=0, aps=[p0:(OR (AND (GEQ s83 1) (GEQ s85 1) (GEQ s93 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s132 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s142 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s113 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s123 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s161 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s151 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s102 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s131 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s143 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s160 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s92 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s114 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s101 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s152 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s105 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s122 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s130 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s144 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s95 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s110 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s164 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s96 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s119 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s121 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s155 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s104 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s87 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s153 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s163 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s128 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s94 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s111 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s129 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s146 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s112 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s145 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s162 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s154 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s103 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s120 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s137 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s88 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s98 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s108 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s127 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s166 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s147 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s117 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s89 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s136 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s157 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s138 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s126 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s148 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s109 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s97 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s165 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s156 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s118 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s135 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s139 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s115 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s159 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s125 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s91 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s149 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s134 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s140 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s106 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s100 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s99 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s116 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s90 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s150 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s107 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s124 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s133 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s141 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s158 1) (GEQ s167 1))), p1:(GEQ s82 1)], nbAcceptance=1, properties=[trans-labels, explicit-labels, trans-acc, no-univ-branch, stutter-invariant, very-weak, weak, inherently-weak], stateDesc=[null, null][true, true]]
Product exploration explored 100000 steps with 4497 reset in 581 ms.
Product exploration explored 100000 steps with 4430 reset in 514 ms.
Knowledge obtained : [(NOT p0), (NOT p1)]
Stuttering acceptance computed with spot in 58 ms :[(AND (NOT p0) (NOT p1)), (NOT p1)]
Product exploration explored 100000 steps with 4621 reset in 503 ms.
Product exploration explored 100000 steps with 4492 reset in 510 ms.
[2021-05-09 05:16:04] [INFO ] Flatten gal took : 81 ms
[2021-05-09 05:16:04] [INFO ] Flatten gal took : 92 ms
[2021-05-09 05:16:04] [INFO ] Time to serialize gal into /tmp/LTL16457131371672810196.gal : 11 ms
[2021-05-09 05:16:04] [INFO ] Time to serialize properties into /tmp/LTL6212056705139886719.ltl : 2 ms
Invoking ITS tools like this :CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64, --gc-threshold, 2000000, -i, /tmp/LTL16457131371672810196.gal, -t, CGAL, -LTL, /tmp/LTL6212056705139886719.ltl, -c, -stutter-deadlock, --gen-order, FOLLOW], workingDir=/home/mcc/execution]

its-ltl command run as :

/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64 --gc-threshold 2000000 -i /tmp/LTL16457131371672810196.gal -t CGAL -LTL /tmp/LTL6212056705139886719.ltl -c -stutter-deadlock --gen-order FOLLOW
Read 1 LTL properties
Checking formula 0 : !((G(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((F("(SUR_PONT_A>=1)"))||("((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_7>=1))&&(ATTENTE_B>=1))"))||("((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_46>=1))&&(ATTENTE_B>=1))"))||("((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_56>=1))&&(ATTENTE_B>=1))"))||("((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_27>=1))&&(ATTENTE_B>=1))"))||("((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_37>=1))&&(ATTENTE_B>=1))"))||("((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_75>=1))&&(ATTENTE_B>=1))"))||("((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_65>=1))&&(ATTENTE_B>=1))"))||("((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_16>=1))&&(ATTENTE_B>=1))"))||("((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_45>=1))&&(ATTENTE_B>=1))"))||("((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_57>=1))&&(ATTENTE_B>=1))"))||("((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_74>=1))&&(ATTENTE_B>=1))"))||("((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_6>=1))&&(ATTENTE_B>=1))"))||("((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_28>=1))&&(ATTENTE_B>=1))"))||("((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_15>=1))&&(ATTENTE_B>=1))"))||("((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_66>=1))&&(ATTENTE_B>=1))"))||("((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_19>=1))&&(ATTENTE_B>=1))"))||("((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_36>=1))&&(ATTENTE_B>=1))"))||("((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_44>=1))&&(ATTENTE_B>=1))"))||("((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_58>=1))&&(ATTENTE_B>=1))"))||("((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_9>=1))&&(ATTENTE_B>=1))"))||("((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_24>=1))&&(ATTENTE_B>=1))"))||("((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_78>=1))&&(ATTENTE_B>=1))"))||("((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_10>=1))&&(ATTENTE_B>=1))"))||("((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_33>=1))&&(ATTENTE_B>=1))"))||("((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_35>=1))&&(ATTENTE_B>=1))"))||("((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_69>=1))&&(ATTENTE_B>=1))"))||("((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_18>=1))&&(ATTENTE_B>=1))"))||("((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_1>=1))&&(ATTENTE_B>=1))"))||("((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_67>=1))&&(ATTENTE_B>=1))"))||("((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_77>=1))&&(ATTENTE_B>=1))"))||("((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_42>=1))&&(ATTENTE_B>=1))"))||("((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_8>=1))&&(ATTENTE_B>=1))"))||("((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_25>=1))&&(ATTENTE_B>=1))"))||("((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_43>=1))&&(ATTENTE_B>=1))"))||("((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_60>=1))&&(ATTENTE_B>=1))"))||("((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_26>=1))&&(ATTENTE_B>=1))"))||("((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_59>=1))&&(ATTENTE_B>=1))"))||("((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_76>=1))&&(ATTENTE_B>=1))"))||("((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_68>=1))&&(ATTENTE_B>=1))"))||("((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_17>=1))&&(ATTENTE_B>=1))"))||("((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_34>=1))&&(ATTENTE_B>=1))"))||("((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_51>=1))&&(ATTENTE_B>=1))"))||("((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_2>=1))&&(ATTENTE_B>=1))"))||("((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_12>=1))&&(ATTENTE_B>=1))"))||("((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_22>=1))&&(ATTENTE_B>=1))"))||("((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_41>=1))&&(ATTENTE_B>=1))"))||("((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_80>=1))&&(ATTENTE_B>=1))"))||("((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_61>=1))&&(ATTENTE_B>=1))"))||("((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_31>=1))&&(ATTENTE_B>=1))"))||("((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_3>=1))&&(ATTENTE_B>=1))"))||("((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_50>=1))&&(ATTENTE_B>=1))"))||("((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_71>=1))&&(ATTENTE_B>=1))"))||("((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_52>=1))&&(ATTENTE_B>=1))"))||("((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_40>=1))&&(ATTENTE_B>=1))"))||("((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_62>=1))&&(ATTENTE_B>=1))"))||("((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_23>=1))&&(ATTENTE_B>=1))"))||("((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_11>=1))&&(ATTENTE_B>=1))"))||("((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_79>=1))&&(ATTENTE_B>=1))"))||("((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_70>=1))&&(ATTENTE_B>=1))"))||("((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_32>=1))&&(ATTENTE_B>=1))"))||("((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_49>=1))&&(ATTENTE_B>=1))"))||("((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_53>=1))&&(ATTENTE_B>=1))"))||("((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_29>=1))&&(ATTENTE_B>=1))"))||("((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_73>=1))&&(ATTENTE_B>=1))"))||("((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_39>=1))&&(ATTENTE_B>=1))"))||("((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_5>=1))&&(ATTENTE_B>=1))"))||("((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_63>=1))&&(ATTENTE_B>=1))"))||("((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_48>=1))&&(ATTENTE_B>=1))"))||("((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_54>=1))&&(ATTENTE_B>=1))"))||("((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_20>=1))&&(ATTENTE_B>=1))"))||("((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_14>=1))&&(ATTENTE_B>=1))"))||("((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_13>=1))&&(ATTENTE_B>=1))"))||("((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_30>=1))&&(ATTENTE_B>=1))"))||("((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_4>=1))&&(ATTENTE_B>=1))"))||("((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_64>=1))&&(ATTENTE_B>=1))"))||("((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_21>=1))&&(ATTENTE_B>=1))"))||("((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_38>=1))&&(ATTENTE_B>=1))"))||("((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_47>=1))&&(ATTENTE_B>=1))"))||("((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_55>=1))&&(ATTENTE_B>=1))"))||("((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_72>=1))&&(ATTENTE_B>=1))"))))
Formula 0 simplified : !G("((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_1>=1))&&(ATTENTE_B>=1))" | "((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_2>=1))&&(ATTENTE_B>=1))" | "((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_3>=1))&&(ATTENTE_B>=1))" | "((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_4>=1))&&(ATTENTE_B>=1))" | "((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_5>=1))&&(ATTENTE_B>=1))" | "((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_6>=1))&&(ATTENTE_B>=1))" | "((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_7>=1))&&(ATTENTE_B>=1))" | "((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_8>=1))&&(ATTENTE_B>=1))" | "((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_9>=1))&&(ATTENTE_B>=1))" | "((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_10>=1))&&(ATTENTE_B>=1))" | "((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_11>=1))&&(ATTENTE_B>=1))" | "((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_12>=1))&&(ATTENTE_B>=1))" | "((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_13>=1))&&(ATTENTE_B>=1))" | "((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_14>=1))&&(ATTENTE_B>=1))" | "((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_15>=1))&&(ATTENTE_B>=1))" | "((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_16>=1))&&(ATTENTE_B>=1))" | "((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_17>=1))&&(ATTENTE_B>=1))" | "((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_18>=1))&&(ATTENTE_B>=1))" | "((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_19>=1))&&(ATTENTE_B>=1))" | "((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_20>=1))&&(ATTENTE_B>=1))" | "((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_21>=1))&&(ATTENTE_B>=1))" | "((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_22>=1))&&(ATTENTE_B>=1))" | "((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_23>=1))&&(ATTENTE_B>=1))" | "((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_24>=1))&&(ATTENTE_B>=1))" | "((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_25>=1))&&(ATTENTE_B>=1))" | "((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_26>=1))&&(ATTENTE_B>=1))" | "((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_27>=1))&&(ATTENTE_B>=1))" | "((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_28>=1))&&(ATTENTE_B>=1))" | "((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_29>=1))&&(ATTENTE_B>=1))" | "((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_30>=1))&&(ATTENTE_B>=1))" | "((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_31>=1))&&(ATTENTE_B>=1))" | "((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_32>=1))&&(ATTENTE_B>=1))" | "((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_33>=1))&&(ATTENTE_B>=1))" | "((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_34>=1))&&(ATTENTE_B>=1))" | "((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_35>=1))&&(ATTENTE_B>=1))" | "((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_36>=1))&&(ATTENTE_B>=1))" | "((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_37>=1))&&(ATTENTE_B>=1))" | "((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_38>=1))&&(ATTENTE_B>=1))" | "((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_39>=1))&&(ATTENTE_B>=1))" | "((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_40>=1))&&(ATTENTE_B>=1))" | "((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_41>=1))&&(ATTENTE_B>=1))" | "((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_42>=1))&&(ATTENTE_B>=1))" | "((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_43>=1))&&(ATTENTE_B>=1))" | "((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_44>=1))&&(ATTENTE_B>=1))" | "((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_45>=1))&&(ATTENTE_B>=1))" | "((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_46>=1))&&(ATTENTE_B>=1))" | "((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_47>=1))&&(ATTENTE_B>=1))" | "((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_48>=1))&&(ATTENTE_B>=1))" | "((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_49>=1))&&(ATTENTE_B>=1))" | "((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_50>=1))&&(ATTENTE_B>=1))" | "((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_51>=1))&&(ATTENTE_B>=1))" | "((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_52>=1))&&(ATTENTE_B>=1))" | "((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_53>=1))&&(ATTENTE_B>=1))" | "((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_54>=1))&&(ATTENTE_B>=1))" | "((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_55>=1))&&(ATTENTE_B>=1))" | "((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_56>=1))&&(ATTENTE_B>=1))" | "((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_57>=1))&&(ATTENTE_B>=1))" | "((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_58>=1))&&(ATTENTE_B>=1))" | "((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_59>=1))&&(ATTENTE_B>=1))" | "((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_60>=1))&&(ATTENTE_B>=1))" | "((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_61>=1))&&(ATTENTE_B>=1))" | "((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_62>=1))&&(ATTENTE_B>=1))" | "((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_63>=1))&&(ATTENTE_B>=1))" | "((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_64>=1))&&(ATTENTE_B>=1))" | "((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_65>=1))&&(ATTENTE_B>=1))" | "((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_66>=1))&&(ATTENTE_B>=1))" | "((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_67>=1))&&(ATTENTE_B>=1))" | "((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_68>=1))&&(ATTENTE_B>=1))" | "((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_69>=1))&&(ATTENTE_B>=1))" | "((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_70>=1))&&(ATTENTE_B>=1))" | "((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_71>=1))&&(ATTENTE_B>=1))" | "((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_72>=1))&&(ATTENTE_B>=1))" | "((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_73>=1))&&(ATTENTE_B>=1))" | "((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_74>=1))&&(ATTENTE_B>=1))" | "((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_75>=1))&&(ATTENTE_B>=1))" | "((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_76>=1))&&(ATTENTE_B>=1))" | "((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_77>=1))&&(ATTENTE_B>=1))" | "((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_78>=1))&&(ATTENTE_B>=1))" | "((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_79>=1))&&(ATTENTE_B>=1))" | "((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_80>=1))&&(ATTENTE_B>=1))" | F"(SUR_PONT_A>=1)")
Detected timeout of ITS tools.
[2021-05-09 05:16:19] [INFO ] Flatten gal took : 102 ms
[2021-05-09 05:16:19] [INFO ] Applying decomposition
[2021-05-09 05:16:19] [INFO ] Flatten gal took : 79 ms
Converted graph to binary with : CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.louvain.binaries_1.0.0.202104292328/bin/convert-linux64, -i, /tmp/graph4355073362690882867.txt, -o, /tmp/graph4355073362690882867.bin, -w, /tmp/graph4355073362690882867.weights], workingDir=null]
Built communities with : CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.louvain.binaries_1.0.0.202104292328/bin/louvain-linux64, /tmp/graph4355073362690882867.bin, -l, -1, -v, -w, /tmp/graph4355073362690882867.weights, -q, 0, -e, 0.001], workingDir=null]
[2021-05-09 05:16:20] [INFO ] Decomposing Gal with order
[2021-05-09 05:16:20] [INFO ] Rewriting arrays to variables to allow decomposition.
[2021-05-09 05:16:21] [INFO ] Removed a total of 3872 redundant transitions.
[2021-05-09 05:16:21] [INFO ] Flatten gal took : 869 ms
[2021-05-09 05:16:21] [INFO ] Fuse similar labels procedure discarded/fused a total of 675 labels/synchronizations in 19 ms.
[2021-05-09 05:16:21] [INFO ] Time to serialize gal into /tmp/LTL9891364384695838372.gal : 3 ms
[2021-05-09 05:16:21] [INFO ] Time to serialize properties into /tmp/LTL18296360606148667938.ltl : 2 ms
Invoking ITS tools like this :CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64, --gc-threshold, 2000000, -i, /tmp/LTL9891364384695838372.gal, -t, CGAL, -LTL, /tmp/LTL18296360606148667938.ltl, -c, -stutter-deadlock], workingDir=/home/mcc/execution]

its-ltl command run as :

/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64 --gc-threshold 2000000 -i /tmp/LTL9891364384695838372.gal -t CGAL -LTL /tmp/LTL18296360606148667938.ltl -c -stutter-deadlock
Read 1 LTL properties
Checking formula 0 : !((G(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((F("(u0.SUR_PONT_A>=1)"))||("((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_7>=1))&&(i1.u1.ATTENTE_B>=1))"))||("((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_46>=1))&&(i1.u1.ATTENTE_B>=1))"))||("((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_56>=1))&&(i1.u1.ATTENTE_B>=1))"))||("((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_27>=1))&&(i1.u1.ATTENTE_B>=1))"))||("((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_37>=1))&&(i1.u1.ATTENTE_B>=1))"))||("((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_75>=1))&&(i1.u1.ATTENTE_B>=1))"))||("((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_65>=1))&&(i1.u1.ATTENTE_B>=1))"))||("((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_16>=1))&&(i1.u1.ATTENTE_B>=1))"))||("((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_45>=1))&&(i1.u1.ATTENTE_B>=1))"))||("((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_57>=1))&&(i1.u1.ATTENTE_B>=1))"))||("((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_74>=1))&&(i1.u1.ATTENTE_B>=1))"))||("((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_6>=1))&&(i1.u1.ATTENTE_B>=1))"))||("((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_28>=1))&&(i1.u1.ATTENTE_B>=1))"))||("((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_15>=1))&&(i1.u1.ATTENTE_B>=1))"))||("((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_66>=1))&&(i1.u1.ATTENTE_B>=1))"))||("((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_19>=1))&&(i1.u1.ATTENTE_B>=1))"))||("((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_36>=1))&&(i1.u1.ATTENTE_B>=1))"))||("((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_44>=1))&&(i1.u1.ATTENTE_B>=1))"))||("((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_58>=1))&&(i1.u1.ATTENTE_B>=1))"))||("((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_9>=1))&&(i1.u1.ATTENTE_B>=1))"))||("((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_24>=1))&&(i1.u1.ATTENTE_B>=1))"))||("((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_78>=1))&&(i1.u1.ATTENTE_B>=1))"))||("((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_10>=1))&&(i1.u1.ATTENTE_B>=1))"))||("((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_33>=1))&&(i1.u1.ATTENTE_B>=1))"))||("((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_35>=1))&&(i1.u1.ATTENTE_B>=1))"))||("((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_69>=1))&&(i1.u1.ATTENTE_B>=1))"))||("((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_18>=1))&&(i1.u1.ATTENTE_B>=1))"))||("((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_1>=1))&&(i1.u1.ATTENTE_B>=1))"))||("((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_67>=1))&&(i1.u1.ATTENTE_B>=1))"))||("((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_77>=1))&&(i1.u1.ATTENTE_B>=1))"))||("((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_42>=1))&&(i1.u1.ATTENTE_B>=1))"))||("((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_8>=1))&&(i1.u1.ATTENTE_B>=1))"))||("((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_25>=1))&&(i1.u1.ATTENTE_B>=1))"))||("((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_43>=1))&&(i1.u1.ATTENTE_B>=1))"))||("((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_60>=1))&&(i1.u1.ATTENTE_B>=1))"))||("((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_26>=1))&&(i1.u1.ATTENTE_B>=1))"))||("((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_59>=1))&&(i1.u1.ATTENTE_B>=1))"))||("((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_76>=1))&&(i1.u1.ATTENTE_B>=1))"))||("((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_68>=1))&&(i1.u1.ATTENTE_B>=1))"))||("((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_17>=1))&&(i1.u1.ATTENTE_B>=1))"))||("((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_34>=1))&&(i1.u1.ATTENTE_B>=1))"))||("((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_51>=1))&&(i1.u1.ATTENTE_B>=1))"))||("((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_2>=1))&&(i1.u1.ATTENTE_B>=1))"))||("((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_12>=1))&&(i1.u1.ATTENTE_B>=1))"))||("((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_22>=1))&&(i1.u1.ATTENTE_B>=1))"))||("((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_41>=1))&&(i1.u1.ATTENTE_B>=1))"))||("((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_80>=1))&&(i1.u1.ATTENTE_B>=1))"))||("((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_61>=1))&&(i1.u1.ATTENTE_B>=1))"))||("((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_31>=1))&&(i1.u1.ATTENTE_B>=1))"))||("((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_3>=1))&&(i1.u1.ATTENTE_B>=1))"))||("((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_50>=1))&&(i1.u1.ATTENTE_B>=1))"))||("((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_71>=1))&&(i1.u1.ATTENTE_B>=1))"))||("((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_52>=1))&&(i1.u1.ATTENTE_B>=1))"))||("((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_40>=1))&&(i1.u1.ATTENTE_B>=1))"))||("((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_62>=1))&&(i1.u1.ATTENTE_B>=1))"))||("((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_23>=1))&&(i1.u1.ATTENTE_B>=1))"))||("((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_11>=1))&&(i1.u1.ATTENTE_B>=1))"))||("((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_79>=1))&&(i1.u1.ATTENTE_B>=1))"))||("((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_70>=1))&&(i1.u1.ATTENTE_B>=1))"))||("((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_32>=1))&&(i1.u1.ATTENTE_B>=1))"))||("((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_49>=1))&&(i1.u1.ATTENTE_B>=1))"))||("((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_53>=1))&&(i1.u1.ATTENTE_B>=1))"))||("((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_29>=1))&&(i1.u1.ATTENTE_B>=1))"))||("((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_73>=1))&&(i1.u1.ATTENTE_B>=1))"))||("((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_39>=1))&&(i1.u1.ATTENTE_B>=1))"))||("((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_5>=1))&&(i1.u1.ATTENTE_B>=1))"))||("((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_63>=1))&&(i1.u1.ATTENTE_B>=1))"))||("((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_48>=1))&&(i1.u1.ATTENTE_B>=1))"))||("((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_54>=1))&&(i1.u1.ATTENTE_B>=1))"))||("((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_20>=1))&&(i1.u1.ATTENTE_B>=1))"))||("((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_14>=1))&&(i1.u1.ATTENTE_B>=1))"))||("((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_13>=1))&&(i1.u1.ATTENTE_B>=1))"))||("((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_30>=1))&&(i1.u1.ATTENTE_B>=1))"))||("((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_4>=1))&&(i1.u1.ATTENTE_B>=1))"))||("((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_64>=1))&&(i1.u1.ATTENTE_B>=1))"))||("((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_21>=1))&&(i1.u1.ATTENTE_B>=1))"))||("((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_38>=1))&&(i1.u1.ATTENTE_B>=1))"))||("((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_47>=1))&&(i1.u1.ATTENTE_B>=1))"))||("((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_55>=1))&&(i1.u1.ATTENTE_B>=1))"))||("((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_72>=1))&&(i1.u1.ATTENTE_B>=1))"))))
Formula 0 simplified : !G("((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_1>=1))&&(i1.u1.ATTENTE_B>=1))" | "((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_2>=1))&&(i1.u1.ATTENTE_B>=1))" | "((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_3>=1))&&(i1.u1.ATTENTE_B>=1))" | "((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_4>=1))&&(i1.u1.ATTENTE_B>=1))" | "((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_5>=1))&&(i1.u1.ATTENTE_B>=1))" | "((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_6>=1))&&(i1.u1.ATTENTE_B>=1))" | "((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_7>=1))&&(i1.u1.ATTENTE_B>=1))" | "((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_8>=1))&&(i1.u1.ATTENTE_B>=1))" | "((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_9>=1))&&(i1.u1.ATTENTE_B>=1))" | "((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_10>=1))&&(i1.u1.ATTENTE_B>=1))" | "((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_11>=1))&&(i1.u1.ATTENTE_B>=1))" | "((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_12>=1))&&(i1.u1.ATTENTE_B>=1))" | "((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_13>=1))&&(i1.u1.ATTENTE_B>=1))" | "((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_14>=1))&&(i1.u1.ATTENTE_B>=1))" | "((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_15>=1))&&(i1.u1.ATTENTE_B>=1))" | "((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_16>=1))&&(i1.u1.ATTENTE_B>=1))" | "((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_17>=1))&&(i1.u1.ATTENTE_B>=1))" | "((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_18>=1))&&(i1.u1.ATTENTE_B>=1))" | "((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_19>=1))&&(i1.u1.ATTENTE_B>=1))" | "((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_20>=1))&&(i1.u1.ATTENTE_B>=1))" | "((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_21>=1))&&(i1.u1.ATTENTE_B>=1))" | "((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_22>=1))&&(i1.u1.ATTENTE_B>=1))" | "((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_23>=1))&&(i1.u1.ATTENTE_B>=1))" | "((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_24>=1))&&(i1.u1.ATTENTE_B>=1))" | "((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_25>=1))&&(i1.u1.ATTENTE_B>=1))" | "((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_26>=1))&&(i1.u1.ATTENTE_B>=1))" | "((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_27>=1))&&(i1.u1.ATTENTE_B>=1))" | "((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_28>=1))&&(i1.u1.ATTENTE_B>=1))" | "((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_29>=1))&&(i1.u1.ATTENTE_B>=1))" | "((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_30>=1))&&(i1.u1.ATTENTE_B>=1))" | "((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_31>=1))&&(i1.u1.ATTENTE_B>=1))" | "((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_32>=1))&&(i1.u1.ATTENTE_B>=1))" | "((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_33>=1))&&(i1.u1.ATTENTE_B>=1))" | "((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_34>=1))&&(i1.u1.ATTENTE_B>=1))" | "((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_35>=1))&&(i1.u1.ATTENTE_B>=1))" | "((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_36>=1))&&(i1.u1.ATTENTE_B>=1))" | "((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_37>=1))&&(i1.u1.ATTENTE_B>=1))" | "((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_38>=1))&&(i1.u1.ATTENTE_B>=1))" | "((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_39>=1))&&(i1.u1.ATTENTE_B>=1))" | "((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_40>=1))&&(i1.u1.ATTENTE_B>=1))" | "((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_41>=1))&&(i1.u1.ATTENTE_B>=1))" | "((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_42>=1))&&(i1.u1.ATTENTE_B>=1))" | "((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_43>=1))&&(i1.u1.ATTENTE_B>=1))" | "((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_44>=1))&&(i1.u1.ATTENTE_B>=1))" | "((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_45>=1))&&(i1.u1.ATTENTE_B>=1))" | "((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_46>=1))&&(i1.u1.ATTENTE_B>=1))" | "((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_47>=1))&&(i1.u1.ATTENTE_B>=1))" | "((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_48>=1))&&(i1.u1.ATTENTE_B>=1))" | "((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_49>=1))&&(i1.u1.ATTENTE_B>=1))" | "((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_50>=1))&&(i1.u1.ATTENTE_B>=1))" | "((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_51>=1))&&(i1.u1.ATTENTE_B>=1))" | "((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_52>=1))&&(i1.u1.ATTENTE_B>=1))" | "((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_53>=1))&&(i1.u1.ATTENTE_B>=1))" | "((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_54>=1))&&(i1.u1.ATTENTE_B>=1))" | "((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_55>=1))&&(i1.u1.ATTENTE_B>=1))" | "((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_56>=1))&&(i1.u1.ATTENTE_B>=1))" | "((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_57>=1))&&(i1.u1.ATTENTE_B>=1))" | "((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_58>=1))&&(i1.u1.ATTENTE_B>=1))" | "((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_59>=1))&&(i1.u1.ATTENTE_B>=1))" | "((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_60>=1))&&(i1.u1.ATTENTE_B>=1))" | "((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_61>=1))&&(i1.u1.ATTENTE_B>=1))" | "((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_62>=1))&&(i1.u1.ATTENTE_B>=1))" | "((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_63>=1))&&(i1.u1.ATTENTE_B>=1))" | "((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_64>=1))&&(i1.u1.ATTENTE_B>=1))" | "((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_65>=1))&&(i1.u1.ATTENTE_B>=1))" | "((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_66>=1))&&(i1.u1.ATTENTE_B>=1))" | "((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_67>=1))&&(i1.u1.ATTENTE_B>=1))" | "((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_68>=1))&&(i1.u1.ATTENTE_B>=1))" | "((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_69>=1))&&(i1.u1.ATTENTE_B>=1))" | "((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_70>=1))&&(i1.u1.ATTENTE_B>=1))" | "((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_71>=1))&&(i1.u1.ATTENTE_B>=1))" | "((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_72>=1))&&(i1.u1.ATTENTE_B>=1))" | "((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_73>=1))&&(i1.u1.ATTENTE_B>=1))" | "((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_74>=1))&&(i1.u1.ATTENTE_B>=1))" | "((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_75>=1))&&(i1.u1.ATTENTE_B>=1))" | "((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_76>=1))&&(i1.u1.ATTENTE_B>=1))" | "((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_77>=1))&&(i1.u1.ATTENTE_B>=1))" | "((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_78>=1))&&(i1.u1.ATTENTE_B>=1))" | "((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_79>=1))&&(i1.u1.ATTENTE_B>=1))" | "((((u0.CAPACITE>=1)&&(i2.u2.CONTROLEUR_2>=1))&&(i1.u3.NB_ATTENTE_B_80>=1))&&(i1.u1.ATTENTE_B>=1))" | F"(u0.SUR_PONT_A>=1)")
Detected timeout of ITS tools.
Built C files in :
/tmp/ltsmin4220051408119986652
[2021-05-09 05:16:36] [INFO ] Built C files in 36ms conformant to PINS (ltsmin variant)in folder :/tmp/ltsmin4220051408119986652
Running compilation step : CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/include/, -I., -std=c99, -fPIC, -O2, model.c], workingDir=/tmp/ltsmin4220051408119986652]
WARNING : LTS min runner thread failed on error :java.lang.RuntimeException: Compilation or link of executable timed out.java.util.concurrent.TimeoutException: Subprocess running CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/include/, -I., -std=c99, -fPIC, -O2, model.c], workingDir=/tmp/ltsmin4220051408119986652] killed by timeout after 1 SECONDS
java.lang.RuntimeException: Compilation or link of executable timed out.java.util.concurrent.TimeoutException: Subprocess running CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/include/, -I., -std=c99, -fPIC, -O2, model.c], workingDir=/tmp/ltsmin4220051408119986652] killed by timeout after 1 SECONDS
at fr.lip6.move.gal.application.LTSminRunner$1.run(LTSminRunner.java:79)
at java.base/java.lang.Thread.run(Thread.java:834)
Treatment of property BridgeAndVehicles-PT-V80P20N10-05 finished in 38254 ms.
Running Spot : CommandLine [args=[/home/mcc/BenchKit/bin//..//ltl2tgba, --hoaf=tv, -f, !(F(p0))], workingDir=/home/mcc/execution]
Support contains 83 out of 186 places. Attempting structural reductions.
Starting structural reductions, iteration 0 : 186/186 places, 2108/2108 transitions.
Discarding 1 places :
Symmetric choice reduction at 0 with 1 rule applications. Total rules 1 place count 185 transition count 2107
Iterating global reduction 0 with 1 rules applied. Total rules applied 2 place count 185 transition count 2107
Applied a total of 2 rules in 186 ms. Remains 185 /186 variables (removed 1) and now considering 2107/2108 (removed 1) transitions.
[2021-05-09 05:16:37] [INFO ] Flow matrix only has 369 transitions (discarded 1738 similar events)
// Phase 1: matrix 369 rows 185 cols
[2021-05-09 05:16:37] [INFO ] Computed 5 place invariants in 2 ms
[2021-05-09 05:16:38] [INFO ] Dead Transitions using invariants and state equation in 716 ms returned []
[2021-05-09 05:16:38] [INFO ] Flow matrix only has 369 transitions (discarded 1738 similar events)
// Phase 1: matrix 369 rows 185 cols
[2021-05-09 05:16:38] [INFO ] Computed 5 place invariants in 1 ms
[2021-05-09 05:16:38] [INFO ] Implicit Places using invariants in 186 ms returned []
[2021-05-09 05:16:38] [INFO ] Flow matrix only has 369 transitions (discarded 1738 similar events)
// Phase 1: matrix 369 rows 185 cols
[2021-05-09 05:16:38] [INFO ] Computed 5 place invariants in 2 ms
[2021-05-09 05:16:38] [INFO ] State equation strengthened by 22 read => feed constraints.
[2021-05-09 05:16:39] [INFO ] Implicit Places using invariants and state equation in 914 ms returned [82]
Discarding 1 places :
Implicit Place search using SMT with State Equation took 1130 ms to find 1 implicit places.
[2021-05-09 05:16:39] [INFO ] Redundant transitions in 64 ms returned []
[2021-05-09 05:16:39] [INFO ] Flow matrix only has 369 transitions (discarded 1738 similar events)
// Phase 1: matrix 369 rows 184 cols
[2021-05-09 05:16:39] [INFO ] Computed 5 place invariants in 2 ms
[2021-05-09 05:16:40] [INFO ] Dead Transitions using invariants and state equation in 723 ms returned []
Starting structural reductions, iteration 1 : 184/186 places, 2107/2108 transitions.
Applied a total of 0 rules in 91 ms. Remains 184 /184 variables (removed 0) and now considering 2107/2107 (removed 0) transitions.
[2021-05-09 05:16:40] [INFO ] Redundant transitions in 35 ms returned []
[2021-05-09 05:16:40] [INFO ] Flow matrix only has 369 transitions (discarded 1738 similar events)
// Phase 1: matrix 369 rows 184 cols
[2021-05-09 05:16:40] [INFO ] Computed 5 place invariants in 1 ms
[2021-05-09 05:16:40] [INFO ] Dead Transitions using invariants and state equation in 526 ms returned []
Finished structural reductions, in 2 iterations. Remains : 184/186 places, 2107/2108 transitions.
Stuttering acceptance computed with spot in 24 ms :[(NOT p0)]
Running random walk in product with property : BridgeAndVehicles-PT-V80P20N10-06 automaton TGBA [mat=[[{ cond=(NOT p0), acceptance={0} source=0 dest: 0}]], initial=0, aps=[p0:(OR (AND (GEQ s83 1) (GEQ s85 1) (GEQ s93 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s132 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s142 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s113 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s123 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s161 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s151 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s102 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s131 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s143 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s160 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s92 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s114 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s101 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s152 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s105 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s122 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s130 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s144 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s95 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s110 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s164 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s96 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s119 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s121 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s155 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s104 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s87 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s153 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s163 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s128 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s94 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s111 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s129 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s146 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s112 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s145 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s162 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s154 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s103 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s120 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s137 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s88 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s98 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s108 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s127 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s166 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s147 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s117 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s89 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s136 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s157 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s138 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s126 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s148 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s109 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s97 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s165 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s156 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s118 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s135 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s139 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s115 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s159 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s125 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s91 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s149 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s134 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s140 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s106 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s100 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s99 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s116 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s90 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s150 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s107 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s124 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s133 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s141 1) (GEQ s167 1)) (AND (GEQ s83 1) (GEQ s85 1) (GEQ s158 1) (GEQ s167 1)))], nbAcceptance=1, properties=[trans-labels, explicit-labels, trans-acc, colored, deterministic, no-univ-branch, unambiguous, semi-deterministic, stutter-invariant, very-weak, weak, inherently-weak], stateDesc=[null][true]]
Product exploration explored 100000 steps with 1616 reset in 961 ms.
Product exploration explored 100000 steps with 1607 reset in 1104 ms.
Knowledge obtained : [(NOT p0)]
Stuttering acceptance computed with spot in 33 ms :[(NOT p0)]
Product exploration explored 100000 steps with 1553 reset in 881 ms.
Product exploration explored 100000 steps with 1537 reset in 920 ms.
[2021-05-09 05:16:44] [INFO ] Flatten gal took : 54 ms
[2021-05-09 05:16:44] [INFO ] Flatten gal took : 55 ms
[2021-05-09 05:16:44] [INFO ] Time to serialize gal into /tmp/LTL5657710356409270850.gal : 7 ms
[2021-05-09 05:16:44] [INFO ] Time to serialize properties into /tmp/LTL65798769359285984.ltl : 1 ms
Invoking ITS tools like this :CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64, --gc-threshold, 2000000, -i, /tmp/LTL5657710356409270850.gal, -t, CGAL, -LTL, /tmp/LTL65798769359285984.ltl, -c, -stutter-deadlock, --gen-order, FOLLOW], workingDir=/home/mcc/execution]

its-ltl command run as :

/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64 --gc-threshold 2000000 -i /tmp/LTL5657710356409270850.gal -t CGAL -LTL /tmp/LTL65798769359285984.ltl -c -stutter-deadlock --gen-order FOLLOW
Read 1 LTL properties
Checking formula 0 : !((F("(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_7>=1))&&(ATTENTE_B>=1))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_46>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_56>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_27>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_37>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_75>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_65>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_16>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_45>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_57>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_74>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_6>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_28>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_15>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_66>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_19>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_36>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_44>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_58>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_9>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_24>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_78>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_10>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_33>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_35>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_69>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_18>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_1>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_67>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_77>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_42>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_8>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_25>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_43>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_60>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_26>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_59>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_76>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_68>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_17>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_34>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_51>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_2>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_12>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_22>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_41>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_80>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_61>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_31>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_3>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_50>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_71>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_52>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_40>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_62>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_23>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_11>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_79>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_70>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_32>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_49>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_53>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_29>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_73>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_39>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_5>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_63>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_48>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_54>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_20>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_14>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_13>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_30>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_4>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_64>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_21>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_38>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_47>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_55>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_72>=1))&&(ATTENTE_B>=1)))")))
Formula 0 simplified : !F"(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_7>=1))&&(ATTENTE_B>=1))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_46>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_56>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_27>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_37>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_75>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_65>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_16>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_45>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_57>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_74>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_6>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_28>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_15>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_66>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_19>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_36>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_44>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_58>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_9>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_24>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_78>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_10>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_33>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_35>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_69>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_18>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_1>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_67>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_77>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_42>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_8>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_25>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_43>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_60>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_26>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_59>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_76>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_68>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_17>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_34>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_51>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_2>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_12>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_22>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_41>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_80>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_61>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_31>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_3>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_50>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_71>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_52>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_40>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_62>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_23>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_11>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_79>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_70>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_32>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_49>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_53>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_29>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_73>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_39>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_5>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_63>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_48>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_54>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_20>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_14>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_13>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_30>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_4>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_64>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_21>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_38>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_47>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_55>=1))&&(ATTENTE_B>=1)))||((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_72>=1))&&(ATTENTE_B>=1)))"
Detected timeout of ITS tools.
[2021-05-09 05:17:00] [INFO ] Flatten gal took : 87 ms
[2021-05-09 05:17:00] [INFO ] Applying decomposition
[2021-05-09 05:17:00] [INFO ] Flatten gal took : 78 ms
Converted graph to binary with : CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.louvain.binaries_1.0.0.202104292328/bin/convert-linux64, -i, /tmp/graph14379579271063836141.txt, -o, /tmp/graph14379579271063836141.bin, -w, /tmp/graph14379579271063836141.weights], workingDir=null]
Built communities with : CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.louvain.binaries_1.0.0.202104292328/bin/louvain-linux64, /tmp/graph14379579271063836141.bin, -l, -1, -v, -w, /tmp/graph14379579271063836141.weights, -q, 0, -e, 0.001], workingDir=null]
[2021-05-09 05:17:00] [INFO ] Decomposing Gal with order
[2021-05-09 05:17:00] [INFO ] Rewriting arrays to variables to allow decomposition.
[2021-05-09 05:17:01] [INFO ] Removed a total of 4397 redundant transitions.
[2021-05-09 05:17:01] [INFO ] Flatten gal took : 713 ms
[2021-05-09 05:17:01] [INFO ] Fuse similar labels procedure discarded/fused a total of 771 labels/synchronizations in 22 ms.
[2021-05-09 05:17:01] [INFO ] Time to serialize gal into /tmp/LTL12001097487781115412.gal : 2 ms
[2021-05-09 05:17:01] [INFO ] Time to serialize properties into /tmp/LTL18099957540522617373.ltl : 1 ms
Invoking ITS tools like this :CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64, --gc-threshold, 2000000, -i, /tmp/LTL12001097487781115412.gal, -t, CGAL, -LTL, /tmp/LTL18099957540522617373.ltl, -c, -stutter-deadlock], workingDir=/home/mcc/execution]

its-ltl command run as :

/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64 --gc-threshold 2000000 -i /tmp/LTL12001097487781115412.gal -t CGAL -LTL /tmp/LTL18099957540522617373.ltl -c -stutter-deadlock
Read 1 LTL properties
Checking formula 0 : !((F("(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_7>=1))&&(i1.u2.ATTENTE_B>=1))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_46>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_56>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_27>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_37>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_75>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_65>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_16>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_45>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_57>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_74>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_6>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_28>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_15>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_66>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_19>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_36>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_44>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_58>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_9>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_24>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_78>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_10>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_33>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_35>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_69>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_18>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_1>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_67>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_77>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_42>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_8>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_25>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_43>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_60>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_26>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_59>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_76>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_68>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_17>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_34>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_51>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_2>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_12>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_22>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_41>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_80>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_61>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_31>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_3>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_50>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_71>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_52>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_40>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_62>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_23>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_11>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_79>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_70>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_32>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_49>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_53>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_29>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_73>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_39>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_5>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_63>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_48>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_54>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_20>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_14>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_13>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_30>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_4>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_64>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_21>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_38>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_47>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_55>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_72>=1))&&(i1.u2.ATTENTE_B>=1)))")))
Formula 0 simplified : !F"(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_7>=1))&&(i1.u2.ATTENTE_B>=1))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_46>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_56>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_27>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_37>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_75>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_65>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_16>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_45>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_57>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_74>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_6>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_28>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_15>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_66>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_19>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_36>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_44>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_58>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_9>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_24>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_78>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_10>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_33>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_35>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_69>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_18>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_1>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_67>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_77>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_42>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_8>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_25>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_43>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_60>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_26>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_59>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_76>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_68>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_17>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_34>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_51>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_2>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_12>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_22>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_41>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_80>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_61>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_31>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_3>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_50>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_71>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_52>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_40>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_62>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_23>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_11>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_79>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_70>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_32>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_49>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_53>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_29>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_73>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_39>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_5>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_63>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_48>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_54>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_20>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_14>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_13>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_30>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_4>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_64>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_21>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_38>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_47>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_55>=1))&&(i1.u2.ATTENTE_B>=1)))||((((i1.u0.CAPACITE>=1)&&(i0.u1.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_72>=1))&&(i1.u2.ATTENTE_B>=1)))"
Detected timeout of ITS tools.
Built C files in :
/tmp/ltsmin8378281627012885965
[2021-05-09 05:17:16] [INFO ] Built C files in 19ms conformant to PINS (ltsmin variant)in folder :/tmp/ltsmin8378281627012885965
Running compilation step : CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/include/, -I., -std=c99, -fPIC, -O2, model.c], workingDir=/tmp/ltsmin8378281627012885965]
WARNING : LTS min runner thread failed on error :java.lang.RuntimeException: Compilation or link of executable timed out.java.util.concurrent.TimeoutException: Subprocess running CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/include/, -I., -std=c99, -fPIC, -O2, model.c], workingDir=/tmp/ltsmin8378281627012885965] killed by timeout after 1 SECONDS
java.lang.RuntimeException: Compilation or link of executable timed out.java.util.concurrent.TimeoutException: Subprocess running CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/include/, -I., -std=c99, -fPIC, -O2, model.c], workingDir=/tmp/ltsmin8378281627012885965] killed by timeout after 1 SECONDS
at fr.lip6.move.gal.application.LTSminRunner$1.run(LTSminRunner.java:79)
at java.base/java.lang.Thread.run(Thread.java:834)
Treatment of property BridgeAndVehicles-PT-V80P20N10-06 finished in 40101 ms.
Running Spot : CommandLine [args=[/home/mcc/BenchKit/bin//..//ltl2tgba, --hoaf=tv, -f, !(F((G(p0)||X((G(F(p2))&&p1)))))], workingDir=/home/mcc/execution]
Support contains 85 out of 186 places. Attempting structural reductions.
Starting structural reductions, iteration 0 : 186/186 places, 2108/2108 transitions.
Discarding 1 places :
Symmetric choice reduction at 0 with 1 rule applications. Total rules 1 place count 185 transition count 2107
Iterating global reduction 0 with 1 rules applied. Total rules applied 2 place count 185 transition count 2107
Applied a total of 2 rules in 35 ms. Remains 185 /186 variables (removed 1) and now considering 2107/2108 (removed 1) transitions.
[2021-05-09 05:17:17] [INFO ] Flow matrix only has 369 transitions (discarded 1738 similar events)
// Phase 1: matrix 369 rows 185 cols
[2021-05-09 05:17:17] [INFO ] Computed 5 place invariants in 1 ms
[2021-05-09 05:17:18] [INFO ] Dead Transitions using invariants and state equation in 800 ms returned []
[2021-05-09 05:17:18] [INFO ] Flow matrix only has 369 transitions (discarded 1738 similar events)
// Phase 1: matrix 369 rows 185 cols
[2021-05-09 05:17:18] [INFO ] Computed 5 place invariants in 2 ms
[2021-05-09 05:17:18] [INFO ] Implicit Places using invariants in 203 ms returned []
[2021-05-09 05:17:18] [INFO ] Flow matrix only has 369 transitions (discarded 1738 similar events)
// Phase 1: matrix 369 rows 185 cols
[2021-05-09 05:17:18] [INFO ] Computed 5 place invariants in 1 ms
[2021-05-09 05:17:18] [INFO ] State equation strengthened by 22 read => feed constraints.
[2021-05-09 05:17:19] [INFO ] Implicit Places using invariants and state equation in 1471 ms returned [82, 168]
Discarding 2 places :
Implicit Place search using SMT with State Equation took 1706 ms to find 2 implicit places.
[2021-05-09 05:17:19] [INFO ] Flow matrix only has 369 transitions (discarded 1738 similar events)
// Phase 1: matrix 369 rows 183 cols
[2021-05-09 05:17:19] [INFO ] Computed 5 place invariants in 4 ms
[2021-05-09 05:17:20] [INFO ] Dead Transitions using invariants and state equation in 677 ms returned []
Starting structural reductions, iteration 1 : 183/186 places, 2107/2108 transitions.
Applied a total of 0 rules in 21 ms. Remains 183 /183 variables (removed 0) and now considering 2107/2107 (removed 0) transitions.
[2021-05-09 05:17:20] [INFO ] Flow matrix only has 369 transitions (discarded 1738 similar events)
// Phase 1: matrix 369 rows 183 cols
[2021-05-09 05:17:20] [INFO ] Computed 5 place invariants in 3 ms
[2021-05-09 05:17:21] [INFO ] Dead Transitions using invariants and state equation in 627 ms returned []
Finished structural reductions, in 2 iterations. Remains : 183/186 places, 2107/2108 transitions.
Stuttering acceptance computed with spot in 124 ms :[(OR (AND (NOT p0) (NOT p2)) (AND (NOT p0) (NOT p1))), (OR (AND (NOT p0) (NOT p2)) (AND (NOT p0) (NOT p1))), (AND (NOT p0) (NOT p2)), (AND (NOT p0) (NOT p2)), (AND (NOT p0) (NOT p1))]
Running random walk in product with property : BridgeAndVehicles-PT-V80P20N10-07 automaton TGBA [mat=[[{ cond=true, acceptance={} source=0 dest: 1}], [{ cond=(AND (NOT p0) (NOT p2)), acceptance={} source=1 dest: 2}, { cond=true, acceptance={} source=1 dest: 3}, { cond=(NOT p1), acceptance={} source=1 dest: 4}], [{ cond=(AND (NOT p0) (NOT p2)), acceptance={0} source=2 dest: 2}, { cond=(AND p0 (NOT p2)), acceptance={} source=2 dest: 2}], [{ cond=(AND (NOT p0) (NOT p2)), acceptance={} source=3 dest: 2}, { cond=true, acceptance={} source=3 dest: 3}], [{ cond=(AND (NOT p0) (NOT p1)), acceptance={0} source=4 dest: 4}, { cond=(AND p0 (NOT p1)), acceptance={} source=4 dest: 4}]], initial=0, aps=[p0:(OR (AND (GEQ s0 1) (GEQ s21 1)) (AND (GEQ s0 1) (GEQ s23 1)) (AND (GEQ s0 1) (GEQ s17 1)) (AND (GEQ s0 1) (GEQ s19 1)) (AND (GEQ s0 1) (GEQ s80 1)) (AND (GEQ s0 1) (GEQ s29 1)) (AND (GEQ s0 1) (GEQ s31 1)) (AND (GEQ s0 1) (GEQ s25 1)) (AND (GEQ s0 1) (GEQ s27 1)) (AND (GEQ s0 1) (GEQ s5 1)) (AND (GEQ s0 1) (GEQ s70 1)) (AND (GEQ s0 1) (GEQ s7 1)) (AND (GEQ s0 1) (GEQ s68 1)) (AND (GEQ s0 1) (GEQ s1 1)) (AND (GEQ s0 1) (GEQ s66 1)) (AND (GEQ s0 1) (GEQ s3 1)) (AND (GEQ s0 1) (GEQ s64 1)) (AND (GEQ s0 1) (GEQ s13 1)) (AND (GEQ s0 1) (GEQ s78 1)) (AND (GEQ s0 1) (GEQ s15 1)) (AND (GEQ s0 1) (GEQ s76 1)) (AND (GEQ s0 1) (GEQ s9 1)) (AND (GEQ s0 1) (GEQ s74 1)) (AND (GEQ s0 1) (GEQ s11 1)) (AND (GEQ s0 1) (GEQ s72 1)) (AND (GEQ s0 1) (GEQ s54 1)) (AND (GEQ s0 1) (GEQ s52 1)) (AND (GEQ s0 1) (GEQ s50 1)) (AND (GEQ s0 1) (GEQ s48 1)) (AND (GEQ s0 1) (GEQ s62 1)) (AND (GEQ s0 1) (GEQ s60 1)) (AND (GEQ s0 1) (GEQ s58 1)) (AND (GEQ s0 1) (GEQ s56 1)) (AND (GEQ s0 1) (GEQ s36 1)) (AND (GEQ s0 1) (GEQ s38 1)) (AND (GEQ s0 1) (GEQ s32 1)) (AND (GEQ s0 1) (GEQ s34 1)) (AND (GEQ s0 1) (GEQ s44 1)) (AND (GEQ s0 1) (GEQ s46 1)) (AND (GEQ s0 1) (GEQ s40 1)) (AND (GEQ s0 1) (GEQ s42 1)) (AND (GEQ s0 1) (GEQ s20 1)) (AND (GEQ s0 1) (GEQ s22 1)) (AND (GEQ s0 1) (GEQ s16 1)) (AND (GEQ s0 1) (GEQ s18 1)) (AND (GEQ s0 1) (GEQ s81 1)) (AND (GEQ s0 1) (GEQ s28 1)) (AND (GEQ s0 1) (GEQ s30 1)) (AND (GEQ s0 1) (GEQ s24 1)) (AND (GEQ s0 1) (GEQ s26 1)) (AND (GEQ s0 1) (GEQ s4 1)) (AND (GEQ s0 1) (GEQ s71 1)) (AND (GEQ s0 1) (GEQ s6 1)) (AND (GEQ s0 1) (GEQ s69 1)) (AND (GEQ s0 1) (GEQ s67 1)) (AND (GEQ s0 1) (GEQ s2 1)) (AND (GEQ s0 1) (GEQ s65 1)) (AND (GEQ s0 1) (GEQ s12 1)) (AND (GEQ s0 1) (GEQ s79 1)) (AND (GEQ s0 1) (GEQ s14 1)) (AND (GEQ s0 1) (GEQ s77 1)) (AND (GEQ s0 1) (GEQ s8 1)) (AND (GEQ s0 1) (GEQ s75 1)) (AND (GEQ s0 1) (GEQ s10 1)) (AND (GEQ s0 1) (GEQ s73 1)) (AND (GEQ s0 1) (GEQ s55 1)) (AND (GEQ s0 1) (GEQ s53 1)) (AND (GEQ s0 1) (GEQ s51 1)) (AND (GEQ s0 1) (GEQ s49 1)) (AND (GEQ s0 1) (GEQ s63 1)) (AND (GEQ s0 1) (GEQ s61 1)) (AND (GEQ s0 1) (GEQ s59 1)) (AND (GEQ s0 1) (GEQ s57 1)) (AND (GEQ s0 1) (GEQ s37 1)) (AND (GEQ s0 1) (GEQ s39 1)) (AND (GEQ s0 1) (GEQ s33 1)) (AND (GEQ s0 1) (GEQ s35 1)) (AND (GEQ s0 1) (GEQ s45 1)) (AND (GEQ s0 1) (GEQ s47 1)) (AND (GEQ s0 1) (GEQ s41 1)) (AND (GEQ s0 1) (GEQ s43 1))), p2:(OR (AND (GEQ s167 1) (GEQ s182 1)) (AND (GEQ s168 1) (GEQ s182 1))), p1:(AND (OR (LT s0 1) (LT s21 1)) (OR (LT s0 1) (LT s23 1)) (OR (LT s0 1) (LT s17 1)) (OR (LT s0 1) (LT s19 1)) (OR (LT s0 1) (LT s80 1)) (OR (LT s0 1) (LT s29 1)) (OR (LT s0 1) (LT s31 1)) (OR (LT s0 1) (LT s25 1)) (OR (LT s0 1) (LT s27 1)) (OR (LT s0 1) (LT s5 1)) (OR (LT s0 1) (LT s70 1)) (OR (LT s0 1) (LT s7 1)) (OR (LT s0 1) (LT s68 1)) (OR (LT s0 1) (LT s1 1)) (OR (LT s0 1) (LT s66 1)) (OR (LT s0 1) (LT s3 1)) (OR (LT s0 1) (LT s64 1)) (OR (LT s0 1) (LT s13 1)) (OR (LT s0 1) (LT s78 1)) (OR (LT s0 1) (LT s15 1)) (OR (LT s0 1) (LT s76 1)) (OR (LT s0 1) (LT s9 1)) (OR (LT s0 1) (LT s74 1)) (OR (LT s0 1) (LT s11 1)) (OR (LT s0 1) (LT s72 1)) (OR (LT s0 1) (LT s54 1)) (OR (LT s0 1) (LT s52 1)) (OR (LT s0 1) (LT s50 1)) (OR (LT s0 1) (LT s48 1)) (OR (LT s0 1) (LT s62 1)) (OR (LT s0 1) (LT s60 1)) (OR (LT s0 1) (LT s58 1)) (OR (LT s0 1) (LT s56 1)) (OR (LT s0 1) (LT s36 1)) (OR (LT s0 1) (LT s38 1)) (OR (LT s0 1) (LT s32 1)) (OR (LT s0 1) (LT s34 1)) (OR (LT s0 1) (LT s44 1)) (OR (LT s0 1) (LT s46 1)) (OR (LT s0 1) (LT s40 1)) (OR (LT s0 1) (LT s42 1)) (OR (LT s0 1) (LT s20 1)) (OR (LT s0 1) (LT s22 1)) (OR (LT s0 1) (LT s16 1)) (OR (LT s0 1) (LT s18 1)) (OR (LT s0 1) (LT s81 1)) (OR (LT s0 1) (LT s28 1)) (OR (LT s0 1) (LT s30 1)) (OR (LT s0 1) (LT s24 1)) (OR (LT s0 1) (LT s26 1)) (OR (LT s0 1) (LT s4 1)) (OR (LT s0 1) (LT s71 1)) (OR (LT s0 1) (LT s6 1)) (OR (LT s0 1) (LT s69 1)) (OR (LT s0 1) (LT s67 1)) (OR (LT s0 1) (LT s2 1)) (OR (LT s0 1) (LT s65 1)) (OR (LT s0 1) (LT s12 1)) (OR (LT s0 1) (LT s79 1)) (OR (LT s0 1) (LT s14 1)) (OR (LT s0 1) (LT s77 1)) (OR (LT s0 1) (LT s8 1)) (OR (LT s0 1) (LT s75 1)) (OR (LT s0 1) (LT s10 1)) (OR (LT s0 1) (LT s73 1)) (OR (LT s0 1) (LT s55 1)) (OR (LT s0 1) (LT s53 1)) (OR (LT s0 1) (LT s51 1)) (OR (LT s0 1) (LT s49 1)) (OR (LT s0 1) (LT s63 1)) (OR (LT s0 1) (LT s61 1)) (OR (LT s0 1) (LT s59 1)) (OR (LT s0 1) (LT s57 1)) (OR (LT s0 1) (LT s37 1)) (OR (LT s0 1) (LT s39 1)) (OR (LT s0 1) (LT s33 1)) (OR (LT s0 1) (LT s35 1)) (OR (LT s0 1) (LT s45 1)) (OR (LT s0 1) (LT s47 1)) (OR (LT s0 1) (LT s41 1)) (OR (LT s0 1) (LT s43 1)))], nbAcceptance=1, properties=[trans-labels, explicit-labels, trans-acc, no-univ-branch], stateDesc=[null, null, null, null, null][false, false, false, false, false]]
Product exploration explored 100000 steps with 361 reset in 759 ms.
Product exploration explored 100000 steps with 361 reset in 1078 ms.
Knowledge obtained : [p0, (NOT p2), (NOT p1)]
Stuttering acceptance computed with spot in 164 ms :[(OR (AND (NOT p0) (NOT p2)) (AND (NOT p0) (NOT p1))), (OR (AND (NOT p0) (NOT p2)) (AND (NOT p0) (NOT p1))), (AND (NOT p0) (NOT p2)), (AND (NOT p0) (NOT p2)), (AND (NOT p0) (NOT p1))]
Product exploration explored 100000 steps with 359 reset in 933 ms.
Product exploration explored 100000 steps with 358 reset in 968 ms.
Applying partial POR strategy [false, true, true, true, true]
Stuttering acceptance computed with spot in 233 ms :[(OR (AND (NOT p0) (NOT p2)) (AND (NOT p0) (NOT p1))), (OR (AND (NOT p0) (NOT p2)) (AND (NOT p0) (NOT p1))), (AND (NOT p0) (NOT p2)), (AND (NOT p0) (NOT p2)), (AND (NOT p0) (NOT p1))]
Support contains 85 out of 183 places. Attempting structural reductions.
Property had overlarge support with respect to TGBA, discarding it for now.
Starting structural reductions, iteration 0 : 183/183 places, 2107/2107 transitions.
Performed 1 Post agglomeration using F-continuation condition.Transition count delta: 0
Deduced a syphon composed of 1 places in 5 ms
Iterating global reduction 0 with 1 rules applied. Total rules applied 1 place count 183 transition count 2107
Deduced a syphon composed of 1 places in 5 ms
Applied a total of 1 rules in 230 ms. Remains 183 /183 variables (removed 0) and now considering 2107/2107 (removed 0) transitions.
[2021-05-09 05:17:25] [INFO ] Flow matrix only has 369 transitions (discarded 1738 similar events)
// Phase 1: matrix 369 rows 183 cols
[2021-05-09 05:17:25] [INFO ] Computed 5 place invariants in 1 ms
[2021-05-09 05:17:26] [INFO ] Dead Transitions using invariants and state equation in 727 ms returned []
[2021-05-09 05:17:26] [INFO ] Redundant transitions in 34 ms returned []
[2021-05-09 05:17:26] [INFO ] Flow matrix only has 369 transitions (discarded 1738 similar events)
// Phase 1: matrix 369 rows 183 cols
[2021-05-09 05:17:26] [INFO ] Computed 5 place invariants in 1 ms
[2021-05-09 05:17:27] [INFO ] Dead Transitions using invariants and state equation in 497 ms returned []
Finished structural reductions, in 1 iterations. Remains : 183/183 places, 2107/2107 transitions.
Product exploration explored 100000 steps with 408 reset in 831 ms.
Product exploration explored 100000 steps with 413 reset in 902 ms.
[2021-05-09 05:17:29] [INFO ] Flatten gal took : 76 ms
[2021-05-09 05:17:29] [INFO ] Flatten gal took : 77 ms
[2021-05-09 05:17:29] [INFO ] Time to serialize gal into /tmp/LTL4198922175915035474.gal : 9 ms
[2021-05-09 05:17:29] [INFO ] Time to serialize properties into /tmp/LTL16575355268240351783.ltl : 0 ms
Invoking ITS tools like this :CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64, --gc-threshold, 2000000, -i, /tmp/LTL4198922175915035474.gal, -t, CGAL, -LTL, /tmp/LTL16575355268240351783.ltl, -c, -stutter-deadlock, --gen-order, FOLLOW], workingDir=/home/mcc/execution]

its-ltl command run as :

/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64 --gc-threshold 2000000 -i /tmp/LTL4198922175915035474.gal -t CGAL -LTL /tmp/LTL16575355268240351783.ltl -c -stutter-deadlock --gen-order FOLLOW
Read 1 LTL properties
Checking formula 0 : !((F((G("((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((ROUTE_A>=1)&&(NB_ATTENTE_A_20>=1))||((ROUTE_A>=1)&&(NB_ATTENTE_A_22>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_16>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_18>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_79>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_28>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_30>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_24>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_26>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_4>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_69>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_6>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_67>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_0>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_65>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_2>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_63>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_12>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_77>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_14>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_75>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_8>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_73>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_10>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_71>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_53>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_51>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_49>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_47>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_61>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_59>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_57>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_55>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_35>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_37>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_31>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_33>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_43>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_45>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_39>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_41>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_19>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_21>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_15>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_17>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_80>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_27>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_29>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_23>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_25>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_3>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_70>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_5>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_68>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_66>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_1>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_64>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_11>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_78>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_13>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_76>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_7>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_74>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_9>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_72>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_54>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_52>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_50>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_48>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_62>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_60>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_58>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_56>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_36>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_38>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_32>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_34>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_44>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_46>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_40>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_42>=1)))"))||(X(("((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((ROUTE_A<1)||(NB_ATTENTE_A_20<1))&&((ROUTE_A<1)||(NB_ATTENTE_A_22<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_16<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_18<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_79<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_28<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_30<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_24<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_26<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_4<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_69<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_6<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_67<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_0<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_65<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_2<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_63<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_12<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_77<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_14<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_75<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_8<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_73<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_10<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_71<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_53<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_51<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_49<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_47<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_61<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_59<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_57<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_55<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_35<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_37<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_31<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_33<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_43<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_45<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_39<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_41<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_19<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_21<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_15<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_17<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_80<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_27<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_29<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_23<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_25<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_3<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_70<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_5<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_68<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_66<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_1<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_64<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_11<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_78<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_13<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_76<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_7<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_74<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_9<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_72<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_54<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_52<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_50<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_48<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_62<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_60<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_58<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_56<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_36<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_38<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_32<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_34<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_44<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_46<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_40<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_42<1)))")&&(G(F("(((CHOIX_1>=1)&&(COMPTEUR_10>=1))||((CHOIX_2>=1)&&(COMPTEUR_10>=1)))"))))))))
Formula 0 simplified : !F(G"((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((ROUTE_A>=1)&&(NB_ATTENTE_A_20>=1))||((ROUTE_A>=1)&&(NB_ATTENTE_A_22>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_16>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_18>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_79>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_28>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_30>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_24>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_26>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_4>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_69>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_6>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_67>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_0>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_65>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_2>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_63>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_12>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_77>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_14>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_75>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_8>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_73>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_10>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_71>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_53>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_51>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_49>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_47>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_61>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_59>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_57>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_55>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_35>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_37>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_31>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_33>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_43>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_45>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_39>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_41>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_19>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_21>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_15>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_17>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_80>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_27>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_29>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_23>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_25>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_3>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_70>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_5>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_68>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_66>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_1>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_64>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_11>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_78>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_13>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_76>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_7>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_74>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_9>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_72>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_54>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_52>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_50>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_48>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_62>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_60>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_58>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_56>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_36>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_38>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_32>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_34>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_44>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_46>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_40>=1)))||((ROUTE_A>=1)&&(NB_ATTENTE_A_42>=1)))" | X("((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((ROUTE_A<1)||(NB_ATTENTE_A_20<1))&&((ROUTE_A<1)||(NB_ATTENTE_A_22<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_16<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_18<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_79<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_28<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_30<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_24<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_26<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_4<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_69<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_6<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_67<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_0<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_65<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_2<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_63<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_12<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_77<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_14<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_75<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_8<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_73<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_10<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_71<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_53<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_51<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_49<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_47<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_61<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_59<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_57<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_55<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_35<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_37<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_31<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_33<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_43<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_45<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_39<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_41<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_19<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_21<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_15<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_17<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_80<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_27<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_29<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_23<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_25<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_3<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_70<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_5<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_68<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_66<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_1<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_64<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_11<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_78<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_13<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_76<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_7<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_74<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_9<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_72<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_54<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_52<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_50<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_48<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_62<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_60<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_58<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_56<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_36<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_38<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_32<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_34<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_44<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_46<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_40<1)))&&((ROUTE_A<1)||(NB_ATTENTE_A_42<1)))" & GF"(((CHOIX_1>=1)&&(COMPTEUR_10>=1))||((CHOIX_2>=1)&&(COMPTEUR_10>=1)))"))
Detected timeout of ITS tools.
[2021-05-09 05:17:44] [INFO ] Flatten gal took : 121 ms
[2021-05-09 05:17:44] [INFO ] Applying decomposition
[2021-05-09 05:17:44] [INFO ] Flatten gal took : 100 ms
Converted graph to binary with : CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.louvain.binaries_1.0.0.202104292328/bin/convert-linux64, -i, /tmp/graph8137128410569553888.txt, -o, /tmp/graph8137128410569553888.bin, -w, /tmp/graph8137128410569553888.weights], workingDir=null]
Built communities with : CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.louvain.binaries_1.0.0.202104292328/bin/louvain-linux64, /tmp/graph8137128410569553888.bin, -l, -1, -v, -w, /tmp/graph8137128410569553888.weights, -q, 0, -e, 0.001], workingDir=null]
[2021-05-09 05:17:44] [INFO ] Decomposing Gal with order
[2021-05-09 05:17:44] [INFO ] Rewriting arrays to variables to allow decomposition.
[2021-05-09 05:17:45] [INFO ] Removed a total of 4249 redundant transitions.
[2021-05-09 05:17:45] [INFO ] Flatten gal took : 640 ms
[2021-05-09 05:17:45] [INFO ] Fuse similar labels procedure discarded/fused a total of 614 labels/synchronizations in 17 ms.
[2021-05-09 05:17:45] [INFO ] Time to serialize gal into /tmp/LTL13148083502135285697.gal : 2 ms
[2021-05-09 05:17:45] [INFO ] Time to serialize properties into /tmp/LTL4301326476168384308.ltl : 1 ms
Invoking ITS tools like this :CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64, --gc-threshold, 2000000, -i, /tmp/LTL13148083502135285697.gal, -t, CGAL, -LTL, /tmp/LTL4301326476168384308.ltl, -c, -stutter-deadlock], workingDir=/home/mcc/execution]

its-ltl command run as :

/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64 --gc-threshold 2000000 -i /tmp/LTL13148083502135285697.gal -t CGAL -LTL /tmp/LTL4301326476168384308.ltl -c -stutter-deadlock
Read 1 LTL properties
Checking formula 0 : !((F((G("((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((i0.u3.ROUTE_A>=1)&&(i0.u2.NB_ATTENTE_A_20>=1))||((i0.u3.ROUTE_A>=1)&&(i0.u2.NB_ATTENTE_A_22>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u2.NB_ATTENTE_A_16>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u2.NB_ATTENTE_A_18>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u2.NB_ATTENTE_A_79>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u2.NB_ATTENTE_A_28>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u2.NB_ATTENTE_A_30>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u3.NB_ATTENTE_A_24>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u3.NB_ATTENTE_A_26>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u2.NB_ATTENTE_A_4>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u3.NB_ATTENTE_A_69>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u3.NB_ATTENTE_A_6>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u3.NB_ATTENTE_A_67>=1)))||((i0.u3.ROUTE_A>=1)&&(i1.u0.NB_ATTENTE_A_0>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u3.NB_ATTENTE_A_65>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u2.NB_ATTENTE_A_2>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u3.NB_ATTENTE_A_63>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u2.NB_ATTENTE_A_12>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u2.NB_ATTENTE_A_77>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u2.NB_ATTENTE_A_14>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u3.NB_ATTENTE_A_75>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u3.NB_ATTENTE_A_8>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u3.NB_ATTENTE_A_73>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u2.NB_ATTENTE_A_10>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u3.NB_ATTENTE_A_71>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u3.NB_ATTENTE_A_53>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u3.NB_ATTENTE_A_51>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u3.NB_ATTENTE_A_49>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u3.NB_ATTENTE_A_47>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u3.NB_ATTENTE_A_61>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u3.NB_ATTENTE_A_59>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u3.NB_ATTENTE_A_57>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u3.NB_ATTENTE_A_55>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u2.NB_ATTENTE_A_35>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u2.NB_ATTENTE_A_37>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u2.NB_ATTENTE_A_31>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u2.NB_ATTENTE_A_33>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u3.NB_ATTENTE_A_43>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u3.NB_ATTENTE_A_45>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u3.NB_ATTENTE_A_39>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u3.NB_ATTENTE_A_41>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u2.NB_ATTENTE_A_19>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u2.NB_ATTENTE_A_21>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u2.NB_ATTENTE_A_15>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u2.NB_ATTENTE_A_17>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u2.NB_ATTENTE_A_80>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u3.NB_ATTENTE_A_27>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u2.NB_ATTENTE_A_29>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u2.NB_ATTENTE_A_23>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u3.NB_ATTENTE_A_25>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u2.NB_ATTENTE_A_3>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u3.NB_ATTENTE_A_70>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u2.NB_ATTENTE_A_5>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u3.NB_ATTENTE_A_68>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u3.NB_ATTENTE_A_66>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u2.NB_ATTENTE_A_1>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u3.NB_ATTENTE_A_64>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u2.NB_ATTENTE_A_11>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u2.NB_ATTENTE_A_78>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u2.NB_ATTENTE_A_13>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u3.NB_ATTENTE_A_76>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u3.NB_ATTENTE_A_7>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u3.NB_ATTENTE_A_74>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u3.NB_ATTENTE_A_9>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u3.NB_ATTENTE_A_72>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u3.NB_ATTENTE_A_54>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u3.NB_ATTENTE_A_52>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u3.NB_ATTENTE_A_50>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u3.NB_ATTENTE_A_48>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u3.NB_ATTENTE_A_62>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u3.NB_ATTENTE_A_60>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u3.NB_ATTENTE_A_58>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u3.NB_ATTENTE_A_56>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u2.NB_ATTENTE_A_36>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u2.NB_ATTENTE_A_38>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u2.NB_ATTENTE_A_32>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u2.NB_ATTENTE_A_34>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u3.NB_ATTENTE_A_44>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u3.NB_ATTENTE_A_46>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u3.NB_ATTENTE_A_40>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u3.NB_ATTENTE_A_42>=1)))"))||(X(("((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((i0.u3.ROUTE_A<1)||(i0.u2.NB_ATTENTE_A_20<1))&&((i0.u3.ROUTE_A<1)||(i0.u2.NB_ATTENTE_A_22<1)))&&((i0.u3.ROUTE_A<1)||(i0.u2.NB_ATTENTE_A_16<1)))&&((i0.u3.ROUTE_A<1)||(i0.u2.NB_ATTENTE_A_18<1)))&&((i0.u3.ROUTE_A<1)||(i0.u2.NB_ATTENTE_A_79<1)))&&((i0.u3.ROUTE_A<1)||(i0.u2.NB_ATTENTE_A_28<1)))&&((i0.u3.ROUTE_A<1)||(i0.u2.NB_ATTENTE_A_30<1)))&&((i0.u3.ROUTE_A<1)||(i0.u3.NB_ATTENTE_A_24<1)))&&((i0.u3.ROUTE_A<1)||(i0.u3.NB_ATTENTE_A_26<1)))&&((i0.u3.ROUTE_A<1)||(i0.u2.NB_ATTENTE_A_4<1)))&&((i0.u3.ROUTE_A<1)||(i0.u3.NB_ATTENTE_A_69<1)))&&((i0.u3.ROUTE_A<1)||(i0.u3.NB_ATTENTE_A_6<1)))&&((i0.u3.ROUTE_A<1)||(i0.u3.NB_ATTENTE_A_67<1)))&&((i0.u3.ROUTE_A<1)||(i1.u0.NB_ATTENTE_A_0<1)))&&((i0.u3.ROUTE_A<1)||(i0.u3.NB_ATTENTE_A_65<1)))&&((i0.u3.ROUTE_A<1)||(i0.u2.NB_ATTENTE_A_2<1)))&&((i0.u3.ROUTE_A<1)||(i0.u3.NB_ATTENTE_A_63<1)))&&((i0.u3.ROUTE_A<1)||(i0.u2.NB_ATTENTE_A_12<1)))&&((i0.u3.ROUTE_A<1)||(i0.u2.NB_ATTENTE_A_77<1)))&&((i0.u3.ROUTE_A<1)||(i0.u2.NB_ATTENTE_A_14<1)))&&((i0.u3.ROUTE_A<1)||(i0.u3.NB_ATTENTE_A_75<1)))&&((i0.u3.ROUTE_A<1)||(i0.u3.NB_ATTENTE_A_8<1)))&&((i0.u3.ROUTE_A<1)||(i0.u3.NB_ATTENTE_A_73<1)))&&((i0.u3.ROUTE_A<1)||(i0.u2.NB_ATTENTE_A_10<1)))&&((i0.u3.ROUTE_A<1)||(i0.u3.NB_ATTENTE_A_71<1)))&&((i0.u3.ROUTE_A<1)||(i0.u3.NB_ATTENTE_A_53<1)))&&((i0.u3.ROUTE_A<1)||(i0.u3.NB_ATTENTE_A_51<1)))&&((i0.u3.ROUTE_A<1)||(i0.u3.NB_ATTENTE_A_49<1)))&&((i0.u3.ROUTE_A<1)||(i0.u3.NB_ATTENTE_A_47<1)))&&((i0.u3.ROUTE_A<1)||(i0.u3.NB_ATTENTE_A_61<1)))&&((i0.u3.ROUTE_A<1)||(i0.u3.NB_ATTENTE_A_59<1)))&&((i0.u3.ROUTE_A<1)||(i0.u3.NB_ATTENTE_A_57<1)))&&((i0.u3.ROUTE_A<1)||(i0.u3.NB_ATTENTE_A_55<1)))&&((i0.u3.ROUTE_A<1)||(i0.u2.NB_ATTENTE_A_35<1)))&&((i0.u3.ROUTE_A<1)||(i0.u2.NB_ATTENTE_A_37<1)))&&((i0.u3.ROUTE_A<1)||(i0.u2.NB_ATTENTE_A_31<1)))&&((i0.u3.ROUTE_A<1)||(i0.u2.NB_ATTENTE_A_33<1)))&&((i0.u3.ROUTE_A<1)||(i0.u3.NB_ATTENTE_A_43<1)))&&((i0.u3.ROUTE_A<1)||(i0.u3.NB_ATTENTE_A_45<1)))&&((i0.u3.ROUTE_A<1)||(i0.u3.NB_ATTENTE_A_39<1)))&&((i0.u3.ROUTE_A<1)||(i0.u3.NB_ATTENTE_A_41<1)))&&((i0.u3.ROUTE_A<1)||(i0.u2.NB_ATTENTE_A_19<1)))&&((i0.u3.ROUTE_A<1)||(i0.u2.NB_ATTENTE_A_21<1)))&&((i0.u3.ROUTE_A<1)||(i0.u2.NB_ATTENTE_A_15<1)))&&((i0.u3.ROUTE_A<1)||(i0.u2.NB_ATTENTE_A_17<1)))&&((i0.u3.ROUTE_A<1)||(i0.u2.NB_ATTENTE_A_80<1)))&&((i0.u3.ROUTE_A<1)||(i0.u3.NB_ATTENTE_A_27<1)))&&((i0.u3.ROUTE_A<1)||(i0.u2.NB_ATTENTE_A_29<1)))&&((i0.u3.ROUTE_A<1)||(i0.u2.NB_ATTENTE_A_23<1)))&&((i0.u3.ROUTE_A<1)||(i0.u3.NB_ATTENTE_A_25<1)))&&((i0.u3.ROUTE_A<1)||(i0.u2.NB_ATTENTE_A_3<1)))&&((i0.u3.ROUTE_A<1)||(i0.u3.NB_ATTENTE_A_70<1)))&&((i0.u3.ROUTE_A<1)||(i0.u2.NB_ATTENTE_A_5<1)))&&((i0.u3.ROUTE_A<1)||(i0.u3.NB_ATTENTE_A_68<1)))&&((i0.u3.ROUTE_A<1)||(i0.u3.NB_ATTENTE_A_66<1)))&&((i0.u3.ROUTE_A<1)||(i0.u2.NB_ATTENTE_A_1<1)))&&((i0.u3.ROUTE_A<1)||(i0.u3.NB_ATTENTE_A_64<1)))&&((i0.u3.ROUTE_A<1)||(i0.u2.NB_ATTENTE_A_11<1)))&&((i0.u3.ROUTE_A<1)||(i0.u2.NB_ATTENTE_A_78<1)))&&((i0.u3.ROUTE_A<1)||(i0.u2.NB_ATTENTE_A_13<1)))&&((i0.u3.ROUTE_A<1)||(i0.u3.NB_ATTENTE_A_76<1)))&&((i0.u3.ROUTE_A<1)||(i0.u3.NB_ATTENTE_A_7<1)))&&((i0.u3.ROUTE_A<1)||(i0.u3.NB_ATTENTE_A_74<1)))&&((i0.u3.ROUTE_A<1)||(i0.u3.NB_ATTENTE_A_9<1)))&&((i0.u3.ROUTE_A<1)||(i0.u3.NB_ATTENTE_A_72<1)))&&((i0.u3.ROUTE_A<1)||(i0.u3.NB_ATTENTE_A_54<1)))&&((i0.u3.ROUTE_A<1)||(i0.u3.NB_ATTENTE_A_52<1)))&&((i0.u3.ROUTE_A<1)||(i0.u3.NB_ATTENTE_A_50<1)))&&((i0.u3.ROUTE_A<1)||(i0.u3.NB_ATTENTE_A_48<1)))&&((i0.u3.ROUTE_A<1)||(i0.u3.NB_ATTENTE_A_62<1)))&&((i0.u3.ROUTE_A<1)||(i0.u3.NB_ATTENTE_A_60<1)))&&((i0.u3.ROUTE_A<1)||(i0.u3.NB_ATTENTE_A_58<1)))&&((i0.u3.ROUTE_A<1)||(i0.u3.NB_ATTENTE_A_56<1)))&&((i0.u3.ROUTE_A<1)||(i0.u2.NB_ATTENTE_A_36<1)))&&((i0.u3.ROUTE_A<1)||(i0.u2.NB_ATTENTE_A_38<1)))&&((i0.u3.ROUTE_A<1)||(i0.u2.NB_ATTENTE_A_32<1)))&&((i0.u3.ROUTE_A<1)||(i0.u2.NB_ATTENTE_A_34<1)))&&((i0.u3.ROUTE_A<1)||(i0.u3.NB_ATTENTE_A_44<1)))&&((i0.u3.ROUTE_A<1)||(i0.u3.NB_ATTENTE_A_46<1)))&&((i0.u3.ROUTE_A<1)||(i0.u3.NB_ATTENTE_A_40<1)))&&((i0.u3.ROUTE_A<1)||(i0.u3.NB_ATTENTE_A_42<1)))")&&(G(F("(((i0.u1.CHOIX_1>=1)&&(i1.u4.COMPTEUR_10>=1))||((i0.u1.CHOIX_2>=1)&&(i1.u4.COMPTEUR_10>=1)))"))))))))
Formula 0 simplified : !F(G"((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((i0.u3.ROUTE_A>=1)&&(i0.u2.NB_ATTENTE_A_20>=1))||((i0.u3.ROUTE_A>=1)&&(i0.u2.NB_ATTENTE_A_22>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u2.NB_ATTENTE_A_16>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u2.NB_ATTENTE_A_18>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u2.NB_ATTENTE_A_79>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u2.NB_ATTENTE_A_28>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u2.NB_ATTENTE_A_30>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u3.NB_ATTENTE_A_24>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u3.NB_ATTENTE_A_26>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u2.NB_ATTENTE_A_4>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u3.NB_ATTENTE_A_69>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u3.NB_ATTENTE_A_6>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u3.NB_ATTENTE_A_67>=1)))||((i0.u3.ROUTE_A>=1)&&(i1.u0.NB_ATTENTE_A_0>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u3.NB_ATTENTE_A_65>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u2.NB_ATTENTE_A_2>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u3.NB_ATTENTE_A_63>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u2.NB_ATTENTE_A_12>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u2.NB_ATTENTE_A_77>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u2.NB_ATTENTE_A_14>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u3.NB_ATTENTE_A_75>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u3.NB_ATTENTE_A_8>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u3.NB_ATTENTE_A_73>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u2.NB_ATTENTE_A_10>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u3.NB_ATTENTE_A_71>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u3.NB_ATTENTE_A_53>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u3.NB_ATTENTE_A_51>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u3.NB_ATTENTE_A_49>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u3.NB_ATTENTE_A_47>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u3.NB_ATTENTE_A_61>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u3.NB_ATTENTE_A_59>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u3.NB_ATTENTE_A_57>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u3.NB_ATTENTE_A_55>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u2.NB_ATTENTE_A_35>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u2.NB_ATTENTE_A_37>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u2.NB_ATTENTE_A_31>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u2.NB_ATTENTE_A_33>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u3.NB_ATTENTE_A_43>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u3.NB_ATTENTE_A_45>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u3.NB_ATTENTE_A_39>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u3.NB_ATTENTE_A_41>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u2.NB_ATTENTE_A_19>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u2.NB_ATTENTE_A_21>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u2.NB_ATTENTE_A_15>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u2.NB_ATTENTE_A_17>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u2.NB_ATTENTE_A_80>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u3.NB_ATTENTE_A_27>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u2.NB_ATTENTE_A_29>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u2.NB_ATTENTE_A_23>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u3.NB_ATTENTE_A_25>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u2.NB_ATTENTE_A_3>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u3.NB_ATTENTE_A_70>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u2.NB_ATTENTE_A_5>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u3.NB_ATTENTE_A_68>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u3.NB_ATTENTE_A_66>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u2.NB_ATTENTE_A_1>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u3.NB_ATTENTE_A_64>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u2.NB_ATTENTE_A_11>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u2.NB_ATTENTE_A_78>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u2.NB_ATTENTE_A_13>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u3.NB_ATTENTE_A_76>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u3.NB_ATTENTE_A_7>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u3.NB_ATTENTE_A_74>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u3.NB_ATTENTE_A_9>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u3.NB_ATTENTE_A_72>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u3.NB_ATTENTE_A_54>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u3.NB_ATTENTE_A_52>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u3.NB_ATTENTE_A_50>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u3.NB_ATTENTE_A_48>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u3.NB_ATTENTE_A_62>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u3.NB_ATTENTE_A_60>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u3.NB_ATTENTE_A_58>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u3.NB_ATTENTE_A_56>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u2.NB_ATTENTE_A_36>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u2.NB_ATTENTE_A_38>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u2.NB_ATTENTE_A_32>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u2.NB_ATTENTE_A_34>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u3.NB_ATTENTE_A_44>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u3.NB_ATTENTE_A_46>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u3.NB_ATTENTE_A_40>=1)))||((i0.u3.ROUTE_A>=1)&&(i0.u3.NB_ATTENTE_A_42>=1)))" | X("((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((i0.u3.ROUTE_A<1)||(i0.u2.NB_ATTENTE_A_20<1))&&((i0.u3.ROUTE_A<1)||(i0.u2.NB_ATTENTE_A_22<1)))&&((i0.u3.ROUTE_A<1)||(i0.u2.NB_ATTENTE_A_16<1)))&&((i0.u3.ROUTE_A<1)||(i0.u2.NB_ATTENTE_A_18<1)))&&((i0.u3.ROUTE_A<1)||(i0.u2.NB_ATTENTE_A_79<1)))&&((i0.u3.ROUTE_A<1)||(i0.u2.NB_ATTENTE_A_28<1)))&&((i0.u3.ROUTE_A<1)||(i0.u2.NB_ATTENTE_A_30<1)))&&((i0.u3.ROUTE_A<1)||(i0.u3.NB_ATTENTE_A_24<1)))&&((i0.u3.ROUTE_A<1)||(i0.u3.NB_ATTENTE_A_26<1)))&&((i0.u3.ROUTE_A<1)||(i0.u2.NB_ATTENTE_A_4<1)))&&((i0.u3.ROUTE_A<1)||(i0.u3.NB_ATTENTE_A_69<1)))&&((i0.u3.ROUTE_A<1)||(i0.u3.NB_ATTENTE_A_6<1)))&&((i0.u3.ROUTE_A<1)||(i0.u3.NB_ATTENTE_A_67<1)))&&((i0.u3.ROUTE_A<1)||(i1.u0.NB_ATTENTE_A_0<1)))&&((i0.u3.ROUTE_A<1)||(i0.u3.NB_ATTENTE_A_65<1)))&&((i0.u3.ROUTE_A<1)||(i0.u2.NB_ATTENTE_A_2<1)))&&((i0.u3.ROUTE_A<1)||(i0.u3.NB_ATTENTE_A_63<1)))&&((i0.u3.ROUTE_A<1)||(i0.u2.NB_ATTENTE_A_12<1)))&&((i0.u3.ROUTE_A<1)||(i0.u2.NB_ATTENTE_A_77<1)))&&((i0.u3.ROUTE_A<1)||(i0.u2.NB_ATTENTE_A_14<1)))&&((i0.u3.ROUTE_A<1)||(i0.u3.NB_ATTENTE_A_75<1)))&&((i0.u3.ROUTE_A<1)||(i0.u3.NB_ATTENTE_A_8<1)))&&((i0.u3.ROUTE_A<1)||(i0.u3.NB_ATTENTE_A_73<1)))&&((i0.u3.ROUTE_A<1)||(i0.u2.NB_ATTENTE_A_10<1)))&&((i0.u3.ROUTE_A<1)||(i0.u3.NB_ATTENTE_A_71<1)))&&((i0.u3.ROUTE_A<1)||(i0.u3.NB_ATTENTE_A_53<1)))&&((i0.u3.ROUTE_A<1)||(i0.u3.NB_ATTENTE_A_51<1)))&&((i0.u3.ROUTE_A<1)||(i0.u3.NB_ATTENTE_A_49<1)))&&((i0.u3.ROUTE_A<1)||(i0.u3.NB_ATTENTE_A_47<1)))&&((i0.u3.ROUTE_A<1)||(i0.u3.NB_ATTENTE_A_61<1)))&&((i0.u3.ROUTE_A<1)||(i0.u3.NB_ATTENTE_A_59<1)))&&((i0.u3.ROUTE_A<1)||(i0.u3.NB_ATTENTE_A_57<1)))&&((i0.u3.ROUTE_A<1)||(i0.u3.NB_ATTENTE_A_55<1)))&&((i0.u3.ROUTE_A<1)||(i0.u2.NB_ATTENTE_A_35<1)))&&((i0.u3.ROUTE_A<1)||(i0.u2.NB_ATTENTE_A_37<1)))&&((i0.u3.ROUTE_A<1)||(i0.u2.NB_ATTENTE_A_31<1)))&&((i0.u3.ROUTE_A<1)||(i0.u2.NB_ATTENTE_A_33<1)))&&((i0.u3.ROUTE_A<1)||(i0.u3.NB_ATTENTE_A_43<1)))&&((i0.u3.ROUTE_A<1)||(i0.u3.NB_ATTENTE_A_45<1)))&&((i0.u3.ROUTE_A<1)||(i0.u3.NB_ATTENTE_A_39<1)))&&((i0.u3.ROUTE_A<1)||(i0.u3.NB_ATTENTE_A_41<1)))&&((i0.u3.ROUTE_A<1)||(i0.u2.NB_ATTENTE_A_19<1)))&&((i0.u3.ROUTE_A<1)||(i0.u2.NB_ATTENTE_A_21<1)))&&((i0.u3.ROUTE_A<1)||(i0.u2.NB_ATTENTE_A_15<1)))&&((i0.u3.ROUTE_A<1)||(i0.u2.NB_ATTENTE_A_17<1)))&&((i0.u3.ROUTE_A<1)||(i0.u2.NB_ATTENTE_A_80<1)))&&((i0.u3.ROUTE_A<1)||(i0.u3.NB_ATTENTE_A_27<1)))&&((i0.u3.ROUTE_A<1)||(i0.u2.NB_ATTENTE_A_29<1)))&&((i0.u3.ROUTE_A<1)||(i0.u2.NB_ATTENTE_A_23<1)))&&((i0.u3.ROUTE_A<1)||(i0.u3.NB_ATTENTE_A_25<1)))&&((i0.u3.ROUTE_A<1)||(i0.u2.NB_ATTENTE_A_3<1)))&&((i0.u3.ROUTE_A<1)||(i0.u3.NB_ATTENTE_A_70<1)))&&((i0.u3.ROUTE_A<1)||(i0.u2.NB_ATTENTE_A_5<1)))&&((i0.u3.ROUTE_A<1)||(i0.u3.NB_ATTENTE_A_68<1)))&&((i0.u3.ROUTE_A<1)||(i0.u3.NB_ATTENTE_A_66<1)))&&((i0.u3.ROUTE_A<1)||(i0.u2.NB_ATTENTE_A_1<1)))&&((i0.u3.ROUTE_A<1)||(i0.u3.NB_ATTENTE_A_64<1)))&&((i0.u3.ROUTE_A<1)||(i0.u2.NB_ATTENTE_A_11<1)))&&((i0.u3.ROUTE_A<1)||(i0.u2.NB_ATTENTE_A_78<1)))&&((i0.u3.ROUTE_A<1)||(i0.u2.NB_ATTENTE_A_13<1)))&&((i0.u3.ROUTE_A<1)||(i0.u3.NB_ATTENTE_A_76<1)))&&((i0.u3.ROUTE_A<1)||(i0.u3.NB_ATTENTE_A_7<1)))&&((i0.u3.ROUTE_A<1)||(i0.u3.NB_ATTENTE_A_74<1)))&&((i0.u3.ROUTE_A<1)||(i0.u3.NB_ATTENTE_A_9<1)))&&((i0.u3.ROUTE_A<1)||(i0.u3.NB_ATTENTE_A_72<1)))&&((i0.u3.ROUTE_A<1)||(i0.u3.NB_ATTENTE_A_54<1)))&&((i0.u3.ROUTE_A<1)||(i0.u3.NB_ATTENTE_A_52<1)))&&((i0.u3.ROUTE_A<1)||(i0.u3.NB_ATTENTE_A_50<1)))&&((i0.u3.ROUTE_A<1)||(i0.u3.NB_ATTENTE_A_48<1)))&&((i0.u3.ROUTE_A<1)||(i0.u3.NB_ATTENTE_A_62<1)))&&((i0.u3.ROUTE_A<1)||(i0.u3.NB_ATTENTE_A_60<1)))&&((i0.u3.ROUTE_A<1)||(i0.u3.NB_ATTENTE_A_58<1)))&&((i0.u3.ROUTE_A<1)||(i0.u3.NB_ATTENTE_A_56<1)))&&((i0.u3.ROUTE_A<1)||(i0.u2.NB_ATTENTE_A_36<1)))&&((i0.u3.ROUTE_A<1)||(i0.u2.NB_ATTENTE_A_38<1)))&&((i0.u3.ROUTE_A<1)||(i0.u2.NB_ATTENTE_A_32<1)))&&((i0.u3.ROUTE_A<1)||(i0.u2.NB_ATTENTE_A_34<1)))&&((i0.u3.ROUTE_A<1)||(i0.u3.NB_ATTENTE_A_44<1)))&&((i0.u3.ROUTE_A<1)||(i0.u3.NB_ATTENTE_A_46<1)))&&((i0.u3.ROUTE_A<1)||(i0.u3.NB_ATTENTE_A_40<1)))&&((i0.u3.ROUTE_A<1)||(i0.u3.NB_ATTENTE_A_42<1)))" & GF"(((i0.u1.CHOIX_1>=1)&&(i1.u4.COMPTEUR_10>=1))||((i0.u1.CHOIX_2>=1)&&(i1.u4.COMPTEUR_10>=1)))"))
Detected timeout of ITS tools.
Built C files in :
/tmp/ltsmin12886590863225022877
[2021-05-09 05:18:00] [INFO ] Built C files in 24ms conformant to PINS (ltsmin variant)in folder :/tmp/ltsmin12886590863225022877
Running compilation step : CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/include/, -I., -std=c99, -fPIC, -O2, model.c], workingDir=/tmp/ltsmin12886590863225022877]
WARNING : LTS min runner thread failed on error :java.lang.RuntimeException: Compilation or link of executable timed out.java.util.concurrent.TimeoutException: Subprocess running CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/include/, -I., -std=c99, -fPIC, -O2, model.c], workingDir=/tmp/ltsmin12886590863225022877] killed by timeout after 1 SECONDS
java.lang.RuntimeException: Compilation or link of executable timed out.java.util.concurrent.TimeoutException: Subprocess running CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/include/, -I., -std=c99, -fPIC, -O2, model.c], workingDir=/tmp/ltsmin12886590863225022877] killed by timeout after 1 SECONDS
at fr.lip6.move.gal.application.LTSminRunner$1.run(LTSminRunner.java:79)
at java.base/java.lang.Thread.run(Thread.java:834)
Treatment of property BridgeAndVehicles-PT-V80P20N10-07 finished in 44270 ms.
Running Spot : CommandLine [args=[/home/mcc/BenchKit/bin//..//ltl2tgba, --hoaf=tv, -f, !(X(F((G(p0)||(p1&&G(!p0))))))], workingDir=/home/mcc/execution]
Support contains 3 out of 186 places. Attempting structural reductions.
Starting structural reductions, iteration 0 : 186/186 places, 2108/2108 transitions.
Applied a total of 0 rules in 41 ms. Remains 186 /186 variables (removed 0) and now considering 2108/2108 (removed 0) transitions.
[2021-05-09 05:18:01] [INFO ] Flow matrix only has 370 transitions (discarded 1738 similar events)
// Phase 1: matrix 370 rows 186 cols
[2021-05-09 05:18:01] [INFO ] Computed 5 place invariants in 2 ms
[2021-05-09 05:18:02] [INFO ] Dead Transitions using invariants and state equation in 884 ms returned []
[2021-05-09 05:18:02] [INFO ] Flow matrix only has 370 transitions (discarded 1738 similar events)
// Phase 1: matrix 370 rows 186 cols
[2021-05-09 05:18:02] [INFO ] Computed 5 place invariants in 2 ms
[2021-05-09 05:18:02] [INFO ] Implicit Places using invariants in 234 ms returned []
[2021-05-09 05:18:02] [INFO ] Flow matrix only has 370 transitions (discarded 1738 similar events)
// Phase 1: matrix 370 rows 186 cols
[2021-05-09 05:18:02] [INFO ] Computed 5 place invariants in 1 ms
[2021-05-09 05:18:02] [INFO ] State equation strengthened by 22 read => feed constraints.
[2021-05-09 05:18:03] [INFO ] Implicit Places using invariants and state equation in 1242 ms returned [82, 168]
Discarding 2 places :
Implicit Place search using SMT with State Equation took 1489 ms to find 2 implicit places.
[2021-05-09 05:18:03] [INFO ] Flow matrix only has 370 transitions (discarded 1738 similar events)
// Phase 1: matrix 370 rows 184 cols
[2021-05-09 05:18:03] [INFO ] Computed 5 place invariants in 2 ms
[2021-05-09 05:18:04] [INFO ] Dead Transitions using invariants and state equation in 686 ms returned []
Starting structural reductions, iteration 1 : 184/186 places, 2108/2108 transitions.
Applied a total of 0 rules in 13 ms. Remains 184 /184 variables (removed 0) and now considering 2108/2108 (removed 0) transitions.
[2021-05-09 05:18:04] [INFO ] Flow matrix only has 370 transitions (discarded 1738 similar events)
// Phase 1: matrix 370 rows 184 cols
[2021-05-09 05:18:04] [INFO ] Computed 5 place invariants in 1 ms
[2021-05-09 05:18:05] [INFO ] Dead Transitions using invariants and state equation in 658 ms returned []
Finished structural reductions, in 2 iterations. Remains : 184/186 places, 2108/2108 transitions.
Stuttering acceptance computed with spot in 68 ms :[(AND (NOT p1) (NOT p0)), false, (AND (NOT p1) (NOT p0))]
Running random walk in product with property : BridgeAndVehicles-PT-V80P20N10-09 automaton TGBA [mat=[[{ cond=(AND (NOT p1) (NOT p0)), acceptance={0, 1} source=0 dest: 0}, { cond=p0, acceptance={0} source=0 dest: 0}, { cond=(AND p1 (NOT p0)), acceptance={1} source=0 dest: 1}], [{ cond=p0, acceptance={0} source=1 dest: 0}, { cond=(NOT p0), acceptance={1} source=1 dest: 1}], [{ cond=true, acceptance={} source=2 dest: 0}]], initial=2, aps=[p1:(GEQ s82 1), p0:(OR (LT s148 1) (LT s172 1))], nbAcceptance=2, properties=[trans-labels, explicit-labels, trans-acc, complete, deterministic, no-univ-branch, unambiguous, semi-deterministic], stateDesc=[null, null, null][false, false, false]]
Product exploration explored 100000 steps with 148 reset in 485 ms.
Product exploration explored 100000 steps with 148 reset in 629 ms.
Knowledge obtained : [(NOT p1), p0]
Stuttering acceptance computed with spot in 103 ms :[(AND (NOT p1) (NOT p0)), false, (AND (NOT p1) (NOT p0))]
Product exploration explored 100000 steps with 148 reset in 660 ms.
Product exploration explored 100000 steps with 148 reset in 731 ms.
Applying partial POR strategy [true, true, false]
Stuttering acceptance computed with spot in 122 ms :[(AND (NOT p1) (NOT p0)), false, (AND (NOT p1) (NOT p0))]
Support contains 3 out of 184 places. Attempting structural reductions.
Property had overlarge support with respect to TGBA, discarding it for now.
Starting structural reductions, iteration 0 : 184/184 places, 2108/2108 transitions.
Performed 1 Post agglomeration using F-continuation condition.Transition count delta: 0
Deduced a syphon composed of 1 places in 10 ms
Iterating global reduction 0 with 1 rules applied. Total rules applied 1 place count 184 transition count 2108
Deduced a syphon composed of 1 places in 8 ms
Applied a total of 1 rules in 203 ms. Remains 184 /184 variables (removed 0) and now considering 2108/2108 (removed 0) transitions.
[2021-05-09 05:18:08] [INFO ] Flow matrix only has 370 transitions (discarded 1738 similar events)
// Phase 1: matrix 370 rows 184 cols
[2021-05-09 05:18:08] [INFO ] Computed 5 place invariants in 2 ms
[2021-05-09 05:18:09] [INFO ] Dead Transitions using invariants and state equation in 812 ms returned []
[2021-05-09 05:18:09] [INFO ] Redundant transitions in 35 ms returned []
[2021-05-09 05:18:09] [INFO ] Flow matrix only has 370 transitions (discarded 1738 similar events)
// Phase 1: matrix 370 rows 184 cols
[2021-05-09 05:18:09] [INFO ] Computed 5 place invariants in 1 ms
[2021-05-09 05:18:09] [INFO ] Dead Transitions using invariants and state equation in 549 ms returned []
Finished structural reductions, in 1 iterations. Remains : 184/184 places, 2108/2108 transitions.
Product exploration explored 100000 steps with 168 reset in 590 ms.
Product exploration explored 100000 steps with 168 reset in 513 ms.
[2021-05-09 05:18:11] [INFO ] Flatten gal took : 111 ms
[2021-05-09 05:18:11] [INFO ] Flatten gal took : 69 ms
[2021-05-09 05:18:11] [INFO ] Time to serialize gal into /tmp/LTL6249546583353449906.gal : 7 ms
[2021-05-09 05:18:11] [INFO ] Time to serialize properties into /tmp/LTL5669177170647887421.ltl : 0 ms
Invoking ITS tools like this :CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64, --gc-threshold, 2000000, -i, /tmp/LTL6249546583353449906.gal, -t, CGAL, -LTL, /tmp/LTL5669177170647887421.ltl, -c, -stutter-deadlock, --gen-order, FOLLOW], workingDir=/home/mcc/execution]

its-ltl command run as :

/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64 --gc-threshold 2000000 -i /tmp/LTL6249546583353449906.gal -t CGAL -LTL /tmp/LTL5669177170647887421.ltl -c -stutter-deadlock --gen-order FOLLOW
Read 1 LTL properties
Checking formula 0 : !((X(F((G("((NB_ATTENTE_B_62<1)||(ROUTE_B<1))"))||(("(SUR_PONT_A>=1)")&&(G("((NB_ATTENTE_B_62>=1)&&(ROUTE_B>=1))")))))))
Formula 0 simplified : !XF(G"((NB_ATTENTE_B_62<1)||(ROUTE_B<1))" | ("(SUR_PONT_A>=1)" & G"((NB_ATTENTE_B_62>=1)&&(ROUTE_B>=1))"))
Detected timeout of ITS tools.
[2021-05-09 05:18:26] [INFO ] Flatten gal took : 112 ms
[2021-05-09 05:18:26] [INFO ] Applying decomposition
[2021-05-09 05:18:26] [INFO ] Flatten gal took : 104 ms
Converted graph to binary with : CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.louvain.binaries_1.0.0.202104292328/bin/convert-linux64, -i, /tmp/graph9940533081029356402.txt, -o, /tmp/graph9940533081029356402.bin, -w, /tmp/graph9940533081029356402.weights], workingDir=null]
Built communities with : CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.louvain.binaries_1.0.0.202104292328/bin/louvain-linux64, /tmp/graph9940533081029356402.bin, -l, -1, -v, -w, /tmp/graph9940533081029356402.weights, -q, 0, -e, 0.001], workingDir=null]
[2021-05-09 05:18:26] [INFO ] Decomposing Gal with order
[2021-05-09 05:18:26] [INFO ] Rewriting arrays to variables to allow decomposition.
[2021-05-09 05:18:27] [INFO ] Removed a total of 3713 redundant transitions.
[2021-05-09 05:18:27] [INFO ] Flatten gal took : 758 ms
[2021-05-09 05:18:27] [INFO ] Fuse similar labels procedure discarded/fused a total of 437 labels/synchronizations in 18 ms.
[2021-05-09 05:18:27] [INFO ] Time to serialize gal into /tmp/LTL12596357104105650791.gal : 2 ms
[2021-05-09 05:18:27] [INFO ] Time to serialize properties into /tmp/LTL6946533005569061964.ltl : 1 ms
Invoking ITS tools like this :CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64, --gc-threshold, 2000000, -i, /tmp/LTL12596357104105650791.gal, -t, CGAL, -LTL, /tmp/LTL6946533005569061964.ltl, -c, -stutter-deadlock], workingDir=/home/mcc/execution]

its-ltl command run as :

/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64 --gc-threshold 2000000 -i /tmp/LTL12596357104105650791.gal -t CGAL -LTL /tmp/LTL6946533005569061964.ltl -c -stutter-deadlock
Read 1 LTL properties
Checking formula 0 : !((X(F((G("((u0.NB_ATTENTE_B_62<1)||(u0.ROUTE_B<1))"))||(("(u1.SUR_PONT_A>=1)")&&(G("((u0.NB_ATTENTE_B_62>=1)&&(u0.ROUTE_B>=1))")))))))
Formula 0 simplified : !XF(G"((u0.NB_ATTENTE_B_62<1)||(u0.ROUTE_B<1))" | ("(u1.SUR_PONT_A>=1)" & G"((u0.NB_ATTENTE_B_62>=1)&&(u0.ROUTE_B>=1))"))
Detected timeout of ITS tools.
Built C files in :
/tmp/ltsmin17501606184018979255
[2021-05-09 05:18:42] [INFO ] Built C files in 17ms conformant to PINS (ltsmin variant)in folder :/tmp/ltsmin17501606184018979255
Running compilation step : CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/include/, -I., -std=c99, -fPIC, -O2, model.c], workingDir=/tmp/ltsmin17501606184018979255]
WARNING : LTS min runner thread failed on error :java.lang.RuntimeException: Compilation or link of executable timed out.java.util.concurrent.TimeoutException: Subprocess running CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/include/, -I., -std=c99, -fPIC, -O2, model.c], workingDir=/tmp/ltsmin17501606184018979255] killed by timeout after 1 SECONDS
java.lang.RuntimeException: Compilation or link of executable timed out.java.util.concurrent.TimeoutException: Subprocess running CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/include/, -I., -std=c99, -fPIC, -O2, model.c], workingDir=/tmp/ltsmin17501606184018979255] killed by timeout after 1 SECONDS
at fr.lip6.move.gal.application.LTSminRunner$1.run(LTSminRunner.java:79)
at java.base/java.lang.Thread.run(Thread.java:834)
Treatment of property BridgeAndVehicles-PT-V80P20N10-09 finished in 42182 ms.
Running Spot : CommandLine [args=[/home/mcc/BenchKit/bin//..//ltl2tgba, --hoaf=tv, -f, !(X((F(p0)||(F(p2)&&p1))))], workingDir=/home/mcc/execution]
Support contains 7 out of 186 places. Attempting structural reductions.
Starting structural reductions, iteration 0 : 186/186 places, 2108/2108 transitions.
Discarding 1 places :
Symmetric choice reduction at 0 with 1 rule applications. Total rules 1 place count 185 transition count 2107
Iterating global reduction 0 with 1 rules applied. Total rules applied 2 place count 185 transition count 2107
Applied a total of 2 rules in 54 ms. Remains 185 /186 variables (removed 1) and now considering 2107/2108 (removed 1) transitions.
[2021-05-09 05:18:43] [INFO ] Flow matrix only has 369 transitions (discarded 1738 similar events)
// Phase 1: matrix 369 rows 185 cols
[2021-05-09 05:18:43] [INFO ] Computed 5 place invariants in 5 ms
[2021-05-09 05:18:44] [INFO ] Dead Transitions using invariants and state equation in 813 ms returned []
[2021-05-09 05:18:44] [INFO ] Flow matrix only has 369 transitions (discarded 1738 similar events)
// Phase 1: matrix 369 rows 185 cols
[2021-05-09 05:18:44] [INFO ] Computed 5 place invariants in 1 ms
[2021-05-09 05:18:44] [INFO ] Implicit Places using invariants in 232 ms returned []
[2021-05-09 05:18:44] [INFO ] Flow matrix only has 369 transitions (discarded 1738 similar events)
// Phase 1: matrix 369 rows 185 cols
[2021-05-09 05:18:44] [INFO ] Computed 5 place invariants in 14 ms
[2021-05-09 05:18:44] [INFO ] State equation strengthened by 22 read => feed constraints.
[2021-05-09 05:18:45] [INFO ] Implicit Places using invariants and state equation in 1157 ms returned [82]
Discarding 1 places :
Implicit Place search using SMT with State Equation took 1392 ms to find 1 implicit places.
[2021-05-09 05:18:45] [INFO ] Flow matrix only has 369 transitions (discarded 1738 similar events)
// Phase 1: matrix 369 rows 184 cols
[2021-05-09 05:18:46] [INFO ] Computed 5 place invariants in 2 ms
[2021-05-09 05:18:46] [INFO ] Dead Transitions using invariants and state equation in 695 ms returned []
Starting structural reductions, iteration 1 : 184/186 places, 2107/2108 transitions.
Applied a total of 0 rules in 12 ms. Remains 184 /184 variables (removed 0) and now considering 2107/2107 (removed 0) transitions.
[2021-05-09 05:18:46] [INFO ] Flow matrix only has 369 transitions (discarded 1738 similar events)
// Phase 1: matrix 369 rows 184 cols
[2021-05-09 05:18:46] [INFO ] Computed 5 place invariants in 1 ms
[2021-05-09 05:18:47] [INFO ] Dead Transitions using invariants and state equation in 525 ms returned []
Finished structural reductions, in 2 iterations. Remains : 184/186 places, 2107/2108 transitions.
Stuttering acceptance computed with spot in 96 ms :[(OR (AND (NOT p0) (NOT p2)) (AND (NOT p0) (NOT p1))), (OR (AND (NOT p0) (NOT p2)) (AND (NOT p0) (NOT p1))), (NOT p0), (AND (NOT p0) (NOT p2))]
Running random walk in product with property : BridgeAndVehicles-PT-V80P20N10-12 automaton TGBA [mat=[[{ cond=true, acceptance={} source=0 dest: 1}], [{ cond=(AND (NOT p0) (NOT p1)), acceptance={} source=1 dest: 2}, { cond=(AND (NOT p0) p1 (NOT p2)), acceptance={} source=1 dest: 3}], [{ cond=(NOT p0), acceptance={0} source=2 dest: 2}], [{ cond=(AND (NOT p0) (NOT p2)), acceptance={0} source=3 dest: 3}]], initial=0, aps=[p0:(AND (GEQ s168 1) (GEQ s183 1)), p1:(AND (GEQ s83 1) (GEQ s85 1) (GEQ s158 1) (GEQ s167 1)), p2:(AND (GEQ s169 1) (GEQ s183 1))], nbAcceptance=1, properties=[trans-labels, explicit-labels, trans-acc, deterministic, no-univ-branch, unambiguous, semi-deterministic, very-weak, weak, inherently-weak], stateDesc=[null, null, null, null][false, false, false, false]]
Product exploration explored 100000 steps with 998 reset in 432 ms.
Product exploration explored 100000 steps with 1044 reset in 454 ms.
Knowledge obtained : [(NOT p0), (NOT p1), (NOT p2)]
Stuttering acceptance computed with spot in 114 ms :[(OR (AND (NOT p0) (NOT p2)) (AND (NOT p0) (NOT p1))), (OR (AND (NOT p0) (NOT p2)) (AND (NOT p0) (NOT p1))), (NOT p0), (AND (NOT p0) (NOT p2))]
Product exploration explored 100000 steps with 1038 reset in 428 ms.
Product exploration explored 100000 steps with 1006 reset in 451 ms.
Applying partial POR strategy [false, true, true, true]
Stuttering acceptance computed with spot in 236 ms :[(OR (AND (NOT p0) (NOT p2)) (AND (NOT p0) (NOT p1))), (OR (AND (NOT p0) (NOT p2)) (AND (NOT p0) (NOT p1))), (NOT p0), (AND (NOT p0) (NOT p2))]
Support contains 7 out of 184 places. Attempting structural reductions.
Property had overlarge support with respect to TGBA, discarding it for now.
Starting structural reductions, iteration 0 : 184/184 places, 2107/2107 transitions.
Applied a total of 0 rules in 78 ms. Remains 184 /184 variables (removed 0) and now considering 2107/2107 (removed 0) transitions.
[2021-05-09 05:18:49] [INFO ] Flow matrix only has 369 transitions (discarded 1738 similar events)
// Phase 1: matrix 369 rows 184 cols
[2021-05-09 05:18:49] [INFO ] Computed 5 place invariants in 3 ms
[2021-05-09 05:18:50] [INFO ] Dead Transitions using invariants and state equation in 680 ms returned []
[2021-05-09 05:18:50] [INFO ] Redundant transitions in 34 ms returned []
[2021-05-09 05:18:50] [INFO ] Flow matrix only has 369 transitions (discarded 1738 similar events)
// Phase 1: matrix 369 rows 184 cols
[2021-05-09 05:18:50] [INFO ] Computed 5 place invariants in 1 ms
[2021-05-09 05:18:51] [INFO ] Dead Transitions using invariants and state equation in 577 ms returned []
Finished structural reductions, in 1 iterations. Remains : 184/184 places, 2107/2107 transitions.
Product exploration explored 100000 steps with 996 reset in 563 ms.
Product exploration explored 100000 steps with 998 reset in 563 ms.
[2021-05-09 05:18:52] [INFO ] Flatten gal took : 53 ms
[2021-05-09 05:18:52] [INFO ] Flatten gal took : 54 ms
[2021-05-09 05:18:52] [INFO ] Time to serialize gal into /tmp/LTL3867126643526607101.gal : 6 ms
[2021-05-09 05:18:52] [INFO ] Time to serialize properties into /tmp/LTL7233804775410248559.ltl : 1 ms
Invoking ITS tools like this :CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64, --gc-threshold, 2000000, -i, /tmp/LTL3867126643526607101.gal, -t, CGAL, -LTL, /tmp/LTL7233804775410248559.ltl, -c, -stutter-deadlock, --gen-order, FOLLOW], workingDir=/home/mcc/execution]

its-ltl command run as :

/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64 --gc-threshold 2000000 -i /tmp/LTL3867126643526607101.gal -t CGAL -LTL /tmp/LTL7233804775410248559.ltl -c -stutter-deadlock --gen-order FOLLOW
Read 1 LTL properties
Checking formula 0 : !((X((F("((CHOIX_1>=1)&&(COMPTEUR_10>=1))"))||(("((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_72>=1))&&(ATTENTE_B>=1))")&&(F("((CHOIX_2>=1)&&(COMPTEUR_10>=1))"))))))
Formula 0 simplified : !X(F"((CHOIX_1>=1)&&(COMPTEUR_10>=1))" | ("((((CAPACITE>=1)&&(CONTROLEUR_2>=1))&&(NB_ATTENTE_B_72>=1))&&(ATTENTE_B>=1))" & F"((CHOIX_2>=1)&&(COMPTEUR_10>=1))"))
Detected timeout of ITS tools.
[2021-05-09 05:19:07] [INFO ] Flatten gal took : 106 ms
[2021-05-09 05:19:07] [INFO ] Applying decomposition
[2021-05-09 05:19:07] [INFO ] Flatten gal took : 77 ms
Converted graph to binary with : CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.louvain.binaries_1.0.0.202104292328/bin/convert-linux64, -i, /tmp/graph602021450899570319.txt, -o, /tmp/graph602021450899570319.bin, -w, /tmp/graph602021450899570319.weights], workingDir=null]
Built communities with : CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.louvain.binaries_1.0.0.202104292328/bin/louvain-linux64, /tmp/graph602021450899570319.bin, -l, -1, -v, -w, /tmp/graph602021450899570319.weights, -q, 0, -e, 0.001], workingDir=null]
[2021-05-09 05:19:07] [INFO ] Decomposing Gal with order
[2021-05-09 05:19:07] [INFO ] Rewriting arrays to variables to allow decomposition.
[2021-05-09 05:19:08] [INFO ] Removed a total of 3959 redundant transitions.
[2021-05-09 05:19:08] [INFO ] Flatten gal took : 728 ms
[2021-05-09 05:19:08] [INFO ] Fuse similar labels procedure discarded/fused a total of 663 labels/synchronizations in 13 ms.
[2021-05-09 05:19:08] [INFO ] Time to serialize gal into /tmp/LTL9769101422693093574.gal : 2 ms
[2021-05-09 05:19:08] [INFO ] Time to serialize properties into /tmp/LTL17798891583229006013.ltl : 0 ms
Invoking ITS tools like this :CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64, --gc-threshold, 2000000, -i, /tmp/LTL9769101422693093574.gal, -t, CGAL, -LTL, /tmp/LTL17798891583229006013.ltl, -c, -stutter-deadlock], workingDir=/home/mcc/execution]

its-ltl command run as :

/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64 --gc-threshold 2000000 -i /tmp/LTL9769101422693093574.gal -t CGAL -LTL /tmp/LTL17798891583229006013.ltl -c -stutter-deadlock
Read 1 LTL properties
Checking formula 0 : !((X((F("((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_10>=1))"))||(("((((i0.u0.CAPACITE>=1)&&(i1.u2.CONTROLEUR_2>=1))&&(i0.u3.NB_ATTENTE_B_72>=1))&&(i0.u1.ATTENTE_B>=1))")&&(F("((i0.u1.CHOIX_2>=1)&&(i1.u4.COMPTEUR_10>=1))"))))))
Formula 0 simplified : !X(F"((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_10>=1))" | ("((((i0.u0.CAPACITE>=1)&&(i1.u2.CONTROLEUR_2>=1))&&(i0.u3.NB_ATTENTE_B_72>=1))&&(i0.u1.ATTENTE_B>=1))" & F"((i0.u1.CHOIX_2>=1)&&(i1.u4.COMPTEUR_10>=1))"))
Detected timeout of ITS tools.
Built C files in :
/tmp/ltsmin10950812580959380560
[2021-05-09 05:19:23] [INFO ] Built C files in 36ms conformant to PINS (ltsmin variant)in folder :/tmp/ltsmin10950812580959380560
Running compilation step : CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/include/, -I., -std=c99, -fPIC, -O2, model.c], workingDir=/tmp/ltsmin10950812580959380560]
WARNING : LTS min runner thread failed on error :java.lang.RuntimeException: Compilation or link of executable timed out.java.util.concurrent.TimeoutException: Subprocess running CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/include/, -I., -std=c99, -fPIC, -O2, model.c], workingDir=/tmp/ltsmin10950812580959380560] killed by timeout after 1 SECONDS
java.lang.RuntimeException: Compilation or link of executable timed out.java.util.concurrent.TimeoutException: Subprocess running CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/include/, -I., -std=c99, -fPIC, -O2, model.c], workingDir=/tmp/ltsmin10950812580959380560] killed by timeout after 1 SECONDS
at fr.lip6.move.gal.application.LTSminRunner$1.run(LTSminRunner.java:79)
at java.base/java.lang.Thread.run(Thread.java:834)
Treatment of property BridgeAndVehicles-PT-V80P20N10-12 finished in 41035 ms.
Running Spot : CommandLine [args=[/home/mcc/BenchKit/bin//..//ltl2tgba, --hoaf=tv, -f, !(X((G((p1||X(p2)))&&F(p3)&&p0)))], workingDir=/home/mcc/execution]
Support contains 10 out of 186 places. Attempting structural reductions.
Starting structural reductions, iteration 0 : 186/186 places, 2108/2108 transitions.
Applied a total of 0 rules in 31 ms. Remains 186 /186 variables (removed 0) and now considering 2108/2108 (removed 0) transitions.
[2021-05-09 05:19:24] [INFO ] Flow matrix only has 370 transitions (discarded 1738 similar events)
// Phase 1: matrix 370 rows 186 cols
[2021-05-09 05:19:24] [INFO ] Computed 5 place invariants in 3 ms
[2021-05-09 05:19:25] [INFO ] Dead Transitions using invariants and state equation in 758 ms returned []
[2021-05-09 05:19:25] [INFO ] Flow matrix only has 370 transitions (discarded 1738 similar events)
// Phase 1: matrix 370 rows 186 cols
[2021-05-09 05:19:25] [INFO ] Computed 5 place invariants in 2 ms
[2021-05-09 05:19:25] [INFO ] Implicit Places using invariants in 235 ms returned []
[2021-05-09 05:19:25] [INFO ] Flow matrix only has 370 transitions (discarded 1738 similar events)
// Phase 1: matrix 370 rows 186 cols
[2021-05-09 05:19:25] [INFO ] Computed 5 place invariants in 1 ms
[2021-05-09 05:19:25] [INFO ] State equation strengthened by 22 read => feed constraints.
[2021-05-09 05:19:26] [INFO ] Implicit Places using invariants and state equation in 1068 ms returned [168]
Discarding 1 places :
Implicit Place search using SMT with State Equation took 1308 ms to find 1 implicit places.
[2021-05-09 05:19:26] [INFO ] Flow matrix only has 370 transitions (discarded 1738 similar events)
// Phase 1: matrix 370 rows 185 cols
[2021-05-09 05:19:26] [INFO ] Computed 5 place invariants in 2 ms
[2021-05-09 05:19:27] [INFO ] Dead Transitions using invariants and state equation in 772 ms returned []
Starting structural reductions, iteration 1 : 185/186 places, 2108/2108 transitions.
Applied a total of 0 rules in 12 ms. Remains 185 /185 variables (removed 0) and now considering 2108/2108 (removed 0) transitions.
[2021-05-09 05:19:27] [INFO ] Flow matrix only has 370 transitions (discarded 1738 similar events)
// Phase 1: matrix 370 rows 185 cols
[2021-05-09 05:19:27] [INFO ] Computed 5 place invariants in 2 ms
[2021-05-09 05:19:28] [INFO ] Dead Transitions using invariants and state equation in 649 ms returned []
Finished structural reductions, in 2 iterations. Remains : 185/186 places, 2108/2108 transitions.
Stuttering acceptance computed with spot in 157 ms :[(OR (NOT p0) (NOT p3) (AND (NOT p1) (NOT p2))), (OR (NOT p0) (NOT p3) (AND (NOT p1) (NOT p2))), true, (NOT p2), (AND (NOT p1) (NOT p2)), (NOT p3)]
Running random walk in product with property : BridgeAndVehicles-PT-V80P20N10-13 automaton TGBA [mat=[[{ cond=true, acceptance={} source=0 dest: 1}], [{ cond=(NOT p0), acceptance={} source=1 dest: 2}, { cond=(AND p0 (NOT p1)), acceptance={} source=1 dest: 3}, { cond=p0, acceptance={} source=1 dest: 4}, { cond=(AND p0 (NOT p3)), acceptance={} source=1 dest: 5}], [{ cond=true, acceptance={0} source=2 dest: 2}], [{ cond=(NOT p2), acceptance={} source=3 dest: 2}], [{ cond=(NOT p1), acceptance={} source=4 dest: 3}, { cond=true, acceptance={} source=4 dest: 4}], [{ cond=(NOT p3), acceptance={0} source=5 dest: 5}]], initial=0, aps=[p0:(AND (GEQ s169 1) (GEQ s184 1)), p1:(AND (GEQ s43 1) (GEQ s82 1) (GEQ s84 1) (GEQ s85 1)), p3:(OR (LT s1 1) (LT s85 1) (LT s156 1) (LT s175 1)), p2:(GEQ s170 1)], nbAcceptance=1, properties=[trans-labels, explicit-labels, trans-acc, no-univ-branch, very-weak, weak, inherently-weak], stateDesc=[null, null, null, null, null, null][false, false, false, false, false, false]]
Entered a terminal (fully accepting) state of product in 1 steps with 0 reset in 0 ms.
FORMULA BridgeAndVehicles-PT-V80P20N10-13 FALSE TECHNIQUES STUTTER_TEST
Treatment of property BridgeAndVehicles-PT-V80P20N10-13 finished in 3730 ms.
Running Spot : CommandLine [args=[/home/mcc/BenchKit/bin//..//ltl2tgba, --hoaf=tv, -f, !(F((G(p0)||G((X(p2)&&p1)))))], workingDir=/home/mcc/execution]
Support contains 7 out of 186 places. Attempting structural reductions.
Starting structural reductions, iteration 0 : 186/186 places, 2108/2108 transitions.
Applied a total of 0 rules in 71 ms. Remains 186 /186 variables (removed 0) and now considering 2108/2108 (removed 0) transitions.
[2021-05-09 05:19:28] [INFO ] Flow matrix only has 370 transitions (discarded 1738 similar events)
// Phase 1: matrix 370 rows 186 cols
[2021-05-09 05:19:28] [INFO ] Computed 5 place invariants in 2 ms
[2021-05-09 05:19:29] [INFO ] Dead Transitions using invariants and state equation in 577 ms returned []
[2021-05-09 05:19:29] [INFO ] Flow matrix only has 370 transitions (discarded 1738 similar events)
// Phase 1: matrix 370 rows 186 cols
[2021-05-09 05:19:29] [INFO ] Computed 5 place invariants in 1 ms
[2021-05-09 05:19:29] [INFO ] Implicit Places using invariants in 200 ms returned []
[2021-05-09 05:19:29] [INFO ] Flow matrix only has 370 transitions (discarded 1738 similar events)
// Phase 1: matrix 370 rows 186 cols
[2021-05-09 05:19:29] [INFO ] Computed 5 place invariants in 1 ms
[2021-05-09 05:19:29] [INFO ] State equation strengthened by 22 read => feed constraints.
[2021-05-09 05:19:30] [INFO ] Implicit Places using invariants and state equation in 1181 ms returned [82, 168]
Discarding 2 places :
Implicit Place search using SMT with State Equation took 1397 ms to find 2 implicit places.
[2021-05-09 05:19:30] [INFO ] Redundant transitions in 60 ms returned []
[2021-05-09 05:19:30] [INFO ] Flow matrix only has 370 transitions (discarded 1738 similar events)
// Phase 1: matrix 370 rows 184 cols
[2021-05-09 05:19:30] [INFO ] Computed 5 place invariants in 2 ms
[2021-05-09 05:19:31] [INFO ] Dead Transitions using invariants and state equation in 755 ms returned []
Starting structural reductions, iteration 1 : 184/186 places, 2108/2108 transitions.
Applied a total of 0 rules in 112 ms. Remains 184 /184 variables (removed 0) and now considering 2108/2108 (removed 0) transitions.
[2021-05-09 05:19:31] [INFO ] Redundant transitions in 46 ms returned []
[2021-05-09 05:19:31] [INFO ] Flow matrix only has 370 transitions (discarded 1738 similar events)
// Phase 1: matrix 370 rows 184 cols
[2021-05-09 05:19:31] [INFO ] Computed 5 place invariants in 1 ms
[2021-05-09 05:19:32] [INFO ] Dead Transitions using invariants and state equation in 493 ms returned []
Finished structural reductions, in 2 iterations. Remains : 184/186 places, 2108/2108 transitions.
Stuttering acceptance computed with spot in 31 ms :[(OR (AND (NOT p0) (NOT p2)) (AND (NOT p0) (NOT p1)))]
Running random walk in product with property : BridgeAndVehicles-PT-V80P20N10-14 automaton TGBA [mat=[[{ cond=(OR (AND (NOT p0) (NOT p1)) (AND (NOT p0) (NOT p2))), acceptance={0, 1} source=0 dest: 0}, { cond=(AND (NOT p0) p1 p2), acceptance={0} source=0 dest: 0}, { cond=(OR (AND p0 (NOT p1)) (AND p0 (NOT p2))), acceptance={1} source=0 dest: 0}, { cond=(AND p0 p1 p2), acceptance={} source=0 dest: 0}]], initial=0, aps=[p0:(AND (GEQ s29 1) (GEQ s85 1) (GEQ s86 1) (GEQ s181 1)), p1:(AND (GEQ s83 20) (GEQ s170 1)), p2:(AND (GEQ s169 1) (OR (LT s29 1) (LT s85 1) (LT s86 1) (LT s181 1)))], nbAcceptance=2, properties=[trans-labels, explicit-labels, trans-acc, complete, deterministic, no-univ-branch, unambiguous, semi-deterministic, stutter-invariant], stateDesc=[null][true]]
Stuttering criterion allowed to conclude after 673 steps with 0 reset in 4 ms.
FORMULA BridgeAndVehicles-PT-V80P20N10-14 FALSE TECHNIQUES STUTTER_TEST
Treatment of property BridgeAndVehicles-PT-V80P20N10-14 finished in 3591 ms.
Running Spot : CommandLine [args=[/home/mcc/BenchKit/bin//..//ltl2tgba, --hoaf=tv, -f, !((((X(p1)&&p0) U X(G(p2))) U p3))], workingDir=/home/mcc/execution]
Support contains 9 out of 186 places. Attempting structural reductions.
Starting structural reductions, iteration 0 : 186/186 places, 2108/2108 transitions.
Applied a total of 0 rules in 12 ms. Remains 186 /186 variables (removed 0) and now considering 2108/2108 (removed 0) transitions.
[2021-05-09 05:19:32] [INFO ] Flow matrix only has 370 transitions (discarded 1738 similar events)
// Phase 1: matrix 370 rows 186 cols
[2021-05-09 05:19:32] [INFO ] Computed 5 place invariants in 1 ms
[2021-05-09 05:19:32] [INFO ] Dead Transitions using invariants and state equation in 544 ms returned []
[2021-05-09 05:19:32] [INFO ] Flow matrix only has 370 transitions (discarded 1738 similar events)
// Phase 1: matrix 370 rows 186 cols
[2021-05-09 05:19:32] [INFO ] Computed 5 place invariants in 3 ms
[2021-05-09 05:19:32] [INFO ] Implicit Places using invariants in 216 ms returned []
[2021-05-09 05:19:32] [INFO ] Flow matrix only has 370 transitions (discarded 1738 similar events)
// Phase 1: matrix 370 rows 186 cols
[2021-05-09 05:19:32] [INFO ] Computed 5 place invariants in 9 ms
[2021-05-09 05:19:32] [INFO ] State equation strengthened by 22 read => feed constraints.
[2021-05-09 05:19:34] [INFO ] Implicit Places using invariants and state equation in 1506 ms returned [82, 168]
Discarding 2 places :
Implicit Place search using SMT with State Equation took 1740 ms to find 2 implicit places.
[2021-05-09 05:19:34] [INFO ] Flow matrix only has 370 transitions (discarded 1738 similar events)
// Phase 1: matrix 370 rows 184 cols
[2021-05-09 05:19:34] [INFO ] Computed 5 place invariants in 1 ms
[2021-05-09 05:19:35] [INFO ] Dead Transitions using invariants and state equation in 696 ms returned []
Starting structural reductions, iteration 1 : 184/186 places, 2108/2108 transitions.
Applied a total of 0 rules in 12 ms. Remains 184 /184 variables (removed 0) and now considering 2108/2108 (removed 0) transitions.
[2021-05-09 05:19:35] [INFO ] Flow matrix only has 370 transitions (discarded 1738 similar events)
// Phase 1: matrix 370 rows 184 cols
[2021-05-09 05:19:35] [INFO ] Computed 5 place invariants in 1 ms
[2021-05-09 05:19:35] [INFO ] Dead Transitions using invariants and state equation in 584 ms returned []
Finished structural reductions, in 2 iterations. Remains : 184/186 places, 2108/2108 transitions.
Stuttering acceptance computed with spot in 106 ms :[(NOT p3), (NOT p2), (AND (NOT p1) (NOT p2)), (NOT p2), true]
Running random walk in product with property : BridgeAndVehicles-PT-V80P20N10-15 automaton TGBA [mat=[[{ cond=(NOT p3), acceptance={0} source=0 dest: 0}, { cond=(AND (NOT p3) (NOT p0)), acceptance={} source=0 dest: 1}, { cond=(AND (NOT p3) p0), acceptance={} source=0 dest: 2}, { cond=(AND (NOT p3) p0), acceptance={} source=0 dest: 3}], [{ cond=p2, acceptance={} source=1 dest: 1}, { cond=(NOT p2), acceptance={} source=1 dest: 4}], [{ cond=(AND p0 (NOT p1) p2), acceptance={} source=2 dest: 1}, { cond=(AND (NOT p1) (NOT p2)), acceptance={} source=2 dest: 4}], [{ cond=(NOT p0), acceptance={} source=3 dest: 1}, { cond=p0, acceptance={} source=3 dest: 2}, { cond=(AND p0 (NOT p2)), acceptance={0} source=3 dest: 3}, { cond=(AND p0 p2), acceptance={} source=3 dest: 3}], [{ cond=true, acceptance={0} source=4 dest: 4}]], initial=0, aps=[p3:(AND (GEQ s9 1) (GEQ s85 1) (GEQ s86 1) (GEQ s176 1)), p0:(AND (GEQ s0 1) (GEQ s68 1)), p2:(GEQ s82 1), p1:(OR (LT s168 1) (LT s178 1))], nbAcceptance=1, properties=[trans-labels, explicit-labels, trans-acc, no-univ-branch], stateDesc=[null, null, null, null, null][false, false, false, false, false]]
Entered a terminal (fully accepting) state of product in 5 steps with 0 reset in 1 ms.
FORMULA BridgeAndVehicles-PT-V80P20N10-15 FALSE TECHNIQUES STUTTER_TEST
Treatment of property BridgeAndVehicles-PT-V80P20N10-15 finished in 3728 ms.
Using solver Z3 to compute partial order matrices.
Built C files in :
/tmp/ltsmin11905997664467757371
[2021-05-09 05:19:35] [INFO ] Too many transitions (2108) to apply POR reductions. Disabling POR matrices.
[2021-05-09 05:19:35] [INFO ] Built C files in 64ms conformant to PINS (ltsmin variant)in folder :/tmp/ltsmin11905997664467757371
Running compilation step : CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/include/, -I., -std=c99, -fPIC, -O2, model.c], workingDir=/tmp/ltsmin11905997664467757371]
[2021-05-09 05:19:35] [INFO ] Applying decomposition
[2021-05-09 05:19:36] [INFO ] Flatten gal took : 152 ms
Converted graph to binary with : CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.louvain.binaries_1.0.0.202104292328/bin/convert-linux64, -i, /tmp/graph11697287756317011918.txt, -o, /tmp/graph11697287756317011918.bin, -w, /tmp/graph11697287756317011918.weights], workingDir=null]
Built communities with : CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.louvain.binaries_1.0.0.202104292328/bin/louvain-linux64, /tmp/graph11697287756317011918.bin, -l, -1, -v, -w, /tmp/graph11697287756317011918.weights, -q, 0, -e, 0.001], workingDir=null]
[2021-05-09 05:19:36] [INFO ] Decomposing Gal with order
[2021-05-09 05:19:36] [INFO ] Rewriting arrays to variables to allow decomposition.
[2021-05-09 05:19:37] [INFO ] Removed a total of 4197 redundant transitions.
[2021-05-09 05:19:37] [INFO ] Flatten gal took : 813 ms
[2021-05-09 05:19:37] [INFO ] Fuse similar labels procedure discarded/fused a total of 802 labels/synchronizations in 28 ms.
[2021-05-09 05:19:37] [INFO ] Time to serialize gal into /tmp/LTLFireability6088289774957594005.gal : 11 ms
[2021-05-09 05:19:37] [INFO ] Time to serialize properties into /tmp/LTLFireability2451598755430393058.ltl : 28 ms
Invoking ITS tools like this :CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64, --gc-threshold, 2000000, -i, /tmp/LTLFireability6088289774957594005.gal, -t, CGAL, -LTL, /tmp/LTLFireability2451598755430393058.ltl, -c, -stutter-deadlock], workingDir=/home/mcc/execution]

its-ltl command run as :

/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64 --gc-threshold 2000000 -i /tmp/LTLFireability6088289774957594005.gal -t CGAL -LTL /tmp/LTLFireability2451598755430393058.ltl -c -stutter-deadlock
Read 7 LTL properties
Checking formula 0 : !((X(((("(((i0.u0.CHOIX_1>=1)&&(i1.u2.COMPTEUR_10>=1))||((i0.u1.CHOIX_2>=1)&&(i1.u2.COMPTEUR_10>=1)))")&&(X(G("(((i0.u1.CAPACITE>=20)&&(i1.u3.VIDANGE_1>=1))||((i0.u1.CAPACITE>=20)&&(i2.u4.VIDANGE_2>=1)))"))))U(!("((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((i2.u4.NB_ATTENTE_B_75<1)||(i2.u5.ROUTE_B<1))&&((i2.u4.NB_ATTENTE_B_56<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_17<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_36<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_55<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_16<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_6<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_65<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_76<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_46<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_27<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_74<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_57<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_15<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_37<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_54<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_66<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_7<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_28<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_45<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_63<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_14<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_4<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_73<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_38<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_23<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_48<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_29<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_30<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_47<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_13<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_72<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_39<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_5<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_22<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_64<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_41<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_31<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_70<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_51<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_2<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_21<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_50<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_12<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_42<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_61<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_80<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_3<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_40<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_20<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_71<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_11<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_32<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_49<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_79<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_62<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_19<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_24<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_68<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_58<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_34<1)||(i2.u5.ROUTE_B<1)))&&((i1.u3.NB_ATTENTE_B_0<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_53<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_33<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_44<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_67<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_59<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_78<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_10<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_8<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_52<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_25<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_69<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_35<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_1<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_18<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_77<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_60<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_26<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_43<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_9<1)||(i2.u5.ROUTE_B<1)))")))U(("(((i0.u0.CHOIX_1>=1)&&(i1.u2.COMPTEUR_10>=1))||((i0.u1.CHOIX_2>=1)&&(i1.u2.COMPTEUR_10>=1)))")&&((("(((i0.u0.CHOIX_1>=1)&&(i1.u2.COMPTEUR_10>=1))||((i0.u1.CHOIX_2>=1)&&(i1.u2.COMPTEUR_10>=1)))")&&(X(G("(((i0.u1.CAPACITE>=20)&&(i1.u3.VIDANGE_1>=1))||((i0.u1.CAPACITE>=20)&&(i2.u4.VIDANGE_2>=1)))"))))U(!("((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((i2.u4.NB_ATTENTE_B_75<1)||(i2.u5.ROUTE_B<1))&&((i2.u4.NB_ATTENTE_B_56<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_17<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_36<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_55<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_16<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_6<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_65<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_76<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_46<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_27<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_74<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_57<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_15<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_37<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_54<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_66<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_7<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_28<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_45<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_63<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_14<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_4<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_73<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_38<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_23<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_48<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_29<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_30<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_47<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_13<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_72<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_39<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_5<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_22<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_64<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_41<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_31<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_70<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_51<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_2<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_21<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_50<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_12<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_42<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_61<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_80<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_3<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_40<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_20<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_71<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_11<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_32<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_49<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_79<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_62<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_19<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_24<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_68<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_58<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_34<1)||(i2.u5.ROUTE_B<1)))&&((i1.u3.NB_ATTENTE_B_0<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_53<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_33<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_44<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_67<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_59<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_78<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_10<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_8<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_52<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_25<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_69<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_35<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_1<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_18<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_77<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_60<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_26<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_43<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_9<1)||(i2.u5.ROUTE_B<1)))")))))))
Formula 0 simplified : !X((("(((i0.u0.CHOIX_1>=1)&&(i1.u2.COMPTEUR_10>=1))||((i0.u1.CHOIX_2>=1)&&(i1.u2.COMPTEUR_10>=1)))" & XG"(((i0.u1.CAPACITE>=20)&&(i1.u3.VIDANGE_1>=1))||((i0.u1.CAPACITE>=20)&&(i2.u4.VIDANGE_2>=1)))") U !"((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((i2.u4.NB_ATTENTE_B_75<1)||(i2.u5.ROUTE_B<1))&&((i2.u4.NB_ATTENTE_B_56<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_17<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_36<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_55<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_16<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_6<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_65<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_76<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_46<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_27<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_74<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_57<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_15<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_37<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_54<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_66<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_7<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_28<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_45<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_63<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_14<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_4<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_73<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_38<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_23<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_48<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_29<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_30<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_47<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_13<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_72<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_39<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_5<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_22<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_64<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_41<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_31<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_70<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_51<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_2<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_21<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_50<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_12<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_42<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_61<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_80<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_3<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_40<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_20<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_71<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_11<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_32<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_49<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_79<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_62<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_19<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_24<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_68<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_58<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_34<1)||(i2.u5.ROUTE_B<1)))&&((i1.u3.NB_ATTENTE_B_0<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_53<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_33<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_44<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_67<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_59<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_78<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_10<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_8<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_52<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_25<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_69<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_35<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_1<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_18<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_77<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_60<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_26<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_43<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_9<1)||(i2.u5.ROUTE_B<1)))") U ("(((i0.u0.CHOIX_1>=1)&&(i1.u2.COMPTEUR_10>=1))||((i0.u1.CHOIX_2>=1)&&(i1.u2.COMPTEUR_10>=1)))" & (("(((i0.u0.CHOIX_1>=1)&&(i1.u2.COMPTEUR_10>=1))||((i0.u1.CHOIX_2>=1)&&(i1.u2.COMPTEUR_10>=1)))" & XG"(((i0.u1.CAPACITE>=20)&&(i1.u3.VIDANGE_1>=1))||((i0.u1.CAPACITE>=20)&&(i2.u4.VIDANGE_2>=1)))") U !"((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((i2.u4.NB_ATTENTE_B_75<1)||(i2.u5.ROUTE_B<1))&&((i2.u4.NB_ATTENTE_B_56<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_17<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_36<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_55<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_16<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_6<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_65<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_76<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_46<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_27<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_74<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_57<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_15<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_37<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_54<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_66<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_7<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_28<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_45<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_63<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_14<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_4<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_73<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_38<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_23<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_48<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_29<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_30<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_47<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_13<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_72<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_39<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_5<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_22<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_64<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_41<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_31<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_70<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_51<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_2<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_21<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_50<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_12<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_42<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_61<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_80<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_3<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_40<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_20<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_71<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_11<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_32<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_49<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_79<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_62<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_19<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_24<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_68<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_58<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_34<1)||(i2.u5.ROUTE_B<1)))&&((i1.u3.NB_ATTENTE_B_0<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_53<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_33<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_44<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_67<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_59<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_78<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_10<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_8<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_52<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_25<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_69<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_35<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_1<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_18<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_77<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_60<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_26<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_43<1)||(i2.u5.ROUTE_B<1)))&&((i2.u4.NB_ATTENTE_B_9<1)||(i2.u5.ROUTE_B<1)))")))
Compilation finished in 21145 ms.
Running link step : CommandLine [args=[gcc, -shared, -o, gal.so, model.o], workingDir=/tmp/ltsmin11905997664467757371]
Link finished in 90 ms.
Running LTSmin : CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/pins2lts-mc-linux64, ./gal.so, --threads=8, --when, --ltl, X(((((LTLAPp0==true)&&X([]((LTLAPp1==true)))) U !(LTLAPp2==true)) U ((LTLAPp0==true)&&(((LTLAPp0==true)&&X([]((LTLAPp1==true)))) U !(LTLAPp2==true))))), --buchi-type=spotba], workingDir=/tmp/ltsmin11905997664467757371]
LTSmin run took 2232 ms.
FORMULA BridgeAndVehicles-PT-V80P20N10-01 FALSE TECHNIQUES EXPLICIT LTSMIN SAT_SMT
Running LTSmin : CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/pins2lts-mc-linux64, ./gal.so, --threads=8, --when, --ltl, X(<>((X(((LTLAPp4==true)&&X(!(LTLAPp2==true))))||(LTLAPp3==true)))), --buchi-type=spotba], workingDir=/tmp/ltsmin11905997664467757371]
LTSmin run took 14588 ms.
FORMULA BridgeAndVehicles-PT-V80P20N10-03 TRUE TECHNIQUES EXPLICIT LTSMIN SAT_SMT
Running LTSmin : CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/pins2lts-mc-linux64, ./gal.so, --threads=8, -p, --pins-guards, --when, --ltl, []((<>((LTLAPp6==true))||(LTLAPp5==true))), --buchi-type=spotba], workingDir=/tmp/ltsmin11905997664467757371]
WARNING : LTSmin timed out (>300 s) on command CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/pins2lts-mc-linux64, ./gal.so, --threads=8, -p, --pins-guards, --when, --ltl, []((<>((LTLAPp6==true))||(LTLAPp5==true))), --buchi-type=spotba], workingDir=/tmp/ltsmin11905997664467757371]
Running LTSmin : CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/pins2lts-mc-linux64, ./gal.so, --threads=8, -p, --pins-guards, --when, --ltl, <>((LTLAPp7==true)), --buchi-type=spotba], workingDir=/tmp/ltsmin11905997664467757371]
WARNING : LTSmin timed out (>300 s) on command CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/pins2lts-mc-linux64, ./gal.so, --threads=8, -p, --pins-guards, --when, --ltl, <>((LTLAPp7==true)), --buchi-type=spotba], workingDir=/tmp/ltsmin11905997664467757371]
Running LTSmin : CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/pins2lts-mc-linux64, ./gal.so, --threads=8, --when, --ltl, <>(([]((LTLAPp8==true))||X(([](<>((LTLAPp0==true)))&&(LTLAPp9==true))))), --buchi-type=spotba], workingDir=/tmp/ltsmin11905997664467757371]
LTSmin run took 844 ms.
FORMULA BridgeAndVehicles-PT-V80P20N10-07 FALSE TECHNIQUES EXPLICIT LTSMIN SAT_SMT
Running LTSmin : CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/pins2lts-mc-linux64, ./gal.so, --threads=8, --when, --ltl, X(<>(([]((LTLAPp10==true))||((LTLAPp6==true)&&[](!(LTLAPp10==true)))))), --buchi-type=spotba], workingDir=/tmp/ltsmin11905997664467757371]
WARNING : LTSmin timed out (>300 s) on command CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/pins2lts-mc-linux64, ./gal.so, --threads=8, --when, --ltl, X(<>(([]((LTLAPp10==true))||((LTLAPp6==true)&&[](!(LTLAPp10==true)))))), --buchi-type=spotba], workingDir=/tmp/ltsmin11905997664467757371]
Running LTSmin : CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/pins2lts-mc-linux64, ./gal.so, --threads=8, --when, --ltl, X((<>((LTLAPp11==true))||(<>((LTLAPp13==true))&&(LTLAPp12==true)))), --buchi-type=spotba], workingDir=/tmp/ltsmin11905997664467757371]
LTSmin run took 236278 ms.
FORMULA BridgeAndVehicles-PT-V80P20N10-12 FALSE TECHNIQUES EXPLICIT LTSMIN SAT_SMT
Retrying LTSmin with larger timeout 2400 s
Running LTSmin : CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/pins2lts-mc-linux64, ./gal.so, --threads=8, -p, --pins-guards, --when, --ltl, []((<>((LTLAPp6==true))||(LTLAPp5==true))), --buchi-type=spotba], workingDir=/tmp/ltsmin11905997664467757371]
Detected timeout of ITS tools.
[2021-05-09 05:41:27] [INFO ] Flatten gal took : 173 ms
[2021-05-09 05:41:27] [INFO ] Time to serialize gal into /tmp/LTLFireability7726455941459528135.gal : 23 ms
[2021-05-09 05:41:27] [INFO ] Time to serialize properties into /tmp/LTLFireability13982336847655092306.ltl : 16 ms
Invoking ITS tools like this :CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64, --gc-threshold, 2000000, -i, /tmp/LTLFireability7726455941459528135.gal, -t, CGAL, -LTL, /tmp/LTLFireability13982336847655092306.ltl, -c, -stutter-deadlock, --gen-order, FOLLOW], workingDir=/home/mcc/execution]

its-ltl command run as :

/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64 --gc-threshold 2000000 -i /tmp/LTLFireability7726455941459528135.gal -t CGAL -LTL /tmp/LTLFireability13982336847655092306.ltl -c -stutter-deadlock --gen-order FOLLOW
Read 3 LTL properties
Checking formula 0 : !((G((!("(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_7<1))||(ATTENTE_B<1))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_46<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_56<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_27<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_37<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_75<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_65<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_16<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_45<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_57<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_74<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_6<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_28<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_15<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_66<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_19<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_36<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_44<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_58<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_9<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_24<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_78<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_10<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_33<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_35<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_69<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_18<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_1<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_67<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_77<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_42<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_8<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_25<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_43<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_60<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_26<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_59<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_76<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_68<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_17<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_34<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_51<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_2<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_12<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_22<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_41<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_80<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_61<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_31<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_3<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_50<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_71<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_52<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_40<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_62<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_23<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_11<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_79<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_70<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_32<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_49<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_53<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_29<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_73<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_39<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_5<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_63<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_48<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_54<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_20<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_14<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_13<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_30<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_4<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_64<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_21<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_38<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_47<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_55<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_72<1))||(ATTENTE_B<1)))"))||(F("(SUR_PONT_A>=1)")))))
Formula 0 simplified : !G(!"(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_7<1))||(ATTENTE_B<1))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_46<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_56<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_27<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_37<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_75<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_65<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_16<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_45<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_57<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_74<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_6<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_28<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_15<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_66<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_19<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_36<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_44<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_58<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_9<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_24<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_78<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_10<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_33<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_35<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_69<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_18<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_1<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_67<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_77<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_42<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_8<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_25<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_43<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_60<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_26<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_59<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_76<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_68<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_17<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_34<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_51<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_2<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_12<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_22<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_41<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_80<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_61<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_31<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_3<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_50<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_71<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_52<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_40<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_62<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_23<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_11<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_79<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_70<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_32<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_49<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_53<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_29<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_73<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_39<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_5<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_63<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_48<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_54<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_20<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_14<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_13<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_30<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_4<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_64<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_21<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_38<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_47<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_55<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_72<1))||(ATTENTE_B<1)))" | F"(SUR_PONT_A>=1)")
Detected timeout of ITS tools.
[2021-05-09 06:03:17] [INFO ] Flatten gal took : 211 ms
[2021-05-09 06:03:17] [INFO ] Input system was already deterministic with 2108 transitions.
[2021-05-09 06:03:17] [INFO ] Transformed 186 places.
[2021-05-09 06:03:17] [INFO ] Transformed 2108 transitions.
Running greatSPN : CommandLine [args=[/home/mcc/BenchKit/bin//..//greatspn//bin/pinvar, /home/mcc/execution/gspn], workingDir=/home/mcc/execution]
Run of greatSPN captured in /home/mcc/execution/outPut.txt
Running greatSPN : CommandLine [args=[/home/mcc/BenchKit/bin//..//greatspn//bin/RGMEDD2, /home/mcc/execution/gspn, -META, -varord-only], workingDir=/home/mcc/execution]
Run of greatSPN captured in /home/mcc/execution/outPut.txt
Using order generated by GreatSPN with heuristic : META
[2021-05-09 06:03:17] [INFO ] Time to serialize gal into /tmp/LTLFireability2315118929796232600.gal : 14 ms
[2021-05-09 06:03:17] [INFO ] Time to serialize properties into /tmp/LTLFireability12947098655821542960.ltl : 1 ms
Invoking ITS tools like this :CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64, --gc-threshold, 2000000, -i, /tmp/LTLFireability2315118929796232600.gal, -t, CGAL, -LTL, /tmp/LTLFireability12947098655821542960.ltl, -c, -stutter-deadlock, --load-order, /home/mcc/execution/model.ord, --gen-order, FOLLOW], workingDir=/home/mcc/execution]

its-ltl command run as :

/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64 --gc-threshold 2000000 -i /tmp/LTLFireability2315118929796232600.gal -t CGAL -LTL /tmp/LTLFireability12947098655821542960.ltl -c -stutter-deadlock --load-order /home/mcc/execution/model.ord --gen-order FOLLOW
Read 3 LTL properties
Successfully loaded order from file /home/mcc/execution/model.ord
Checking formula 0 : !((G((!("(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_7<1))||(ATTENTE_B<1))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_46<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_56<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_27<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_37<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_75<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_65<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_16<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_45<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_57<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_74<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_6<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_28<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_15<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_66<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_19<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_36<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_44<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_58<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_9<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_24<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_78<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_10<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_33<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_35<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_69<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_18<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_1<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_67<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_77<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_42<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_8<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_25<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_43<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_60<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_26<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_59<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_76<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_68<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_17<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_34<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_51<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_2<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_12<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_22<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_41<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_80<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_61<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_31<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_3<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_50<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_71<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_52<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_40<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_62<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_23<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_11<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_79<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_70<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_32<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_49<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_53<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_29<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_73<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_39<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_5<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_63<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_48<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_54<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_20<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_14<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_13<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_30<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_4<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_64<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_21<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_38<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_47<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_55<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_72<1))||(ATTENTE_B<1)))"))||(F("(SUR_PONT_A>=1)")))))
Formula 0 simplified : !G(!"(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_7<1))||(ATTENTE_B<1))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_46<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_56<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_27<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_37<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_75<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_65<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_16<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_45<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_57<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_74<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_6<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_28<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_15<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_66<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_19<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_36<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_44<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_58<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_9<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_24<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_78<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_10<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_33<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_35<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_69<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_18<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_1<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_67<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_77<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_42<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_8<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_25<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_43<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_60<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_26<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_59<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_76<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_68<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_17<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_34<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_51<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_2<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_12<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_22<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_41<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_80<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_61<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_31<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_3<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_50<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_71<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_52<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_40<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_62<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_23<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_11<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_79<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_70<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_32<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_49<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_53<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_29<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_73<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_39<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_5<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_63<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_48<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_54<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_20<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_14<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_13<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_30<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_4<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_64<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_21<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_38<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_47<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_55<1))||(ATTENTE_B<1)))&&((((CAPACITE<1)||(CONTROLEUR_2<1))||(NB_ATTENTE_B_72<1))||(ATTENTE_B<1)))" | F"(SUR_PONT_A>=1)")

BK_TIME_CONFINEMENT_REACHED

--------------------
content from stderr:

+ export BINDIR=/home/mcc/BenchKit/bin//../
+ BINDIR=/home/mcc/BenchKit/bin//../
++ pwd
+ export MODEL=/home/mcc/execution
+ MODEL=/home/mcc/execution
+ [[ LTLFireability = StateSpace ]]
+ /home/mcc/BenchKit/bin//..//runeclipse.sh /home/mcc/execution LTLFireability -its -ltsmin -greatspnpath /home/mcc/BenchKit/bin//..//greatspn/ -order META -manyOrder -smt -timeout 3600
+ ulimit -s 65536
+ [[ -z '' ]]
+ export LTSMIN_MEM_SIZE=8589934592
+ LTSMIN_MEM_SIZE=8589934592
++ cut -d . -f 9
++ ls /home/mcc/BenchKit/bin//..//itstools/plugins/fr.lip6.move.gal.application.pnmcc_1.0.0.202104292328.jar
+ VERSION=0
+ echo 'Running Version 0'
+ /home/mcc/BenchKit/bin//..//itstools/its-tools -data /home/mcc/execution/workspace -pnfolder /home/mcc/execution -examination LTLFireability -spotpath /home/mcc/BenchKit/bin//..//ltlfilt -z3path /home/mcc/BenchKit/bin//..//z3/bin/z3 -yices2path /home/mcc/BenchKit/bin//..//yices/bin/yices -its -ltsmin -greatspnpath /home/mcc/BenchKit/bin//..//greatspn/ -order META -manyOrder -smt -timeout 3600 -vmargs -Dosgi.locking=none -Declipse.stateSaveDelayInterval=-1 -Dosgi.configuration.area=/tmp/.eclipse -Xss128m -Xms40m -Xmx16000m

Sequence of Actions to be Executed by the VM

This is useful if one wants to reexecute the tool in the VM from the submitted image disk.

set -x
# this is for BenchKit: configuration of major elements for the test
export BK_INPUT="BridgeAndVehicles-PT-V80P20N10"
export BK_EXAMINATION="LTLFireability"
export BK_TOOL="itstools"
export BK_RESULT_DIR="/tmp/BK_RESULTS/OUTPUTS"
export BK_TIME_CONFINEMENT="3600"
export BK_MEMORY_CONFINEMENT="16384"
export BK_BIN_PATH="/home/mcc/BenchKit/bin/"

# this is specific to your benchmark or test

export BIN_DIR="$HOME/BenchKit/bin"

# remove the execution directoty if it exists (to avoid increse of .vmdk images)
if [ -d execution ] ; then
rm -rf execution
fi

# this is for BenchKit: explicit launching of the test
echo "====================================================================="
echo " Generated by BenchKit 2-4028"
echo " Executing tool itstools"
echo " Input is BridgeAndVehicles-PT-V80P20N10, examination is LTLFireability"
echo " Time confinement is $BK_TIME_CONFINEMENT seconds"
echo " Memory confinement is 16384 MBytes"
echo " Number of cores is 4"
echo " Run identifier is r026-tajo-162038143600277"
echo "====================================================================="
echo
echo "--------------------"
echo "preparation of the directory to be used:"

tar xzf /home/mcc/BenchKit/INPUTS/BridgeAndVehicles-PT-V80P20N10.tgz
mv BridgeAndVehicles-PT-V80P20N10 execution
cd execution
if [ "LTLFireability" = "ReachabilityDeadlock" ] || [ "LTLFireability" = "UpperBounds" ] || [ "LTLFireability" = "QuasiLiveness" ] || [ "LTLFireability" = "StableMarking" ] || [ "LTLFireability" = "Liveness" ] || [ "LTLFireability" = "OneSafe" ] || [ "LTLFireability" = "StateSpace" ]; then
rm -f GenericPropertiesVerdict.xml
fi
pwd
ls -lh

echo
echo "--------------------"
echo "content from stdout:"
echo
echo "=== Data for post analysis generated by BenchKit (invocation template)"
echo
if [ "LTLFireability" = "UpperBounds" ] ; then
echo "The expected result is a vector of positive values"
echo NUM_VECTOR
elif [ "LTLFireability" != "StateSpace" ] ; then
echo "The expected result is a vector of booleans"
echo BOOL_VECTOR
else
echo "no data necessary for post analysis"
fi
echo
if [ -f "LTLFireability.txt" ] ; then
echo "here is the order used to build the result vector(from text file)"
for x in $(grep Property LTLFireability.txt | cut -d ' ' -f 2 | sort -u) ; do
echo "FORMULA_NAME $x"
done
elif [ -f "LTLFireability.xml" ] ; then # for cunf (txt files deleted;-)
echo echo "here is the order used to build the result vector(from xml file)"
for x in $(grep '' LTLFireability.xml | cut -d '>' -f 2 | cut -d '<' -f 1 | sort -u) ; do
echo "FORMULA_NAME $x"
done
elif [ "LTLFireability" = "ReachabilityDeadlock" ] || [ "LTLFireability" = "QuasiLiveness" ] || [ "LTLFireability" = "StableMarking" ] || [ "LTLFireability" = "Liveness" ] || [ "LTLFireability" = "OneSafe" ] ; then
echo "FORMULA_NAME LTLFireability"
fi
echo
echo "=== Now, execution of the tool begins"
echo
echo -n "BK_START "
date -u +%s%3N
echo
timeout -s 9 $BK_TIME_CONFINEMENT bash -c "/home/mcc/BenchKit/BenchKit_head.sh 2> STDERR ; echo ; echo -n \"BK_STOP \" ; date -u +%s%3N"
if [ $? -eq 137 ] ; then
echo
echo "BK_TIME_CONFINEMENT_REACHED"
fi
echo
echo "--------------------"
echo "content from stderr:"
echo
cat STDERR ;