fond
Model Checking Contest 2021
11th edition, Paris, France, June 23, 2021
Execution of r026-tajo-162038143400125
Last Updated
Jun 28, 2021

About the Execution of ITS-Tools for BridgeAndVehicles-COL-V80P20N20

Execution Summary
Max Memory
Used (MB)
Time wait (ms) CPU Usage (ms) I/O Wait (ms) Computed Result Execution
Status
16245.907 3600000.00 8330417.00 6186.50 ??T?????TFFF?F?T normal

Execution Chart

We display below the execution chart for this examination (boot time has been removed).

Trace from the execution

Formatting '/mnt/tpsp/fkordon/mcc2021-input.r026-tajo-162038143400125.qcow2', fmt=qcow2 size=4294967296 backing_file='/mnt/tpsp/fkordon/mcc2021-input.qcow2' encryption=off cluster_size=65536 lazy_refcounts=off
Waiting for the VM to be ready (probing ssh)
................
=====================================================================
Generated by BenchKit 2-4028
Executing tool itstools
Input is BridgeAndVehicles-COL-V80P20N20, examination is LTLFireability
Time confinement is 3600 seconds
Memory confinement is 16384 MBytes
Number of cores is 4
Run identifier is r026-tajo-162038143400125
=====================================================================

--------------------
preparation of the directory to be used:
/home/mcc/execution
total 444K
-rw-r--r-- 1 mcc users 12K May 5 16:58 CTLCardinality.txt
-rw-r--r-- 1 mcc users 85K May 5 16:58 CTLCardinality.xml
-rw-r--r-- 1 mcc users 22K May 5 16:58 CTLFireability.txt
-rw-r--r-- 1 mcc users 135K May 5 16:58 CTLFireability.xml
-rw-r--r-- 1 mcc users 4.2K May 6 14:48 GenericPropertiesDefinition.xml
-rw-r--r-- 1 mcc users 6.4K May 6 14:48 GenericPropertiesVerdict.xml
-rw-r--r-- 1 mcc users 3.8K Mar 28 15:47 LTLCardinality.txt
-rw-r--r-- 1 mcc users 23K Mar 28 15:47 LTLCardinality.xml
-rw-r--r-- 1 mcc users 2.7K Mar 28 15:47 LTLFireability.txt
-rw-r--r-- 1 mcc users 18K Mar 28 15:47 LTLFireability.xml
-rw-r--r-- 1 mcc users 4.2K Mar 23 02:55 ReachabilityCardinality.txt
-rw-r--r-- 1 mcc users 20K Mar 23 02:55 ReachabilityCardinality.xml
-rw-r--r-- 1 mcc users 3.1K Mar 22 11:41 ReachabilityFireability.txt
-rw-r--r-- 1 mcc users 14K Mar 22 11:40 ReachabilityFireability.xml
-rw-r--r-- 1 mcc users 1.9K Mar 22 09:10 UpperBounds.txt
-rw-r--r-- 1 mcc users 3.9K Mar 22 09:10 UpperBounds.xml
-rw-r--r-- 1 mcc users 5 May 5 16:51 equiv_pt
-rw-r--r-- 1 mcc users 10 May 5 16:51 instance
-rw-r--r-- 1 mcc users 5 May 5 16:51 iscolored
-rw-r--r-- 1 mcc users 45K May 5 16:51 model.pnml

--------------------
content from stdout:

=== Data for post analysis generated by BenchKit (invocation template)

The expected result is a vector of booleans
BOOL_VECTOR

here is the order used to build the result vector(from text file)
FORMULA_NAME BridgeAndVehicles-COL-V80P20N20-00
FORMULA_NAME BridgeAndVehicles-COL-V80P20N20-01
FORMULA_NAME BridgeAndVehicles-COL-V80P20N20-02
FORMULA_NAME BridgeAndVehicles-COL-V80P20N20-03
FORMULA_NAME BridgeAndVehicles-COL-V80P20N20-04
FORMULA_NAME BridgeAndVehicles-COL-V80P20N20-05
FORMULA_NAME BridgeAndVehicles-COL-V80P20N20-06
FORMULA_NAME BridgeAndVehicles-COL-V80P20N20-07
FORMULA_NAME BridgeAndVehicles-COL-V80P20N20-08
FORMULA_NAME BridgeAndVehicles-COL-V80P20N20-09
FORMULA_NAME BridgeAndVehicles-COL-V80P20N20-10
FORMULA_NAME BridgeAndVehicles-COL-V80P20N20-11
FORMULA_NAME BridgeAndVehicles-COL-V80P20N20-12
FORMULA_NAME BridgeAndVehicles-COL-V80P20N20-13
FORMULA_NAME BridgeAndVehicles-COL-V80P20N20-14
FORMULA_NAME BridgeAndVehicles-COL-V80P20N20-15

=== Now, execution of the tool begins

BK_START 1620522519931

Running Version 0
[2021-05-09 01:08:41] [INFO ] Running its-tools with arguments : [-pnfolder, /home/mcc/execution, -examination, LTLFireability, -spotpath, /home/mcc/BenchKit/bin//..//ltlfilt, -z3path, /home/mcc/BenchKit/bin//..//z3/bin/z3, -yices2path, /home/mcc/BenchKit/bin//..//yices/bin/yices, -its, -ltsmin, -greatspnpath, /home/mcc/BenchKit/bin//..//greatspn/, -order, META, -manyOrder, -smt, -timeout, 3600]
[2021-05-09 01:08:41] [INFO ] Parsing pnml file : /home/mcc/execution/model.pnml
[2021-05-09 01:08:41] [INFO ] Detected file is not PT type :http://www.pnml.org/version-2009/grammar/symmetricnet
log4j:WARN No appenders could be found for logger (org.apache.axiom.locator.DefaultOMMetaFactoryLocator).
log4j:WARN Please initialize the log4j system properly.
[2021-05-09 01:08:41] [WARNING] Using fallBack plugin, rng conformance not checked
[2021-05-09 01:08:42] [INFO ] Load time of PNML (colored model parsed with PNMLFW) : 544 ms
[2021-05-09 01:08:42] [INFO ] Imported 15 HL places and 11 HL transitions for a total of 198 PT places and 551698.0 transition bindings in 18 ms.
Parsed 16 properties from file /home/mcc/execution/LTLFireability.xml in 7 ms.
Working with output stream class java.io.PrintStream
[2021-05-09 01:08:42] [INFO ] Built PT skeleton of HLPN with 15 places and 11 transitions in 3 ms.
[2021-05-09 01:08:42] [INFO ] Skeletonized HLPN properties in 0 ms.
Successfully produced net in file /tmp/petri1000_18291507614174567636.dot
Reduce places removed 3 places and 0 transitions.
Ensure Unique test removed 1 transitions
Reduce redundant transitions removed 1 transitions.
Finished random walk after 0 steps, including 0 resets, run visited all 0 properties in 1 ms. (steps per millisecond=0 )
[2021-05-09 01:08:42] [INFO ] Flatten gal took : 15 ms
[2021-05-09 01:08:42] [INFO ] Flatten gal took : 2 ms
[2021-05-09 01:08:42] [INFO ] Unfolded HLPN to a Petri net with 198 places and 3728 transitions in 72 ms.
[2021-05-09 01:08:42] [INFO ] Unfolded HLPN properties in 4 ms.
Successfully produced net in file /tmp/petri1001_17348637027781506996.dot
[2021-05-09 01:08:42] [INFO ] Initial state test concluded for 3 properties.
FORMULA BridgeAndVehicles-COL-V80P20N20-08 TRUE TECHNIQUES TOPOLOGICAL INITIAL_STATE
FORMULA BridgeAndVehicles-COL-V80P20N20-15 TRUE TECHNIQUES TOPOLOGICAL INITIAL_STATE
Support contains 196 out of 198 places. Attempting structural reductions.
Starting structural reductions, iteration 0 : 198/198 places, 3728/3728 transitions.
Reduce places removed 2 places and 0 transitions.
Iterating post reduction 0 with 2 rules applied. Total rules applied 2 place count 196 transition count 3728
Applied a total of 2 rules in 72 ms. Remains 196 /198 variables (removed 2) and now considering 3728/3728 (removed 0) transitions.
[2021-05-09 01:08:42] [INFO ] Flow matrix only has 410 transitions (discarded 3318 similar events)
// Phase 1: matrix 410 rows 196 cols
[2021-05-09 01:08:42] [INFO ] Computed 5 place invariants in 23 ms
[2021-05-09 01:08:44] [INFO ] Dead Transitions using invariants and state equation in 1318 ms returned []
[2021-05-09 01:08:44] [INFO ] Flow matrix only has 410 transitions (discarded 3318 similar events)
// Phase 1: matrix 410 rows 196 cols
[2021-05-09 01:08:44] [INFO ] Computed 5 place invariants in 5 ms
[2021-05-09 01:08:44] [INFO ] Implicit Places using invariants in 96 ms returned []
[2021-05-09 01:08:44] [INFO ] Flow matrix only has 410 transitions (discarded 3318 similar events)
// Phase 1: matrix 410 rows 196 cols
[2021-05-09 01:08:44] [INFO ] Computed 5 place invariants in 3 ms
[2021-05-09 01:08:44] [INFO ] State equation strengthened by 42 read => feed constraints.
[2021-05-09 01:08:44] [INFO ] Implicit Places using invariants and state equation in 224 ms returned []
Implicit Place search using SMT with State Equation took 323 ms to find 0 implicit places.
[2021-05-09 01:08:44] [INFO ] Flow matrix only has 410 transitions (discarded 3318 similar events)
// Phase 1: matrix 410 rows 196 cols
[2021-05-09 01:08:44] [INFO ] Computed 5 place invariants in 2 ms
[2021-05-09 01:08:45] [INFO ] Dead Transitions using invariants and state equation in 1158 ms returned []
Finished structural reductions, in 1 iterations. Remains : 196/198 places, 3728/3728 transitions.
[2021-05-09 01:08:46] [INFO ] Initial state reduction rules for LTL removed 2 formulas.
[2021-05-09 01:08:46] [INFO ] Flatten gal took : 499 ms
FORMULA BridgeAndVehicles-COL-V80P20N20-11 FALSE TECHNIQUES TOPOLOGICAL INITIAL_STATE
FORMULA BridgeAndVehicles-COL-V80P20N20-02 TRUE TECHNIQUES TOPOLOGICAL INITIAL_STATE
[2021-05-09 01:08:47] [INFO ] Flatten gal took : 328 ms
[2021-05-09 01:08:49] [INFO ] Input system was already deterministic with 3728 transitions.
Finished random walk after 49527 steps, including 72 resets, run visited all 15 properties in 5914 ms. (steps per millisecond=8 )
Running Spot : CommandLine [args=[/home/mcc/BenchKit/bin//..//ltl2tgba, --hoaf=tv, -f, !(G(F(p0)))], workingDir=/home/mcc/execution]
Support contains 85 out of 196 places. Attempting structural reductions.
Starting structural reductions, iteration 0 : 196/196 places, 3728/3728 transitions.
Discarding 1 places :
Symmetric choice reduction at 0 with 1 rule applications. Total rules 1 place count 195 transition count 3727
Iterating global reduction 0 with 1 rules applied. Total rules applied 2 place count 195 transition count 3727
Applied a total of 2 rules in 193 ms. Remains 195 /196 variables (removed 1) and now considering 3727/3728 (removed 1) transitions.
[2021-05-09 01:08:55] [INFO ] Flow matrix only has 409 transitions (discarded 3318 similar events)
// Phase 1: matrix 409 rows 195 cols
[2021-05-09 01:08:55] [INFO ] Computed 5 place invariants in 2 ms
[2021-05-09 01:08:56] [INFO ] Dead Transitions using invariants and state equation in 1150 ms returned []
[2021-05-09 01:08:56] [INFO ] Flow matrix only has 409 transitions (discarded 3318 similar events)
// Phase 1: matrix 409 rows 195 cols
[2021-05-09 01:08:56] [INFO ] Computed 5 place invariants in 7 ms
[2021-05-09 01:08:57] [INFO ] Implicit Places using invariants in 373 ms returned []
[2021-05-09 01:08:57] [INFO ] Flow matrix only has 409 transitions (discarded 3318 similar events)
// Phase 1: matrix 409 rows 195 cols
[2021-05-09 01:08:57] [INFO ] Computed 5 place invariants in 3 ms
[2021-05-09 01:08:57] [INFO ] State equation strengthened by 42 read => feed constraints.
[2021-05-09 01:08:58] [INFO ] Implicit Places using invariants and state equation in 1570 ms returned [83, 166]
Discarding 2 places :
Implicit Place search using SMT with State Equation took 1987 ms to find 2 implicit places.
[2021-05-09 01:08:59] [INFO ] Redundant transitions in 207 ms returned []
[2021-05-09 01:08:59] [INFO ] Flow matrix only has 409 transitions (discarded 3318 similar events)
// Phase 1: matrix 409 rows 193 cols
[2021-05-09 01:08:59] [INFO ] Computed 5 place invariants in 1 ms
[2021-05-09 01:09:00] [INFO ] Dead Transitions using invariants and state equation in 1364 ms returned []
Starting structural reductions, iteration 1 : 193/196 places, 3727/3728 transitions.
Applied a total of 0 rules in 84 ms. Remains 193 /193 variables (removed 0) and now considering 3727/3727 (removed 0) transitions.
[2021-05-09 01:09:00] [INFO ] Redundant transitions in 258 ms returned []
[2021-05-09 01:09:00] [INFO ] Flow matrix only has 409 transitions (discarded 3318 similar events)
// Phase 1: matrix 409 rows 193 cols
[2021-05-09 01:09:00] [INFO ] Computed 5 place invariants in 1 ms
[2021-05-09 01:09:01] [INFO ] Dead Transitions using invariants and state equation in 1155 ms returned []
Finished structural reductions, in 2 iterations. Remains : 193/196 places, 3727/3728 transitions.
Stuttering acceptance computed with spot in 182 ms :[(NOT p0), (NOT p0)]
Running random walk in product with property : BridgeAndVehicles-COL-V80P20N20-00 automaton TGBA [mat=[[{ cond=true, acceptance={} source=0 dest: 0}, { cond=(NOT p0), acceptance={} source=0 dest: 1}], [{ cond=(NOT p0), acceptance={0} source=1 dest: 1}]], initial=0, aps=[p0:(AND (OR (AND (GEQ s0 20) (GEQ s191 1)) (AND (GEQ s0 20) (GEQ s192 1))) (OR (AND (GEQ s146 1) (GEQ s165 1)) (AND (GEQ s107 1) (GEQ s165 1)) (AND (GEQ s136 1) (GEQ s165 1)) (AND (GEQ s117 1) (GEQ s165 1)) (AND (GEQ s97 1) (GEQ s165 1)) (AND (GEQ s116 1) (GEQ s165 1)) (AND (GEQ s126 1) (GEQ s165 1)) (AND (GEQ s137 1) (GEQ s165 1)) (AND (GEQ s156 1) (GEQ s165 1)) (AND (GEQ s88 1) (GEQ s165 1)) (AND (GEQ s135 1) (GEQ s165 1)) (AND (GEQ s157 1) (GEQ s165 1)) (AND (GEQ s118 1) (GEQ s165 1)) (AND (GEQ s145 1) (GEQ s165 1)) (AND (GEQ s128 1) (GEQ s165 1)) (AND (GEQ s98 1) (GEQ s165 1)) (AND (GEQ s115 1) (GEQ s165 1)) (AND (GEQ s144 1) (GEQ s165 1)) (AND (GEQ s127 1) (GEQ s165 1)) (AND (GEQ s106 1) (GEQ s165 1)) (AND (GEQ s89 1) (GEQ s165 1)) (AND (GEQ s85 1) (GEQ s165 1)) (AND (GEQ s129 1) (GEQ s165 1)) (AND (GEQ s119 1) (GEQ s165 1)) (AND (GEQ s163 1) (GEQ s165 1)) (AND (GEQ s95 1) (GEQ s165 1)) (AND (GEQ s153 1) (GEQ s165 1)) (AND (GEQ s148 1) (GEQ s165 1)) (AND (GEQ s114 1) (GEQ s165 1)) (AND (GEQ s94 1) (GEQ s165 1)) (AND (GEQ s154 1) (GEQ s165 1)) (AND (GEQ s139 1) (GEQ s165 1)) (AND (GEQ s120 1) (GEQ s165 1)) (AND (GEQ s86 1) (GEQ s165 1)) (AND (GEQ s105 1) (GEQ s165 1)) (AND (GEQ s113 1) (GEQ s165 1)) (AND (GEQ s164 1) (GEQ s165 1)) (AND (GEQ s147 1) (GEQ s165 1)) (AND (GEQ s130 1) (GEQ s165 1)) (AND (GEQ s96 1) (GEQ s165 1)) (AND (GEQ s121 1) (GEQ s165 1)) (AND (GEQ s138 1) (GEQ s165 1)) (AND (GEQ s155 1) (GEQ s165 1)) (AND (GEQ s104 1) (GEQ s165 1)) (AND (GEQ s87 1) (GEQ s165 1)) (AND (GEQ s102 1) (GEQ s165 1)) (AND (GEQ s151 1) (GEQ s165 1)) (AND (GEQ s92 1) (GEQ s165 1)) (AND (GEQ s131 1) (GEQ s165 1)) (AND (GEQ s112 1) (GEQ s165 1)) (AND (GEQ s160 1) (GEQ s165 1)) (AND (GEQ s111 1) (GEQ s165 1)) (AND (GEQ s103 1) (GEQ s165 1)) (AND (GEQ s122 1) (GEQ s165 1)) (AND (GEQ s141 1) (GEQ s165 1)) (AND (GEQ s152 1) (GEQ s165 1)) (AND (GEQ s101 1) (GEQ s165 1)) (AND (GEQ s162 1) (GEQ s165 1)) (AND (GEQ s132 1) (GEQ s165 1)) (AND (GEQ s93 1) (GEQ s165 1)) (AND (GEQ s110 1) (GEQ s165 1)) (AND (GEQ s161 1) (GEQ s165 1)) (AND (GEQ s123 1) (GEQ s165 1)) (AND (GEQ s140 1) (GEQ s165 1)) (AND (GEQ s124 1) (GEQ s165 1)) (AND (GEQ s134 1) (GEQ s165 1)) (AND (GEQ s149 1) (GEQ s165 1)) (AND (GEQ s99 1) (GEQ s165 1)) (AND (GEQ s143 1) (GEQ s165 1)) (AND (GEQ s109 1) (GEQ s165 1)) (AND (GEQ s84 1) (GEQ s165 1)) (AND (GEQ s90 1) (GEQ s165 1)) (AND (GEQ s158 1) (GEQ s165 1)) (AND (GEQ s91 1) (GEQ s165 1)) (AND (GEQ s108 1) (GEQ s165 1)) (AND (GEQ s150 1) (GEQ s165 1)) (AND (GEQ s133 1) (GEQ s165 1)) (AND (GEQ s100 1) (GEQ s165 1)) (AND (GEQ s142 1) (GEQ s165 1)) (AND (GEQ s125 1) (GEQ s165 1)) (AND (GEQ s159 1) (GEQ s165 1))))], nbAcceptance=1, properties=[trans-labels, explicit-labels, trans-acc, no-univ-branch, stutter-invariant, very-weak, weak, inherently-weak], stateDesc=[null, null][true, true]]
Product exploration explored 100000 steps with 928 reset in 820 ms.
Product exploration explored 100000 steps with 953 reset in 724 ms.
Knowledge obtained : [(NOT p0)]
Stuttering acceptance computed with spot in 59 ms :[(NOT p0), (NOT p0)]
Product exploration explored 100000 steps with 924 reset in 714 ms.
Product exploration explored 100000 steps with 914 reset in 708 ms.
[2021-05-09 01:09:05] [INFO ] Flatten gal took : 124 ms
[2021-05-09 01:09:06] [INFO ] Flatten gal took : 133 ms
[2021-05-09 01:09:06] [INFO ] Time to serialize gal into /tmp/LTL9890865876513886952.gal : 42 ms
[2021-05-09 01:09:06] [INFO ] Time to serialize properties into /tmp/LTL7190863938269117504.ltl : 1 ms
Invoking ITS tools like this :CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64, --gc-threshold, 2000000, -i, /tmp/LTL9890865876513886952.gal, -t, CGAL, -LTL, /tmp/LTL7190863938269117504.ltl, -c, -stutter-deadlock, --gen-order, FOLLOW], workingDir=/home/mcc/execution]

its-ltl command run as :

/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64 --gc-threshold 2000000 -i /tmp/LTL9890865876513886952.gal -t CGAL -LTL /tmp/LTL7190863938269117504.ltl -c -stutter-deadlock --gen-order FOLLOW
Read 1 LTL properties
Checking formula 0 : !((G(F("((((CAPACITE_0>=20)&&(VIDANGE_0>=1))||((CAPACITE_0>=20)&&(VIDANGE_1>=1)))&&((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((NB_ATTENTE_B_62>=1)&&(ROUTE_B_0>=1))||((NB_ATTENTE_B_23>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_52>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_33>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_13>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_32>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_42>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_53>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_72>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_4>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_51>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_73>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_34>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_61>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_44>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_14>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_31>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_60>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_43>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_22>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_5>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_1>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_45>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_35>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_79>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_11>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_69>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_64>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_30>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_10>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_70>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_55>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_36>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_2>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_21>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_29>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_80>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_63>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_46>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_12>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_37>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_54>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_71>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_20>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_3>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_18>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_67>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_8>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_47>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_28>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_76>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_27>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_19>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_38>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_57>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_68>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_17>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_78>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_48>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_9>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_26>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_77>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_39>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_56>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_40>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_50>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_65>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_15>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_59>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_25>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_0>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_6>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_74>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_7>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_24>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_66>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_49>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_16>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_58>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_41>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_75>=1)&&(ROUTE_B_0>=1))))"))))
Formula 0 simplified : !GF"((((CAPACITE_0>=20)&&(VIDANGE_0>=1))||((CAPACITE_0>=20)&&(VIDANGE_1>=1)))&&((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((NB_ATTENTE_B_62>=1)&&(ROUTE_B_0>=1))||((NB_ATTENTE_B_23>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_52>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_33>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_13>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_32>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_42>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_53>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_72>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_4>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_51>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_73>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_34>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_61>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_44>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_14>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_31>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_60>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_43>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_22>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_5>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_1>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_45>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_35>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_79>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_11>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_69>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_64>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_30>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_10>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_70>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_55>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_36>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_2>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_21>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_29>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_80>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_63>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_46>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_12>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_37>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_54>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_71>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_20>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_3>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_18>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_67>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_8>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_47>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_28>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_76>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_27>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_19>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_38>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_57>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_68>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_17>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_78>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_48>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_9>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_26>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_77>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_39>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_56>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_40>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_50>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_65>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_15>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_59>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_25>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_0>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_6>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_74>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_7>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_24>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_66>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_49>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_16>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_58>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_41>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_75>=1)&&(ROUTE_B_0>=1))))"
Detected timeout of ITS tools.
[2021-05-09 01:09:21] [INFO ] Flatten gal took : 107 ms
[2021-05-09 01:09:21] [INFO ] Applying decomposition
[2021-05-09 01:09:21] [INFO ] Flatten gal took : 172 ms
Converted graph to binary with : CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.louvain.binaries_1.0.0.202104292328/bin/convert-linux64, -i, /tmp/graph14791269214997382303.txt, -o, /tmp/graph14791269214997382303.bin, -w, /tmp/graph14791269214997382303.weights], workingDir=null]
Built communities with : CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.louvain.binaries_1.0.0.202104292328/bin/louvain-linux64, /tmp/graph14791269214997382303.bin, -l, -1, -v, -w, /tmp/graph14791269214997382303.weights, -q, 0, -e, 0.001], workingDir=null]
[2021-05-09 01:09:21] [INFO ] Decomposing Gal with order
[2021-05-09 01:09:21] [INFO ] Rewriting arrays to variables to allow decomposition.
[2021-05-09 01:09:23] [INFO ] Removed a total of 6953 redundant transitions.
[2021-05-09 01:09:23] [INFO ] Flatten gal took : 1800 ms
[2021-05-09 01:09:24] [INFO ] Fuse similar labels procedure discarded/fused a total of 477 labels/synchronizations in 51 ms.
[2021-05-09 01:09:24] [INFO ] Time to serialize gal into /tmp/LTL8758409769059895928.gal : 3 ms
[2021-05-09 01:09:24] [INFO ] Time to serialize properties into /tmp/LTL15358675299159279191.ltl : 1 ms
Invoking ITS tools like this :CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64, --gc-threshold, 2000000, -i, /tmp/LTL8758409769059895928.gal, -t, CGAL, -LTL, /tmp/LTL15358675299159279191.ltl, -c, -stutter-deadlock], workingDir=/home/mcc/execution]

its-ltl command run as :

/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64 --gc-threshold 2000000 -i /tmp/LTL8758409769059895928.gal -t CGAL -LTL /tmp/LTL15358675299159279191.ltl -c -stutter-deadlock
Read 1 LTL properties
Checking formula 0 : !((G(F("((((u0.CAPACITE_0>=20)&&(i2.u2.VIDANGE_0>=1))||((u0.CAPACITE_0>=20)&&(u3.VIDANGE_1>=1)))&&((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((u3.NB_ATTENTE_B_62>=1)&&(u3.ROUTE_B_0>=1))||((u3.NB_ATTENTE_B_23>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_52>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_33>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_13>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_32>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_42>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_53>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_72>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_4>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_51>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_73>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_34>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_61>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_44>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_14>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_31>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_60>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_43>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_22>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_5>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_1>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_45>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_35>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_79>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_11>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_69>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_64>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_30>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_10>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_70>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_55>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_36>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_2>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_21>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_29>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_80>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_63>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_46>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_12>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_37>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_54>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_71>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_20>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_3>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_18>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_67>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_8>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_47>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_28>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_76>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_27>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_19>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_38>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_57>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_68>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_17>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_78>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_48>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_9>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_26>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_77>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_39>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_56>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_40>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_50>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_65>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_15>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_59>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_25>=1)&&(u3.ROUTE_B_0>=1)))||((i2.u2.NB_ATTENTE_B_0>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_6>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_74>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_7>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_24>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_66>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_49>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_16>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_58>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_41>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_75>=1)&&(u3.ROUTE_B_0>=1))))"))))
Formula 0 simplified : !GF"((((u0.CAPACITE_0>=20)&&(i2.u2.VIDANGE_0>=1))||((u0.CAPACITE_0>=20)&&(u3.VIDANGE_1>=1)))&&((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((u3.NB_ATTENTE_B_62>=1)&&(u3.ROUTE_B_0>=1))||((u3.NB_ATTENTE_B_23>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_52>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_33>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_13>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_32>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_42>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_53>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_72>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_4>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_51>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_73>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_34>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_61>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_44>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_14>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_31>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_60>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_43>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_22>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_5>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_1>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_45>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_35>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_79>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_11>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_69>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_64>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_30>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_10>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_70>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_55>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_36>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_2>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_21>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_29>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_80>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_63>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_46>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_12>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_37>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_54>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_71>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_20>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_3>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_18>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_67>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_8>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_47>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_28>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_76>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_27>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_19>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_38>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_57>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_68>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_17>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_78>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_48>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_9>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_26>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_77>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_39>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_56>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_40>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_50>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_65>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_15>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_59>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_25>=1)&&(u3.ROUTE_B_0>=1)))||((i2.u2.NB_ATTENTE_B_0>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_6>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_74>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_7>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_24>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_66>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_49>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_16>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_58>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_41>=1)&&(u3.ROUTE_B_0>=1)))||((u3.NB_ATTENTE_B_75>=1)&&(u3.ROUTE_B_0>=1))))"
Detected timeout of ITS tools.
Built C files in :
/tmp/ltsmin15821057568489261911
[2021-05-09 01:09:39] [INFO ] Built C files in 143ms conformant to PINS (ltsmin variant)in folder :/tmp/ltsmin15821057568489261911
Running compilation step : CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/include/, -I., -std=c99, -fPIC, -O2, model.c], workingDir=/tmp/ltsmin15821057568489261911]
WARNING : LTS min runner thread failed on error :java.lang.RuntimeException: Compilation or link of executable timed out.java.util.concurrent.TimeoutException: Subprocess running CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/include/, -I., -std=c99, -fPIC, -O2, model.c], workingDir=/tmp/ltsmin15821057568489261911] killed by timeout after 1 SECONDS
java.lang.RuntimeException: Compilation or link of executable timed out.java.util.concurrent.TimeoutException: Subprocess running CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/include/, -I., -std=c99, -fPIC, -O2, model.c], workingDir=/tmp/ltsmin15821057568489261911] killed by timeout after 1 SECONDS
at fr.lip6.move.gal.application.LTSminRunner$1.run(LTSminRunner.java:79)
at java.base/java.lang.Thread.run(Thread.java:834)
Treatment of property BridgeAndVehicles-COL-V80P20N20-00 finished in 44981 ms.
Running Spot : CommandLine [args=[/home/mcc/BenchKit/bin//..//ltl2tgba, --hoaf=tv, -f, !((F(p0)||X(G(p1))))], workingDir=/home/mcc/execution]
Support contains 83 out of 196 places. Attempting structural reductions.
Starting structural reductions, iteration 0 : 196/196 places, 3728/3728 transitions.
Applied a total of 0 rules in 85 ms. Remains 196 /196 variables (removed 0) and now considering 3728/3728 (removed 0) transitions.
[2021-05-09 01:09:40] [INFO ] Flow matrix only has 410 transitions (discarded 3318 similar events)
// Phase 1: matrix 410 rows 196 cols
[2021-05-09 01:09:40] [INFO ] Computed 5 place invariants in 3 ms
[2021-05-09 01:09:41] [INFO ] Dead Transitions using invariants and state equation in 1275 ms returned []
[2021-05-09 01:09:41] [INFO ] Flow matrix only has 410 transitions (discarded 3318 similar events)
// Phase 1: matrix 410 rows 196 cols
[2021-05-09 01:09:41] [INFO ] Computed 5 place invariants in 10 ms
[2021-05-09 01:09:42] [INFO ] Implicit Places using invariants in 391 ms returned []
[2021-05-09 01:09:42] [INFO ] Flow matrix only has 410 transitions (discarded 3318 similar events)
// Phase 1: matrix 410 rows 196 cols
[2021-05-09 01:09:42] [INFO ] Computed 5 place invariants in 5 ms
[2021-05-09 01:09:42] [INFO ] State equation strengthened by 42 read => feed constraints.
[2021-05-09 01:09:44] [INFO ] Implicit Places using invariants and state equation in 2612 ms returned [83, 167]
Discarding 2 places :
Implicit Place search using SMT with State Equation took 3020 ms to find 2 implicit places.
[2021-05-09 01:09:44] [INFO ] Flow matrix only has 410 transitions (discarded 3318 similar events)
// Phase 1: matrix 410 rows 194 cols
[2021-05-09 01:09:44] [INFO ] Computed 5 place invariants in 3 ms
[2021-05-09 01:09:45] [INFO ] Dead Transitions using invariants and state equation in 1187 ms returned []
Starting structural reductions, iteration 1 : 194/196 places, 3728/3728 transitions.
Applied a total of 0 rules in 36 ms. Remains 194 /194 variables (removed 0) and now considering 3728/3728 (removed 0) transitions.
[2021-05-09 01:09:46] [INFO ] Flow matrix only has 410 transitions (discarded 3318 similar events)
// Phase 1: matrix 410 rows 194 cols
[2021-05-09 01:09:46] [INFO ] Computed 5 place invariants in 2 ms
[2021-05-09 01:09:47] [INFO ] Dead Transitions using invariants and state equation in 1095 ms returned []
Finished structural reductions, in 2 iterations. Remains : 194/196 places, 3728/3728 transitions.
Stuttering acceptance computed with spot in 107 ms :[(NOT p0), (AND (NOT p0) (NOT p1)), (AND (NOT p0) (NOT p1))]
Running random walk in product with property : BridgeAndVehicles-COL-V80P20N20-01 automaton TGBA [mat=[[{ cond=(NOT p0), acceptance={0} source=0 dest: 0}], [{ cond=(NOT p0), acceptance={} source=1 dest: 2}], [{ cond=(AND (NOT p0) (NOT p1)), acceptance={} source=2 dest: 0}, { cond=(AND (NOT p0) p1), acceptance={} source=2 dest: 2}]], initial=1, aps=[p0:(GEQ s83 1), p1:(AND (LT s83 1) (OR (LT s146 1) (LT s166 1)) (OR (LT s107 1) (LT s166 1)) (OR (LT s136 1) (LT s166 1)) (OR (LT s117 1) (LT s166 1)) (OR (LT s97 1) (LT s166 1)) (OR (LT s116 1) (LT s166 1)) (OR (LT s126 1) (LT s166 1)) (OR (LT s137 1) (LT s166 1)) (OR (LT s156 1) (LT s166 1)) (OR (LT s88 1) (LT s166 1)) (OR (LT s135 1) (LT s166 1)) (OR (LT s157 1) (LT s166 1)) (OR (LT s118 1) (LT s166 1)) (OR (LT s145 1) (LT s166 1)) (OR (LT s128 1) (LT s166 1)) (OR (LT s98 1) (LT s166 1)) (OR (LT s115 1) (LT s166 1)) (OR (LT s144 1) (LT s166 1)) (OR (LT s127 1) (LT s166 1)) (OR (LT s106 1) (LT s166 1)) (OR (LT s89 1) (LT s166 1)) (OR (LT s85 1) (LT s166 1)) (OR (LT s129 1) (LT s166 1)) (OR (LT s119 1) (LT s166 1)) (OR (LT s163 1) (LT s166 1)) (OR (LT s95 1) (LT s166 1)) (OR (LT s153 1) (LT s166 1)) (OR (LT s148 1) (LT s166 1)) (OR (LT s114 1) (LT s166 1)) (OR (LT s94 1) (LT s166 1)) (OR (LT s154 1) (LT s166 1)) (OR (LT s139 1) (LT s166 1)) (OR (LT s120 1) (LT s166 1)) (OR (LT s86 1) (LT s166 1)) (OR (LT s105 1) (LT s166 1)) (OR (LT s113 1) (LT s166 1)) (OR (LT s164 1) (LT s166 1)) (OR (LT s147 1) (LT s166 1)) (OR (LT s130 1) (LT s166 1)) (OR (LT s96 1) (LT s166 1)) (OR (LT s121 1) (LT s166 1)) (OR (LT s138 1) (LT s166 1)) (OR (LT s155 1) (LT s166 1)) (OR (LT s104 1) (LT s166 1)) (OR (LT s87 1) (LT s166 1)) (OR (LT s102 1) (LT s166 1)) (OR (LT s151 1) (LT s166 1)) (OR (LT s92 1) (LT s166 1)) (OR (LT s131 1) (LT s166 1)) (OR (LT s112 1) (LT s166 1)) (OR (LT s160 1) (LT s166 1)) (OR (LT s111 1) (LT s166 1)) (OR (LT s103 1) (LT s166 1)) (OR (LT s122 1) (LT s166 1)) (OR (LT s141 1) (LT s166 1)) (OR (LT s152 1) (LT s166 1)) (OR (LT s101 1) (LT s166 1)) (OR (LT s162 1) (LT s166 1)) (OR (LT s132 1) (LT s166 1)) (OR (LT s93 1) (LT s166 1)) (OR (LT s110 1) (LT s166 1)) (OR (LT s161 1) (LT s166 1)) (OR (LT s123 1) (LT s166 1)) (OR (LT s140 1) (LT s166 1)) (OR (LT s124 1) (LT s166 1)) (OR (LT s134 1) (LT s166 1)) (OR (LT s149 1) (LT s166 1)) (OR (LT s99 1) (LT s166 1)) (OR (LT s143 1) (LT s166 1)) (OR (LT s109 1) (LT s166 1)) (OR (LT s84 1) (LT s166 1)) (OR (LT s90 1) (LT s166 1)) (OR (LT s158 1) (LT s166 1)) (OR (LT s91 1) (LT s166 1)) (OR (LT s108 1) (LT s166 1)) (OR (LT s150 1) (LT s166 1)) (OR (LT s133 1) (LT s166 1)) (OR (LT s100 1) (LT s166 1)) (OR (LT s142 1) (LT s166 1)) (OR (LT s125 1) (LT s166 1)) (OR (LT s159 1) (LT s166 1)))], nbAcceptance=1, properties=[trans-labels, explicit-labels, trans-acc, deterministic, no-univ-branch, unambiguous, semi-deterministic, very-weak, weak, inherently-weak], stateDesc=[null, null, null][false, false, false]]
Product exploration explored 100000 steps with 3233 reset in 862 ms.
Product exploration explored 100000 steps with 3150 reset in 818 ms.
Knowledge obtained : [(NOT p0), (NOT p1)]
Stuttering acceptance computed with spot in 110 ms :[(NOT p0), (AND (NOT p0) (NOT p1)), (AND (NOT p0) (NOT p1))]
Product exploration explored 100000 steps with 3095 reset in 771 ms.
Product exploration explored 100000 steps with 3013 reset in 739 ms.
Applying partial POR strategy [true, false, true]
Stuttering acceptance computed with spot in 123 ms :[(NOT p0), (AND (NOT p0) (NOT p1)), (AND (NOT p0) (NOT p1))]
Support contains 83 out of 194 places. Attempting structural reductions.
Property had overlarge support with respect to TGBA, discarding it for now.
Starting structural reductions, iteration 0 : 194/194 places, 3728/3728 transitions.
Performed 1 Post agglomeration using F-continuation condition.Transition count delta: 0
Deduced a syphon composed of 1 places in 9 ms
Iterating global reduction 0 with 1 rules applied. Total rules applied 1 place count 194 transition count 3728
Deduced a syphon composed of 1 places in 35 ms
Applied a total of 1 rules in 689 ms. Remains 194 /194 variables (removed 0) and now considering 3728/3728 (removed 0) transitions.
[2021-05-09 01:09:51] [INFO ] Flow matrix only has 410 transitions (discarded 3318 similar events)
// Phase 1: matrix 410 rows 194 cols
[2021-05-09 01:09:51] [INFO ] Computed 5 place invariants in 2 ms
[2021-05-09 01:09:52] [INFO ] Dead Transitions using invariants and state equation in 1169 ms returned []
[2021-05-09 01:09:52] [INFO ] Redundant transitions in 100 ms returned []
[2021-05-09 01:09:52] [INFO ] Flow matrix only has 410 transitions (discarded 3318 similar events)
// Phase 1: matrix 410 rows 194 cols
[2021-05-09 01:09:52] [INFO ] Computed 5 place invariants in 6 ms
[2021-05-09 01:09:54] [INFO ] Dead Transitions using invariants and state equation in 1102 ms returned []
Finished structural reductions, in 1 iterations. Remains : 194/194 places, 3728/3728 transitions.
Product exploration explored 100000 steps with 3399 reset in 1055 ms.
Product exploration explored 100000 steps with 3209 reset in 941 ms.
[2021-05-09 01:09:56] [INFO ] Flatten gal took : 130 ms
[2021-05-09 01:09:56] [INFO ] Flatten gal took : 139 ms
[2021-05-09 01:09:56] [INFO ] Time to serialize gal into /tmp/LTL6620151310476254789.gal : 20 ms
[2021-05-09 01:09:56] [INFO ] Time to serialize properties into /tmp/LTL5396492384193161457.ltl : 1 ms
Invoking ITS tools like this :CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64, --gc-threshold, 2000000, -i, /tmp/LTL6620151310476254789.gal, -t, CGAL, -LTL, /tmp/LTL5396492384193161457.ltl, -c, -stutter-deadlock, --gen-order, FOLLOW], workingDir=/home/mcc/execution]

its-ltl command run as :

/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64 --gc-threshold 2000000 -i /tmp/LTL6620151310476254789.gal -t CGAL -LTL /tmp/LTL5396492384193161457.ltl -c -stutter-deadlock --gen-order FOLLOW
Read 1 LTL properties
Checking formula 0 : !(((F("(SUR_PONT_A_0>=1)"))||(X(G("((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((SUR_PONT_A_0<1)&&((NB_ATTENTE_B_62<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_23<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_52<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_33<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_13<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_32<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_42<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_53<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_72<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_4<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_51<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_73<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_34<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_61<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_44<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_14<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_31<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_60<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_43<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_22<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_5<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_1<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_45<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_35<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_79<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_11<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_69<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_64<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_30<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_10<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_70<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_55<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_36<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_2<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_21<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_29<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_80<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_63<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_46<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_12<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_37<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_54<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_71<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_20<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_3<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_18<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_67<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_8<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_47<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_28<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_76<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_27<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_19<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_38<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_57<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_68<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_17<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_78<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_48<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_9<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_26<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_77<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_39<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_56<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_40<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_50<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_65<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_15<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_59<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_25<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_0<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_6<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_74<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_7<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_24<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_66<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_49<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_16<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_58<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_41<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_75<1)||(ROUTE_B_0<1)))")))))
Formula 0 simplified : !(F"(SUR_PONT_A_0>=1)" | XG"((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((SUR_PONT_A_0<1)&&((NB_ATTENTE_B_62<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_23<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_52<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_33<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_13<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_32<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_42<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_53<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_72<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_4<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_51<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_73<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_34<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_61<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_44<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_14<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_31<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_60<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_43<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_22<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_5<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_1<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_45<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_35<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_79<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_11<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_69<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_64<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_30<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_10<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_70<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_55<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_36<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_2<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_21<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_29<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_80<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_63<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_46<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_12<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_37<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_54<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_71<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_20<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_3<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_18<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_67<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_8<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_47<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_28<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_76<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_27<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_19<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_38<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_57<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_68<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_17<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_78<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_48<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_9<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_26<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_77<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_39<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_56<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_40<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_50<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_65<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_15<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_59<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_25<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_0<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_6<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_74<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_7<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_24<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_66<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_49<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_16<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_58<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_41<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_75<1)||(ROUTE_B_0<1)))")
Detected timeout of ITS tools.
[2021-05-09 01:10:11] [INFO ] Flatten gal took : 180 ms
[2021-05-09 01:10:11] [INFO ] Applying decomposition
[2021-05-09 01:10:12] [INFO ] Flatten gal took : 165 ms
Converted graph to binary with : CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.louvain.binaries_1.0.0.202104292328/bin/convert-linux64, -i, /tmp/graph818854296545423049.txt, -o, /tmp/graph818854296545423049.bin, -w, /tmp/graph818854296545423049.weights], workingDir=null]
Built communities with : CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.louvain.binaries_1.0.0.202104292328/bin/louvain-linux64, /tmp/graph818854296545423049.bin, -l, -1, -v, -w, /tmp/graph818854296545423049.weights, -q, 0, -e, 0.001], workingDir=null]
[2021-05-09 01:10:12] [INFO ] Decomposing Gal with order
[2021-05-09 01:10:12] [INFO ] Rewriting arrays to variables to allow decomposition.
[2021-05-09 01:10:14] [INFO ] Removed a total of 6953 redundant transitions.
[2021-05-09 01:10:14] [INFO ] Flatten gal took : 1515 ms
[2021-05-09 01:10:14] [INFO ] Fuse similar labels procedure discarded/fused a total of 477 labels/synchronizations in 38 ms.
[2021-05-09 01:10:14] [INFO ] Time to serialize gal into /tmp/LTL7807944837972651494.gal : 2 ms
[2021-05-09 01:10:14] [INFO ] Time to serialize properties into /tmp/LTL11866839824719803190.ltl : 1 ms
Invoking ITS tools like this :CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64, --gc-threshold, 2000000, -i, /tmp/LTL7807944837972651494.gal, -t, CGAL, -LTL, /tmp/LTL11866839824719803190.ltl, -c, -stutter-deadlock], workingDir=/home/mcc/execution]

its-ltl command run as :

/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64 --gc-threshold 2000000 -i /tmp/LTL7807944837972651494.gal -t CGAL -LTL /tmp/LTL11866839824719803190.ltl -c -stutter-deadlock
Read 1 LTL properties
Checking formula 0 : !(((F("(u0.SUR_PONT_A_0>=1)"))||(X(G("((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((u0.SUR_PONT_A_0<1)&&((u3.NB_ATTENTE_B_62<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_23<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_52<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_33<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_13<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_32<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_42<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_53<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_72<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_4<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_51<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_73<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_34<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_61<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_44<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_14<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_31<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_60<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_43<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_22<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_5<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_1<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_45<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_35<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_79<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_11<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_69<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_64<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_30<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_10<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_70<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_55<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_36<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_2<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_21<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_29<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_80<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_63<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_46<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_12<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_37<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_54<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_71<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_20<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_3<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_18<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_67<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_8<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_47<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_28<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_76<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_27<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_19<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_38<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_57<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_68<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_17<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_78<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_48<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_9<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_26<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_77<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_39<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_56<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_40<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_50<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_65<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_15<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_59<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_25<1)||(u3.ROUTE_B_0<1)))&&((i1.u2.NB_ATTENTE_B_0<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_6<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_74<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_7<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_24<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_66<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_49<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_16<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_58<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_41<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_75<1)||(u3.ROUTE_B_0<1)))")))))
Formula 0 simplified : !(F"(u0.SUR_PONT_A_0>=1)" | XG"((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((u0.SUR_PONT_A_0<1)&&((u3.NB_ATTENTE_B_62<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_23<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_52<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_33<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_13<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_32<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_42<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_53<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_72<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_4<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_51<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_73<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_34<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_61<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_44<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_14<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_31<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_60<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_43<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_22<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_5<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_1<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_45<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_35<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_79<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_11<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_69<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_64<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_30<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_10<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_70<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_55<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_36<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_2<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_21<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_29<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_80<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_63<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_46<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_12<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_37<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_54<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_71<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_20<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_3<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_18<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_67<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_8<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_47<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_28<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_76<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_27<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_19<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_38<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_57<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_68<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_17<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_78<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_48<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_9<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_26<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_77<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_39<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_56<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_40<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_50<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_65<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_15<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_59<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_25<1)||(u3.ROUTE_B_0<1)))&&((i1.u2.NB_ATTENTE_B_0<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_6<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_74<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_7<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_24<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_66<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_49<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_16<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_58<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_41<1)||(u3.ROUTE_B_0<1)))&&((u3.NB_ATTENTE_B_75<1)||(u3.ROUTE_B_0<1)))")
Detected timeout of ITS tools.
Built C files in :
/tmp/ltsmin11707538898589815446
[2021-05-09 01:10:29] [INFO ] Built C files in 59ms conformant to PINS (ltsmin variant)in folder :/tmp/ltsmin11707538898589815446
Running compilation step : CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/include/, -I., -std=c99, -fPIC, -O2, model.c], workingDir=/tmp/ltsmin11707538898589815446]
WARNING : LTS min runner thread failed on error :java.lang.RuntimeException: Compilation or link of executable timed out.java.util.concurrent.TimeoutException: Subprocess running CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/include/, -I., -std=c99, -fPIC, -O2, model.c], workingDir=/tmp/ltsmin11707538898589815446] killed by timeout after 1 SECONDS
java.lang.RuntimeException: Compilation or link of executable timed out.java.util.concurrent.TimeoutException: Subprocess running CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/include/, -I., -std=c99, -fPIC, -O2, model.c], workingDir=/tmp/ltsmin11707538898589815446] killed by timeout after 1 SECONDS
at fr.lip6.move.gal.application.LTSminRunner$1.run(LTSminRunner.java:79)
at java.base/java.lang.Thread.run(Thread.java:834)
Treatment of property BridgeAndVehicles-COL-V80P20N20-01 finished in 50146 ms.
Running Spot : CommandLine [args=[/home/mcc/BenchKit/bin//..//ltl2tgba, --hoaf=tv, -f, !(F(p0))], workingDir=/home/mcc/execution]
Support contains 1 out of 196 places. Attempting structural reductions.
Starting structural reductions, iteration 0 : 196/196 places, 3728/3728 transitions.
Performed 1 Post agglomeration using F-continuation condition.Transition count delta: 1
Deduced a syphon composed of 1 places in 11 ms
Reduce places removed 1 places and 0 transitions.
Iterating global reduction 0 with 2 rules applied. Total rules applied 2 place count 195 transition count 3727
Applied a total of 2 rules in 1169 ms. Remains 195 /196 variables (removed 1) and now considering 3727/3728 (removed 1) transitions.
[2021-05-09 01:10:31] [INFO ] Flow matrix only has 409 transitions (discarded 3318 similar events)
// Phase 1: matrix 409 rows 195 cols
[2021-05-09 01:10:31] [INFO ] Computed 5 place invariants in 2 ms
[2021-05-09 01:10:34] [INFO ] Dead Transitions using invariants and state equation in 2738 ms returned []
[2021-05-09 01:10:34] [INFO ] Flow matrix only has 409 transitions (discarded 3318 similar events)
// Phase 1: matrix 409 rows 195 cols
[2021-05-09 01:10:34] [INFO ] Computed 5 place invariants in 2 ms
[2021-05-09 01:10:36] [INFO ] Implicit Places using invariants in 2404 ms returned []
[2021-05-09 01:10:36] [INFO ] Flow matrix only has 409 transitions (discarded 3318 similar events)
// Phase 1: matrix 409 rows 195 cols
[2021-05-09 01:10:36] [INFO ] Computed 5 place invariants in 3 ms
[2021-05-09 01:10:37] [INFO ] State equation strengthened by 42 read => feed constraints.
[2021-05-09 01:10:38] [INFO ] Implicit Places using invariants and state equation in 2018 ms returned [83, 166]
Discarding 2 places :
Implicit Place search using SMT with State Equation took 4445 ms to find 2 implicit places.
[2021-05-09 01:10:39] [INFO ] Redundant transitions in 143 ms returned []
[2021-05-09 01:10:39] [INFO ] Flow matrix only has 409 transitions (discarded 3318 similar events)
// Phase 1: matrix 409 rows 193 cols
[2021-05-09 01:10:39] [INFO ] Computed 5 place invariants in 4 ms
[2021-05-09 01:10:40] [INFO ] Dead Transitions using invariants and state equation in 1163 ms returned []
Starting structural reductions, iteration 1 : 193/196 places, 3727/3728 transitions.
Applied a total of 0 rules in 558 ms. Remains 193 /193 variables (removed 0) and now considering 3727/3727 (removed 0) transitions.
[2021-05-09 01:10:40] [INFO ] Redundant transitions in 93 ms returned []
[2021-05-09 01:10:40] [INFO ] Flow matrix only has 409 transitions (discarded 3318 similar events)
// Phase 1: matrix 409 rows 193 cols
[2021-05-09 01:10:40] [INFO ] Computed 5 place invariants in 3 ms
[2021-05-09 01:10:42] [INFO ] Dead Transitions using invariants and state equation in 1682 ms returned []
Finished structural reductions, in 2 iterations. Remains : 193/196 places, 3727/3728 transitions.
Stuttering acceptance computed with spot in 51 ms :[(NOT p0)]
Running random walk in product with property : BridgeAndVehicles-COL-V80P20N20-03 automaton TGBA [mat=[[{ cond=(NOT p0), acceptance={0} source=0 dest: 0}]], initial=0, aps=[p0:(GEQ s83 1)], nbAcceptance=1, properties=[trans-labels, explicit-labels, trans-acc, colored, deterministic, no-univ-branch, unambiguous, semi-deterministic, stutter-invariant, very-weak, weak, inherently-weak], stateDesc=[null][true]]
Product exploration explored 100000 steps with 3324 reset in 705 ms.
Product exploration explored 100000 steps with 3414 reset in 695 ms.
Knowledge obtained : [(NOT p0)]
Stuttering acceptance computed with spot in 32 ms :[(NOT p0)]
Product exploration explored 100000 steps with 3408 reset in 819 ms.
Product exploration explored 100000 steps with 3422 reset in 731 ms.
[2021-05-09 01:10:46] [INFO ] Flatten gal took : 221 ms
[2021-05-09 01:10:46] [INFO ] Flatten gal took : 157 ms
[2021-05-09 01:10:46] [INFO ] Time to serialize gal into /tmp/LTL10357739045445190684.gal : 26 ms
[2021-05-09 01:10:46] [INFO ] Time to serialize properties into /tmp/LTL9998930533299966951.ltl : 0 ms
Invoking ITS tools like this :CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64, --gc-threshold, 2000000, -i, /tmp/LTL10357739045445190684.gal, -t, CGAL, -LTL, /tmp/LTL9998930533299966951.ltl, -c, -stutter-deadlock, --gen-order, FOLLOW], workingDir=/home/mcc/execution]

its-ltl command run as :

/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64 --gc-threshold 2000000 -i /tmp/LTL10357739045445190684.gal -t CGAL -LTL /tmp/LTL9998930533299966951.ltl -c -stutter-deadlock --gen-order FOLLOW
Read 1 LTL properties
Checking formula 0 : !((F("(SUR_PONT_A_0>=1)")))
Formula 0 simplified : !F"(SUR_PONT_A_0>=1)"
Detected timeout of ITS tools.
[2021-05-09 01:11:01] [INFO ] Flatten gal took : 167 ms
[2021-05-09 01:11:01] [INFO ] Applying decomposition
[2021-05-09 01:11:01] [INFO ] Flatten gal took : 162 ms
Converted graph to binary with : CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.louvain.binaries_1.0.0.202104292328/bin/convert-linux64, -i, /tmp/graph1647049902411130635.txt, -o, /tmp/graph1647049902411130635.bin, -w, /tmp/graph1647049902411130635.weights], workingDir=null]
Built communities with : CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.louvain.binaries_1.0.0.202104292328/bin/louvain-linux64, /tmp/graph1647049902411130635.bin, -l, -1, -v, -w, /tmp/graph1647049902411130635.weights, -q, 0, -e, 0.001], workingDir=null]
[2021-05-09 01:11:02] [INFO ] Decomposing Gal with order
[2021-05-09 01:11:02] [INFO ] Rewriting arrays to variables to allow decomposition.
[2021-05-09 01:11:03] [INFO ] Removed a total of 6953 redundant transitions.
[2021-05-09 01:11:03] [INFO ] Flatten gal took : 1187 ms
[2021-05-09 01:11:03] [INFO ] Fuse similar labels procedure discarded/fused a total of 477 labels/synchronizations in 53 ms.
[2021-05-09 01:11:03] [INFO ] Time to serialize gal into /tmp/LTL6317939700814049789.gal : 25 ms
[2021-05-09 01:11:03] [INFO ] Time to serialize properties into /tmp/LTL15186599331219440300.ltl : 0 ms
Invoking ITS tools like this :CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64, --gc-threshold, 2000000, -i, /tmp/LTL6317939700814049789.gal, -t, CGAL, -LTL, /tmp/LTL15186599331219440300.ltl, -c, -stutter-deadlock], workingDir=/home/mcc/execution]

its-ltl command run as :

/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64 --gc-threshold 2000000 -i /tmp/LTL6317939700814049789.gal -t CGAL -LTL /tmp/LTL15186599331219440300.ltl -c -stutter-deadlock
Read 1 LTL properties
Checking formula 0 : !((F("(u1.SUR_PONT_A_0>=1)")))
Formula 0 simplified : !F"(u1.SUR_PONT_A_0>=1)"
Detected timeout of ITS tools.
Built C files in :
/tmp/ltsmin12537834932965279811
[2021-05-09 01:11:18] [INFO ] Built C files in 53ms conformant to PINS (ltsmin variant)in folder :/tmp/ltsmin12537834932965279811
Running compilation step : CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/include/, -I., -std=c99, -fPIC, -O2, model.c], workingDir=/tmp/ltsmin12537834932965279811]
WARNING : LTS min runner thread failed on error :java.lang.RuntimeException: Compilation or link of executable timed out.java.util.concurrent.TimeoutException: Subprocess running CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/include/, -I., -std=c99, -fPIC, -O2, model.c], workingDir=/tmp/ltsmin12537834932965279811] killed by timeout after 1 SECONDS
java.lang.RuntimeException: Compilation or link of executable timed out.java.util.concurrent.TimeoutException: Subprocess running CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/include/, -I., -std=c99, -fPIC, -O2, model.c], workingDir=/tmp/ltsmin12537834932965279811] killed by timeout after 1 SECONDS
at fr.lip6.move.gal.application.LTSminRunner$1.run(LTSminRunner.java:79)
at java.base/java.lang.Thread.run(Thread.java:834)
Treatment of property BridgeAndVehicles-COL-V80P20N20-03 finished in 49283 ms.
Running Spot : CommandLine [args=[/home/mcc/BenchKit/bin//..//ltl2tgba, --hoaf=tv, -f, !(G((F((p0||G(p1)||G(p2)))&&F(p2))))], workingDir=/home/mcc/execution]
Support contains 166 out of 196 places. Attempting structural reductions.
Starting structural reductions, iteration 0 : 196/196 places, 3728/3728 transitions.
Applied a total of 0 rules in 76 ms. Remains 196 /196 variables (removed 0) and now considering 3728/3728 (removed 0) transitions.
[2021-05-09 01:11:19] [INFO ] Flow matrix only has 410 transitions (discarded 3318 similar events)
// Phase 1: matrix 410 rows 196 cols
[2021-05-09 01:11:19] [INFO ] Computed 5 place invariants in 2 ms
[2021-05-09 01:11:21] [INFO ] Dead Transitions using invariants and state equation in 1773 ms returned []
[2021-05-09 01:11:21] [INFO ] Flow matrix only has 410 transitions (discarded 3318 similar events)
// Phase 1: matrix 410 rows 196 cols
[2021-05-09 01:11:21] [INFO ] Computed 5 place invariants in 1 ms
[2021-05-09 01:11:21] [INFO ] Implicit Places using invariants in 186 ms returned []
[2021-05-09 01:11:21] [INFO ] Flow matrix only has 410 transitions (discarded 3318 similar events)
// Phase 1: matrix 410 rows 196 cols
[2021-05-09 01:11:21] [INFO ] Computed 5 place invariants in 6 ms
[2021-05-09 01:11:22] [INFO ] State equation strengthened by 42 read => feed constraints.
[2021-05-09 01:11:22] [INFO ] Implicit Places using invariants and state equation in 911 ms returned []
Implicit Place search using SMT with State Equation took 1115 ms to find 0 implicit places.
[2021-05-09 01:11:22] [INFO ] Redundant transitions in 158 ms returned []
[2021-05-09 01:11:23] [INFO ] Flow matrix only has 410 transitions (discarded 3318 similar events)
// Phase 1: matrix 410 rows 196 cols
[2021-05-09 01:11:23] [INFO ] Computed 5 place invariants in 2 ms
[2021-05-09 01:11:24] [INFO ] Dead Transitions using invariants and state equation in 1534 ms returned []
Finished structural reductions, in 1 iterations. Remains : 196/196 places, 3728/3728 transitions.
Stuttering acceptance computed with spot in 151 ms :[(NOT p2), (AND (NOT p0) (NOT p1) (NOT p2)), (NOT p2)]
Running random walk in product with property : BridgeAndVehicles-COL-V80P20N20-04 automaton TGBA [mat=[[{ cond=true, acceptance={} source=0 dest: 0}, { cond=(OR (AND (NOT p0) (NOT p1)) (AND (NOT p0) (NOT p2))), acceptance={} source=0 dest: 1}, { cond=(NOT p2), acceptance={} source=0 dest: 2}], [{ cond=(AND (NOT p0) (NOT p1) (NOT p2)), acceptance={0, 1} source=1 dest: 1}, { cond=(AND (NOT p0) (NOT p1) p2), acceptance={0} source=1 dest: 1}, { cond=(AND (NOT p0) p1 (NOT p2)), acceptance={1} source=1 dest: 1}, { cond=(AND (NOT p0) p1 p2), acceptance={} source=1 dest: 1}], [{ cond=(NOT p2), acceptance={0, 1} source=2 dest: 2}]], initial=0, aps=[p0:(GEQ s166 1), p1:(OR (AND (GEQ s0 1) (GEQ s73 1) (GEQ s83 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s18 1) (GEQ s83 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s49 1) (GEQ s83 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s25 1) (GEQ s83 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s56 1) (GEQ s83 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s28 1) (GEQ s83 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s59 1) (GEQ s83 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s11 1) (GEQ s83 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s42 1) (GEQ s83 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s15 1) (GEQ s83 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s21 1) (GEQ s83 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s8 1) (GEQ s83 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s39 1) (GEQ s83 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s70 1) (GEQ s83 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s52 1) (GEQ s83 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s62 1) (GEQ s83 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s80 1) (GEQ s83 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s31 1) (GEQ s83 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s24 1) (GEQ s83 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s79 1) (GEQ s83 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s36 1) (GEQ s83 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s60 1) (GEQ s83 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s43 1) (GEQ s83 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s72 1) (GEQ s83 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s50 1) (GEQ s83 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s10 1) (GEQ s83 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s7 1) (GEQ s83 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s46 1) (GEQ s83 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s33 1) (GEQ s83 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s27 1) (GEQ s83 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s76 1) (GEQ s83 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s14 1) (GEQ s83 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s63 1) (GEQ s83 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s69 1) (GEQ s83 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s4 1) (GEQ s83 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s53 1) (GEQ s83 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s17 1) (GEQ s83 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s40 1) (GEQ s83 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s66 1) (GEQ s83 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s30 1) (GEQ s83 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s6 1) (GEQ s83 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s61 1) (GEQ s83 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s78 1) (GEQ s83 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s23 1) (GEQ s83 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s54 1) (GEQ s83 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s47 1) (GEQ s83 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s16 1) (GEQ s83 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s68 1) (GEQ s83 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s37 1) (GEQ s83 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s20 1) (GEQ s83 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s51 1) (GEQ s83 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s64 1) (GEQ s83 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s3 1) (GEQ s83 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s34 1) (GEQ s83 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s65 1) (GEQ s83 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s26 1) (GEQ s83 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s57 1) (GEQ s83 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s13 1) (GEQ s83 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s44 1) (GEQ s83 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s75 1) (GEQ s83 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s67 1) (GEQ s83 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s48 1) (GEQ s83 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s5 1) (GEQ s83 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s74 1) (GEQ s83 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s12 1) (GEQ s83 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s41 1) (GEQ s83 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s19 1) (GEQ s83 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s29 1) (GEQ s83 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s81 1) (GEQ s83 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s38 1) (GEQ s83 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s77 1) (GEQ s83 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s55 1) (GEQ s83 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s2 1) (GEQ s83 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s9 1) (GEQ s83 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s58 1) (GEQ s83 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s32 1) (GEQ s83 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s45 1) (GEQ s83 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s71 1) (GEQ s83 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s22 1) (GEQ s83 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s35 1) (GEQ s83 1) (GEQ s169 1))), p2:(OR (AND (GEQ s0 1) (GEQ s106 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s0 1) (GEQ s137 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s0 1) (GEQ s130 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s0 1) (GEQ s161 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s0 1) (GEQ s154 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s0 1) (GEQ s99 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s0 1) (GEQ s96 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s0 1) (GEQ s127 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s0 1) (GEQ s144 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s0 1) (GEQ s113 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s0 1) (GEQ s92 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s0 1) (GEQ s141 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s0 1) (GEQ s110 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s0 1) (GEQ s123 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s0 1) (GEQ s102 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s0 1) (GEQ s151 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s0 1) (GEQ s89 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s0 1) (GEQ s120 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s0 1) (GEQ s133 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s0 1) (GEQ s164 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s0 1) (GEQ s119 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s0 1) (GEQ s112 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s0 1) (GEQ s145 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s0 1) (GEQ s126 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s0 1) (GEQ s136 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s0 1) (GEQ s86 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s0 1) (GEQ s148 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s0 1) (GEQ s109 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s0 1) (GEQ s100 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s0 1) (GEQ s162 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s0 1) (GEQ s122 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s0 1) (GEQ s116 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s0 1) (GEQ s158 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s0 1) (GEQ s103 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s0 1) (GEQ s152 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s0 1) (GEQ s165 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s0 1) (GEQ s90 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s0 1) (GEQ s93 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s0 1) (GEQ s129 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s0 1) (GEQ s155 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s0 1) (GEQ s142 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s0 1) (GEQ s125 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s0 1) (GEQ s94 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s0 1) (GEQ s149 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s0 1) (GEQ s156 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s0 1) (GEQ s101 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s0 1) (GEQ s132 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s0 1) (GEQ s163 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s0 1) (GEQ s108 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s0 1) (GEQ s87 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s0 1) (GEQ s139 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s0 1) (GEQ s118 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s0 1) (GEQ s104 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s0 1) (GEQ s135 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s0 1) (GEQ s91 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s0 1) (GEQ s128 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s0 1) (GEQ s97 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s0 1) (GEQ s146 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s0 1) (GEQ s159 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s0 1) (GEQ s138 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s0 1) (GEQ s115 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s0 1) (GEQ s131 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s0 1) (GEQ s88 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s0 1) (GEQ s107 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s0 1) (GEQ s150 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s0 1) (GEQ s143 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s0 1) (GEQ s105 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s0 1) (GEQ s157 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s0 1) (GEQ s114 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s0 1) (GEQ s117 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s0 1) (GEQ s95 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s0 1) (GEQ s153 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s0 1) (GEQ s134 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s0 1) (GEQ s140 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s0 1) (GEQ s121 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s0 1) (GEQ s147 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s0 1) (GEQ s160 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s0 1) (GEQ s98 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s0 1) (GEQ s124 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s0 1) (GEQ s111 1) (GEQ s167 1) (GEQ s170 1)))], nbAcceptance=2, properties=[trans-labels, explicit-labels, trans-acc, no-univ-branch, stutter-invariant], stateDesc=[null, null, null][true, true, true]]
Product exploration explored 100000 steps with 931 reset in 2039 ms.
Product exploration explored 100000 steps with 885 reset in 2092 ms.
Knowledge obtained : [(NOT p0), (NOT p1), (NOT p2)]
Stuttering acceptance computed with spot in 170 ms :[(NOT p2), (AND (NOT p0) (NOT p1) (NOT p2)), (NOT p2)]
Product exploration explored 100000 steps with 878 reset in 2059 ms.
Product exploration explored 100000 steps with 879 reset in 2198 ms.
[2021-05-09 01:11:33] [INFO ] Flatten gal took : 139 ms
[2021-05-09 01:11:34] [INFO ] Flatten gal took : 176 ms
[2021-05-09 01:11:34] [INFO ] Time to serialize gal into /tmp/LTL17273950744470646634.gal : 33 ms
[2021-05-09 01:11:34] [INFO ] Time to serialize properties into /tmp/LTL7944215876965659066.ltl : 3 ms
Invoking ITS tools like this :CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64, --gc-threshold, 2000000, -i, /tmp/LTL17273950744470646634.gal, -t, CGAL, -LTL, /tmp/LTL7944215876965659066.ltl, -c, -stutter-deadlock, --gen-order, FOLLOW], workingDir=/home/mcc/execution]

its-ltl command run as :

/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64 --gc-threshold 2000000 -i /tmp/LTL17273950744470646634.gal -t CGAL -LTL /tmp/LTL7944215876965659066.ltl -c -stutter-deadlock --gen-order FOLLOW
Read 1 LTL properties
Checking formula 0 : !((G((F((("(SUR_PONT_B_0>=1)")||(G("(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((CAPACITE_0>=1)&&(NB_ATTENTE_A_72>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_17>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_48>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_24>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_55>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_27>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_58>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_10>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_41>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_14>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_20>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_7>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_38>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_69>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_51>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_61>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_79>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_30>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_23>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_78>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_35>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_59>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_42>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_71>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_49>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_9>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_6>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_45>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_32>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_26>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_75>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_13>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_62>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_68>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_3>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_52>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_16>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_39>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_65>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_29>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_5>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_60>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_77>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_22>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_53>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_46>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_15>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_67>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_36>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_19>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_50>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_63>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_2>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_33>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_64>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_25>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_56>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_12>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_43>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_74>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_66>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_47>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_4>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_73>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_11>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_40>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_18>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_28>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_80>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_37>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_76>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_54>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_1>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_8>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_57>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_31>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_44>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_70>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_21>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_34>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))")))||(G("(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((CAPACITE_0>=1)&&(NB_ATTENTE_B_21>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_52>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_45>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_76>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_69>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_14>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_11>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_42>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_59>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_28>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_7>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_56>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_25>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_38>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_17>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_66>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_4>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_35>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_48>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_79>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_34>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_27>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_60>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_41>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_51>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_1>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_63>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_24>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_15>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_77>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_37>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_31>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_73>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_18>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_67>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_80>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_5>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_8>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_44>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_70>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_57>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_40>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_9>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_64>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_71>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_16>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_47>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_78>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_23>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_2>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_54>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_33>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_19>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_50>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_6>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_43>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_12>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_61>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_74>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_53>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_30>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_46>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_3>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_22>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_65>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_58>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_20>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_72>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_29>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_32>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_10>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_68>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_49>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_55>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_36>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_62>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_75>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_13>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_39>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_26>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))"))))&&(F("(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((CAPACITE_0>=1)&&(NB_ATTENTE_B_21>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_52>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_45>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_76>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_69>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_14>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_11>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_42>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_59>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_28>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_7>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_56>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_25>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_38>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_17>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_66>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_4>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_35>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_48>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_79>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_34>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_27>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_60>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_41>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_51>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_1>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_63>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_24>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_15>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_77>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_37>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_31>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_73>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_18>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_67>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_80>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_5>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_8>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_44>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_70>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_57>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_40>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_9>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_64>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_71>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_16>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_47>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_78>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_23>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_2>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_54>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_33>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_19>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_50>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_6>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_43>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_12>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_61>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_74>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_53>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_30>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_46>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_3>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_22>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_65>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_58>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_20>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_72>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_29>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_32>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_10>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_68>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_49>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_55>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_36>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_62>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_75>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_13>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_39>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_26>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))")))))
Formula 0 simplified : !G(F("(SUR_PONT_B_0>=1)" | G"(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((CAPACITE_0>=1)&&(NB_ATTENTE_A_72>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_17>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_48>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_24>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_55>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_27>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_58>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_10>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_41>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_14>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_20>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_7>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_38>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_69>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_51>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_61>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_79>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_30>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_23>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_78>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_35>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_59>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_42>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_71>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_49>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_9>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_6>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_45>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_32>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_26>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_75>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_13>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_62>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_68>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_3>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_52>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_16>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_39>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_65>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_29>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_5>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_60>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_77>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_22>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_53>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_46>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_15>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_67>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_36>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_19>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_50>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_63>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_2>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_33>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_64>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_25>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_56>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_12>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_43>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_74>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_66>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_47>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_4>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_73>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_11>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_40>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_18>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_28>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_80>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_37>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_76>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_54>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_1>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_8>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_57>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_31>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_44>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_70>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_21>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_34>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))" | G"(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((CAPACITE_0>=1)&&(NB_ATTENTE_B_21>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_52>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_45>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_76>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_69>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_14>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_11>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_42>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_59>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_28>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_7>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_56>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_25>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_38>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_17>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_66>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_4>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_35>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_48>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_79>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_34>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_27>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_60>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_41>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_51>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_1>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_63>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_24>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_15>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_77>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_37>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_31>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_73>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_18>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_67>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_80>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_5>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_8>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_44>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_70>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_57>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_40>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_9>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_64>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_71>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_16>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_47>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_78>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_23>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_2>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_54>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_33>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_19>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_50>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_6>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_43>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_12>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_61>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_74>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_53>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_30>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_46>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_3>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_22>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_65>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_58>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_20>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_72>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_29>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_32>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_10>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_68>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_49>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_55>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_36>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_62>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_75>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_13>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_39>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_26>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))") & F"(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((CAPACITE_0>=1)&&(NB_ATTENTE_B_21>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_52>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_45>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_76>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_69>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_14>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_11>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_42>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_59>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_28>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_7>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_56>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_25>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_38>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_17>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_66>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_4>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_35>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_48>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_79>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_34>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_27>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_60>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_41>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_51>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_1>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_63>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_24>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_15>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_77>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_37>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_31>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_73>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_18>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_67>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_80>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_5>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_8>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_44>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_70>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_57>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_40>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_9>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_64>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_71>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_16>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_47>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_78>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_23>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_2>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_54>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_33>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_19>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_50>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_6>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_43>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_12>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_61>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_74>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_53>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_30>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_46>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_3>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_22>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_65>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_58>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_20>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_72>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_29>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_32>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_10>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_68>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_49>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_55>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_36>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_62>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_75>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_13>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_39>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_26>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))")
Detected timeout of ITS tools.
[2021-05-09 01:11:49] [INFO ] Flatten gal took : 115 ms
[2021-05-09 01:11:49] [INFO ] Applying decomposition
[2021-05-09 01:11:49] [INFO ] Flatten gal took : 115 ms
Converted graph to binary with : CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.louvain.binaries_1.0.0.202104292328/bin/convert-linux64, -i, /tmp/graph17477576884429843612.txt, -o, /tmp/graph17477576884429843612.bin, -w, /tmp/graph17477576884429843612.weights], workingDir=null]
Built communities with : CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.louvain.binaries_1.0.0.202104292328/bin/louvain-linux64, /tmp/graph17477576884429843612.bin, -l, -1, -v, -w, /tmp/graph17477576884429843612.weights, -q, 0, -e, 0.001], workingDir=null]
[2021-05-09 01:11:49] [INFO ] Decomposing Gal with order
[2021-05-09 01:11:49] [INFO ] Rewriting arrays to variables to allow decomposition.
[2021-05-09 01:11:52] [INFO ] Removed a total of 7271 redundant transitions.
[2021-05-09 01:11:52] [INFO ] Flatten gal took : 2493 ms
[2021-05-09 01:11:52] [INFO ] Fuse similar labels procedure discarded/fused a total of 873 labels/synchronizations in 61 ms.
[2021-05-09 01:11:52] [INFO ] Time to serialize gal into /tmp/LTL1187896151066298657.gal : 2 ms
[2021-05-09 01:11:52] [INFO ] Time to serialize properties into /tmp/LTL8306869386932608481.ltl : 11 ms
Invoking ITS tools like this :CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64, --gc-threshold, 2000000, -i, /tmp/LTL1187896151066298657.gal, -t, CGAL, -LTL, /tmp/LTL8306869386932608481.ltl, -c, -stutter-deadlock], workingDir=/home/mcc/execution]

its-ltl command run as :

/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64 --gc-threshold 2000000 -i /tmp/LTL1187896151066298657.gal -t CGAL -LTL /tmp/LTL8306869386932608481.ltl -c -stutter-deadlock
Read 1 LTL properties
Checking formula 0 : !((G((F((("(i1.u2.SUR_PONT_B_0>=1)")||(G("(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_72>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_17>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_48>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_24>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_55>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_27>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_58>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_10>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_41>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_14>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_20>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_7>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_38>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_69>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_51>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_61>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_79>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_30>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_23>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_78>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_35>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_59>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_42>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_71>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_49>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_9>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_6>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_45>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_32>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_26>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_75>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_13>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_62>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_68>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_3>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_52>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_16>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_39>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_65>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_29>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_5>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_60>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_77>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_22>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_53>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_46>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_15>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_67>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_36>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_19>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_50>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_63>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_2>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_33>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_64>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_25>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_56>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_12>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_43>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_74>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_66>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_47>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_4>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_73>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_11>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_40>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_18>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_28>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_80>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_37>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_76>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_54>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_1>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_8>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_57>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_31>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_44>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_70>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_21>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_34>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))")))||(G("(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_21>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_52>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_45>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_76>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_69>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_14>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_11>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_42>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_59>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_28>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_7>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_56>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_25>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_38>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_17>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_66>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_4>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_35>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_48>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_79>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_34>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_27>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_60>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_41>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_51>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_1>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_63>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_24>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_15>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_77>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_37>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_31>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_73>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_18>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_67>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_80>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_5>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_8>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_44>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_70>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_57>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_40>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_9>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_64>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_71>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_16>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_47>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_78>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_23>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_2>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_54>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_33>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_19>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_50>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_6>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_43>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_12>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_61>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_74>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_53>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_30>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_46>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_3>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_22>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_65>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_58>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_20>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_72>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_29>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_32>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_10>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_68>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_49>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_55>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_36>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_62>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_75>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_13>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_39>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_26>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))"))))&&(F("(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_21>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_52>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_45>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_76>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_69>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_14>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_11>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_42>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_59>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_28>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_7>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_56>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_25>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_38>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_17>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_66>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_4>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_35>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_48>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_79>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_34>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_27>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_60>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_41>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_51>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_1>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_63>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_24>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_15>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_77>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_37>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_31>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_73>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_18>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_67>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_80>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_5>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_8>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_44>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_70>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_57>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_40>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_9>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_64>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_71>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_16>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_47>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_78>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_23>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_2>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_54>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_33>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_19>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_50>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_6>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_43>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_12>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_61>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_74>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_53>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_30>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_46>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_3>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_22>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_65>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_58>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_20>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_72>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_29>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_32>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_10>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_68>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_49>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_55>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_36>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_62>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_75>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_13>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_39>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_26>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))")))))
Formula 0 simplified : !G(F("(i1.u2.SUR_PONT_B_0>=1)" | G"(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_72>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_17>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_48>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_24>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_55>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_27>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_58>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_10>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_41>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_14>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_20>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_7>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_38>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_69>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_51>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_61>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_79>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_30>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_23>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_78>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_35>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_59>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_42>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_71>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_49>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_9>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_6>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_45>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_32>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_26>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_75>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_13>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_62>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_68>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_3>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_52>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_16>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_39>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_65>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_29>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_5>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_60>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_77>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_22>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_53>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_46>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_15>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_67>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_36>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_19>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_50>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_63>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_2>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_33>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_64>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_25>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_56>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_12>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_43>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_74>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_66>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_47>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_4>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_73>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_11>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_40>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_18>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_28>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_80>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_37>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_76>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_54>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_1>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_8>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_57>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_31>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_44>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_70>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_21>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_A_34>=1))&&(i2.u1.ATTENTE_A_0>=1))&&(i0.u0.CONTROLEUR_0>=1)))" | G"(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_21>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_52>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_45>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_76>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_69>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_14>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_11>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_42>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_59>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_28>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_7>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_56>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_25>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_38>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_17>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_66>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_4>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_35>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_48>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_79>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_34>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_27>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_60>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_41>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_51>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_1>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_63>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_24>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_15>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_77>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_37>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_31>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_73>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_18>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_67>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_80>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_5>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_8>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_44>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_70>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_57>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_40>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_9>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_64>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_71>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_16>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_47>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_78>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_23>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_2>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_54>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_33>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_19>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_50>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_6>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_43>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_12>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_61>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_74>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_53>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_30>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_46>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_3>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_22>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_65>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_58>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_20>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_72>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_29>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_32>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_10>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_68>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_49>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_55>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_36>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_62>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_75>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_13>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_39>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_26>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))") & F"(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_21>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_52>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_45>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_76>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_69>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_14>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_11>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_42>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_59>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_28>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_7>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_56>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_25>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_38>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_17>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_66>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_4>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_35>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_48>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_79>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_34>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_27>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_60>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_41>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_51>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_1>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_63>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_24>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_15>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_77>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_37>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_31>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_73>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_18>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_67>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_80>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_5>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_8>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_44>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_70>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_57>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_40>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_9>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_64>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_71>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_16>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_47>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_78>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_23>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_2>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_54>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_33>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_19>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_50>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_6>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_43>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_12>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_61>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_74>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_53>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_30>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_46>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_3>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_22>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_65>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_58>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_20>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_72>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_29>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_32>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_10>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_68>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_49>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_55>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_36>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_62>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_75>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_13>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_39>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i1.u2.CAPACITE_0>=1)&&(i0.u0.NB_ATTENTE_B_26>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))")
Detected timeout of ITS tools.
Built C files in :
/tmp/ltsmin13155900935778077935
[2021-05-09 01:12:07] [INFO ] Built C files in 31ms conformant to PINS (ltsmin variant)in folder :/tmp/ltsmin13155900935778077935
Running compilation step : CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/include/, -I., -std=c99, -fPIC, -O2, model.c], workingDir=/tmp/ltsmin13155900935778077935]
WARNING : LTS min runner thread failed on error :java.lang.RuntimeException: Compilation or link of executable timed out.java.util.concurrent.TimeoutException: Subprocess running CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/include/, -I., -std=c99, -fPIC, -O2, model.c], workingDir=/tmp/ltsmin13155900935778077935] killed by timeout after 1 SECONDS
java.lang.RuntimeException: Compilation or link of executable timed out.java.util.concurrent.TimeoutException: Subprocess running CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/include/, -I., -std=c99, -fPIC, -O2, model.c], workingDir=/tmp/ltsmin13155900935778077935] killed by timeout after 1 SECONDS
at fr.lip6.move.gal.application.LTSminRunner$1.run(LTSminRunner.java:79)
at java.base/java.lang.Thread.run(Thread.java:834)
Treatment of property BridgeAndVehicles-COL-V80P20N20-04 finished in 49020 ms.
Running Spot : CommandLine [args=[/home/mcc/BenchKit/bin//..//ltl2tgba, --hoaf=tv, -f, !(G(F(!p0)))], workingDir=/home/mcc/execution]
Support contains 1 out of 196 places. Attempting structural reductions.
Starting structural reductions, iteration 0 : 196/196 places, 3728/3728 transitions.
Performed 1 Post agglomeration using F-continuation condition.Transition count delta: 1
Deduced a syphon composed of 1 places in 7 ms
Reduce places removed 1 places and 0 transitions.
Iterating global reduction 0 with 2 rules applied. Total rules applied 2 place count 195 transition count 3727
Applied a total of 2 rules in 761 ms. Remains 195 /196 variables (removed 1) and now considering 3727/3728 (removed 1) transitions.
[2021-05-09 01:12:09] [INFO ] Flow matrix only has 409 transitions (discarded 3318 similar events)
// Phase 1: matrix 409 rows 195 cols
[2021-05-09 01:12:09] [INFO ] Computed 5 place invariants in 25 ms
[2021-05-09 01:12:11] [INFO ] Dead Transitions using invariants and state equation in 2379 ms returned []
[2021-05-09 01:12:11] [INFO ] Flow matrix only has 409 transitions (discarded 3318 similar events)
// Phase 1: matrix 409 rows 195 cols
[2021-05-09 01:12:11] [INFO ] Computed 5 place invariants in 22 ms
[2021-05-09 01:12:12] [INFO ] Implicit Places using invariants in 808 ms returned []
[2021-05-09 01:12:12] [INFO ] Flow matrix only has 409 transitions (discarded 3318 similar events)
// Phase 1: matrix 409 rows 195 cols
[2021-05-09 01:12:12] [INFO ] Computed 5 place invariants in 12 ms
[2021-05-09 01:12:13] [INFO ] State equation strengthened by 42 read => feed constraints.
[2021-05-09 01:12:18] [INFO ] Implicit Places using invariants and state equation in 5779 ms returned [83, 166]
Discarding 2 places :
Implicit Place search using SMT with State Equation took 6630 ms to find 2 implicit places.
[2021-05-09 01:12:18] [INFO ] Redundant transitions in 162 ms returned []
[2021-05-09 01:12:18] [INFO ] Flow matrix only has 409 transitions (discarded 3318 similar events)
// Phase 1: matrix 409 rows 193 cols
[2021-05-09 01:12:18] [INFO ] Computed 5 place invariants in 1 ms
[2021-05-09 01:12:20] [INFO ] Dead Transitions using invariants and state equation in 1257 ms returned []
Starting structural reductions, iteration 1 : 193/196 places, 3727/3728 transitions.
Applied a total of 0 rules in 472 ms. Remains 193 /193 variables (removed 0) and now considering 3727/3727 (removed 0) transitions.
[2021-05-09 01:12:20] [INFO ] Redundant transitions in 96 ms returned []
[2021-05-09 01:12:20] [INFO ] Flow matrix only has 409 transitions (discarded 3318 similar events)
// Phase 1: matrix 409 rows 193 cols
[2021-05-09 01:12:20] [INFO ] Computed 5 place invariants in 2 ms
[2021-05-09 01:12:21] [INFO ] Dead Transitions using invariants and state equation in 1153 ms returned []
Finished structural reductions, in 2 iterations. Remains : 193/196 places, 3727/3728 transitions.
Stuttering acceptance computed with spot in 54 ms :[p0, p0]
Running random walk in product with property : BridgeAndVehicles-COL-V80P20N20-05 automaton TGBA [mat=[[{ cond=true, acceptance={} source=0 dest: 0}, { cond=p0, acceptance={} source=0 dest: 1}], [{ cond=p0, acceptance={0} source=1 dest: 1}]], initial=0, aps=[p0:(GEQ s164 1)], nbAcceptance=1, properties=[trans-labels, explicit-labels, trans-acc, no-univ-branch, stutter-invariant, very-weak, weak, inherently-weak], stateDesc=[null, null][true, true]]
Product exploration explored 100000 steps with 914 reset in 601 ms.
Product exploration explored 100000 steps with 946 reset in 645 ms.
Knowledge obtained : [(NOT p0)]
Stuttering acceptance computed with spot in 62 ms :[p0, p0]
Product exploration explored 100000 steps with 911 reset in 744 ms.
Product exploration explored 100000 steps with 915 reset in 653 ms.
[2021-05-09 01:12:24] [INFO ] Flatten gal took : 99 ms
[2021-05-09 01:12:25] [INFO ] Flatten gal took : 102 ms
[2021-05-09 01:12:25] [INFO ] Time to serialize gal into /tmp/LTL17400514908452298007.gal : 13 ms
[2021-05-09 01:12:25] [INFO ] Time to serialize properties into /tmp/LTL16983229458111098427.ltl : 1 ms
Invoking ITS tools like this :CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64, --gc-threshold, 2000000, -i, /tmp/LTL17400514908452298007.gal, -t, CGAL, -LTL, /tmp/LTL16983229458111098427.ltl, -c, -stutter-deadlock, --gen-order, FOLLOW], workingDir=/home/mcc/execution]

its-ltl command run as :

/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64 --gc-threshold 2000000 -i /tmp/LTL17400514908452298007.gal -t CGAL -LTL /tmp/LTL16983229458111098427.ltl -c -stutter-deadlock --gen-order FOLLOW
Read 1 LTL properties
Checking formula 0 : !((G(F("(SUR_PONT_B_0<1)"))))
Formula 0 simplified : !GF"(SUR_PONT_B_0<1)"
Detected timeout of ITS tools.
[2021-05-09 01:12:40] [INFO ] Flatten gal took : 175 ms
[2021-05-09 01:12:40] [INFO ] Applying decomposition
[2021-05-09 01:12:40] [INFO ] Flatten gal took : 134 ms
Converted graph to binary with : CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.louvain.binaries_1.0.0.202104292328/bin/convert-linux64, -i, /tmp/graph12851414669710996191.txt, -o, /tmp/graph12851414669710996191.bin, -w, /tmp/graph12851414669710996191.weights], workingDir=null]
Built communities with : CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.louvain.binaries_1.0.0.202104292328/bin/louvain-linux64, /tmp/graph12851414669710996191.bin, -l, -1, -v, -w, /tmp/graph12851414669710996191.weights, -q, 0, -e, 0.001], workingDir=null]
[2021-05-09 01:12:40] [INFO ] Decomposing Gal with order
[2021-05-09 01:12:40] [INFO ] Rewriting arrays to variables to allow decomposition.
[2021-05-09 01:12:42] [INFO ] Removed a total of 6953 redundant transitions.
[2021-05-09 01:12:42] [INFO ] Flatten gal took : 1248 ms
[2021-05-09 01:12:42] [INFO ] Fuse similar labels procedure discarded/fused a total of 477 labels/synchronizations in 26 ms.
[2021-05-09 01:12:42] [INFO ] Time to serialize gal into /tmp/LTL13617536719016873936.gal : 14 ms
[2021-05-09 01:12:42] [INFO ] Time to serialize properties into /tmp/LTL9703644670122934292.ltl : 1 ms
Invoking ITS tools like this :CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64, --gc-threshold, 2000000, -i, /tmp/LTL13617536719016873936.gal, -t, CGAL, -LTL, /tmp/LTL9703644670122934292.ltl, -c, -stutter-deadlock], workingDir=/home/mcc/execution]

its-ltl command run as :

/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64 --gc-threshold 2000000 -i /tmp/LTL13617536719016873936.gal -t CGAL -LTL /tmp/LTL9703644670122934292.ltl -c -stutter-deadlock
Read 1 LTL properties
Checking formula 0 : !((G(F("(u2.SUR_PONT_B_0<1)"))))
Formula 0 simplified : !GF"(u2.SUR_PONT_B_0<1)"
Detected timeout of ITS tools.
Built C files in :
/tmp/ltsmin16383070484279018393
[2021-05-09 01:12:57] [INFO ] Built C files in 56ms conformant to PINS (ltsmin variant)in folder :/tmp/ltsmin16383070484279018393
Running compilation step : CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/include/, -I., -std=c99, -fPIC, -O2, model.c], workingDir=/tmp/ltsmin16383070484279018393]
WARNING : LTS min runner thread failed on error :java.lang.RuntimeException: Compilation or link of executable timed out.java.util.concurrent.TimeoutException: Subprocess running CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/include/, -I., -std=c99, -fPIC, -O2, model.c], workingDir=/tmp/ltsmin16383070484279018393] killed by timeout after 1 SECONDS
java.lang.RuntimeException: Compilation or link of executable timed out.java.util.concurrent.TimeoutException: Subprocess running CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/include/, -I., -std=c99, -fPIC, -O2, model.c], workingDir=/tmp/ltsmin16383070484279018393] killed by timeout after 1 SECONDS
at fr.lip6.move.gal.application.LTSminRunner$1.run(LTSminRunner.java:79)
at java.base/java.lang.Thread.run(Thread.java:834)
Treatment of property BridgeAndVehicles-COL-V80P20N20-05 finished in 49527 ms.
Running Spot : CommandLine [args=[/home/mcc/BenchKit/bin//..//ltl2tgba, --hoaf=tv, -f, !(F(p0))], workingDir=/home/mcc/execution]
Support contains 3 out of 196 places. Attempting structural reductions.
Starting structural reductions, iteration 0 : 196/196 places, 3728/3728 transitions.
Discarding 1 places :
Symmetric choice reduction at 0 with 1 rule applications. Total rules 1 place count 195 transition count 3727
Iterating global reduction 0 with 1 rules applied. Total rules applied 2 place count 195 transition count 3727
Performed 1 Post agglomeration using F-continuation condition.Transition count delta: 1
Deduced a syphon composed of 1 places in 22 ms
Reduce places removed 2 places and 0 transitions.
Iterating global reduction 0 with 3 rules applied. Total rules applied 5 place count 193 transition count 3726
Applied a total of 5 rules in 1547 ms. Remains 193 /196 variables (removed 3) and now considering 3726/3728 (removed 2) transitions.
[2021-05-09 01:12:59] [INFO ] Flow matrix only has 408 transitions (discarded 3318 similar events)
// Phase 1: matrix 408 rows 193 cols
[2021-05-09 01:12:59] [INFO ] Computed 4 place invariants in 2 ms
[2021-05-09 01:13:00] [INFO ] Implicit Places using invariants in 707 ms returned []
[2021-05-09 01:13:00] [INFO ] Flow matrix only has 408 transitions (discarded 3318 similar events)
// Phase 1: matrix 408 rows 193 cols
[2021-05-09 01:13:00] [INFO ] Computed 4 place invariants in 1 ms
[2021-05-09 01:13:00] [INFO ] State equation strengthened by 42 read => feed constraints.
[2021-05-09 01:13:04] [INFO ] Implicit Places using invariants and state equation in 4279 ms returned [82]
Discarding 1 places :
Implicit Place search using SMT with State Equation took 5001 ms to find 1 implicit places.
[2021-05-09 01:13:05] [INFO ] Redundant transitions in 97 ms returned []
[2021-05-09 01:13:05] [INFO ] Flow matrix only has 408 transitions (discarded 3318 similar events)
// Phase 1: matrix 408 rows 192 cols
[2021-05-09 01:13:05] [INFO ] Computed 4 place invariants in 1 ms
[2021-05-09 01:13:06] [INFO ] Dead Transitions using invariants and state equation in 1759 ms returned []
Starting structural reductions, iteration 1 : 192/196 places, 3726/3728 transitions.
Applied a total of 0 rules in 608 ms. Remains 192 /192 variables (removed 0) and now considering 3726/3726 (removed 0) transitions.
[2021-05-09 01:13:07] [INFO ] Redundant transitions in 96 ms returned []
[2021-05-09 01:13:07] [INFO ] Flow matrix only has 408 transitions (discarded 3318 similar events)
// Phase 1: matrix 408 rows 192 cols
[2021-05-09 01:13:07] [INFO ] Computed 4 place invariants in 1 ms
[2021-05-09 01:13:12] [INFO ] Dead Transitions using invariants and state equation in 5029 ms returned []
Finished structural reductions, in 2 iterations. Remains : 192/196 places, 3726/3728 transitions.
Stuttering acceptance computed with spot in 28 ms :[(NOT p0)]
Running random walk in product with property : BridgeAndVehicles-COL-V80P20N20-06 automaton TGBA [mat=[[{ cond=(NOT p0), acceptance={0} source=0 dest: 0}]], initial=0, aps=[p0:(OR (AND (GEQ s168 1) (GEQ s189 1)) (AND (GEQ s167 1) (GEQ s189 1)))], nbAcceptance=1, properties=[trans-labels, explicit-labels, trans-acc, colored, deterministic, no-univ-branch, unambiguous, semi-deterministic, stutter-invariant, very-weak, weak, inherently-weak], stateDesc=[null][true]]
Product exploration explored 100000 steps with 786 reset in 631 ms.
Product exploration explored 100000 steps with 790 reset in 522 ms.
Knowledge obtained : [(NOT p0)]
Stuttering acceptance computed with spot in 24 ms :[(NOT p0)]
Product exploration explored 100000 steps with 788 reset in 537 ms.
Product exploration explored 100000 steps with 785 reset in 677 ms.
[2021-05-09 01:13:15] [INFO ] Flatten gal took : 127 ms
[2021-05-09 01:13:15] [INFO ] Flatten gal took : 125 ms
[2021-05-09 01:13:15] [INFO ] Time to serialize gal into /tmp/LTL9652500816826365756.gal : 14 ms
[2021-05-09 01:13:15] [INFO ] Time to serialize properties into /tmp/LTL8629364549833130394.ltl : 1 ms
Invoking ITS tools like this :CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64, --gc-threshold, 2000000, -i, /tmp/LTL9652500816826365756.gal, -t, CGAL, -LTL, /tmp/LTL8629364549833130394.ltl, -c, -stutter-deadlock, --gen-order, FOLLOW], workingDir=/home/mcc/execution]

its-ltl command run as :

/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64 --gc-threshold 2000000 -i /tmp/LTL9652500816826365756.gal -t CGAL -LTL /tmp/LTL8629364549833130394.ltl -c -stutter-deadlock --gen-order FOLLOW
Read 1 LTL properties
Checking formula 0 : !((F("(((CHOIX_1>=1)&&(COMPTEUR_20>=1))||((CHOIX_0>=1)&&(COMPTEUR_20>=1)))")))
Formula 0 simplified : !F"(((CHOIX_1>=1)&&(COMPTEUR_20>=1))||((CHOIX_0>=1)&&(COMPTEUR_20>=1)))"
Detected timeout of ITS tools.
[2021-05-09 01:13:30] [INFO ] Flatten gal took : 146 ms
[2021-05-09 01:13:30] [INFO ] Applying decomposition
[2021-05-09 01:13:30] [INFO ] Flatten gal took : 128 ms
Converted graph to binary with : CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.louvain.binaries_1.0.0.202104292328/bin/convert-linux64, -i, /tmp/graph15392103176330261566.txt, -o, /tmp/graph15392103176330261566.bin, -w, /tmp/graph15392103176330261566.weights], workingDir=null]
Built communities with : CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.louvain.binaries_1.0.0.202104292328/bin/louvain-linux64, /tmp/graph15392103176330261566.bin, -l, -1, -v, -w, /tmp/graph15392103176330261566.weights, -q, 0, -e, 0.001], workingDir=null]
[2021-05-09 01:13:31] [INFO ] Decomposing Gal with order
[2021-05-09 01:13:31] [INFO ] Rewriting arrays to variables to allow decomposition.
[2021-05-09 01:13:32] [INFO ] Removed a total of 6934 redundant transitions.
[2021-05-09 01:13:32] [INFO ] Flatten gal took : 1510 ms
[2021-05-09 01:13:32] [INFO ] Fuse similar labels procedure discarded/fused a total of 715 labels/synchronizations in 38 ms.
[2021-05-09 01:13:32] [INFO ] Time to serialize gal into /tmp/LTL13556419540142928167.gal : 4 ms
[2021-05-09 01:13:32] [INFO ] Time to serialize properties into /tmp/LTL10789526761696021787.ltl : 0 ms
Invoking ITS tools like this :CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64, --gc-threshold, 2000000, -i, /tmp/LTL13556419540142928167.gal, -t, CGAL, -LTL, /tmp/LTL10789526761696021787.ltl, -c, -stutter-deadlock], workingDir=/home/mcc/execution]

its-ltl command run as :

/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64 --gc-threshold 2000000 -i /tmp/LTL13556419540142928167.gal -t CGAL -LTL /tmp/LTL10789526761696021787.ltl -c -stutter-deadlock
Read 1 LTL properties
Checking formula 0 : !((F("(((i0.u1.CHOIX_1>=1)&&(i1.u2.COMPTEUR_20>=1))||((i0.u0.CHOIX_0>=1)&&(i1.u2.COMPTEUR_20>=1)))")))
Formula 0 simplified : !F"(((i0.u1.CHOIX_1>=1)&&(i1.u2.COMPTEUR_20>=1))||((i0.u0.CHOIX_0>=1)&&(i1.u2.COMPTEUR_20>=1)))"
Detected timeout of ITS tools.
Built C files in :
/tmp/ltsmin1746634924121281795
[2021-05-09 01:13:48] [INFO ] Built C files in 30ms conformant to PINS (ltsmin variant)in folder :/tmp/ltsmin1746634924121281795
Running compilation step : CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/include/, -I., -std=c99, -fPIC, -O2, model.c], workingDir=/tmp/ltsmin1746634924121281795]
WARNING : LTS min runner thread failed on error :java.lang.RuntimeException: Compilation or link of executable timed out.java.util.concurrent.TimeoutException: Subprocess running CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/include/, -I., -std=c99, -fPIC, -O2, model.c], workingDir=/tmp/ltsmin1746634924121281795] killed by timeout after 1 SECONDS
java.lang.RuntimeException: Compilation or link of executable timed out.java.util.concurrent.TimeoutException: Subprocess running CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/include/, -I., -std=c99, -fPIC, -O2, model.c], workingDir=/tmp/ltsmin1746634924121281795] killed by timeout after 1 SECONDS
at fr.lip6.move.gal.application.LTSminRunner$1.run(LTSminRunner.java:79)
at java.base/java.lang.Thread.run(Thread.java:834)
Treatment of property BridgeAndVehicles-COL-V80P20N20-06 finished in 50715 ms.
Running Spot : CommandLine [args=[/home/mcc/BenchKit/bin//..//ltl2tgba, --hoaf=tv, -f, !(G((F(p0)&&F(G(p1)))))], workingDir=/home/mcc/execution]
Support contains 186 out of 196 places. Attempting structural reductions.
Starting structural reductions, iteration 0 : 196/196 places, 3728/3728 transitions.
Discarding 1 places :
Symmetric choice reduction at 0 with 1 rule applications. Total rules 1 place count 195 transition count 3727
Iterating global reduction 0 with 1 rules applied. Total rules applied 2 place count 195 transition count 3727
Performed 1 Post agglomeration using F-continuation condition.Transition count delta: 1
Deduced a syphon composed of 1 places in 100 ms
Reduce places removed 2 places and 0 transitions.
Iterating global reduction 0 with 3 rules applied. Total rules applied 5 place count 193 transition count 3726
Applied a total of 5 rules in 170 ms. Remains 193 /196 variables (removed 3) and now considering 3726/3728 (removed 2) transitions.
[2021-05-09 01:13:49] [INFO ] Flow matrix only has 408 transitions (discarded 3318 similar events)
// Phase 1: matrix 408 rows 193 cols
[2021-05-09 01:13:49] [INFO ] Computed 4 place invariants in 2 ms
[2021-05-09 01:13:49] [INFO ] Implicit Places using invariants in 432 ms returned []
[2021-05-09 01:13:49] [INFO ] Flow matrix only has 408 transitions (discarded 3318 similar events)
// Phase 1: matrix 408 rows 193 cols
[2021-05-09 01:13:49] [INFO ] Computed 4 place invariants in 2 ms
[2021-05-09 01:13:50] [INFO ] State equation strengthened by 42 read => feed constraints.
[2021-05-09 01:13:53] [INFO ] Implicit Places using invariants and state equation in 3349 ms returned [82, 164]
Discarding 2 places :
Implicit Place search using SMT with State Equation took 3803 ms to find 2 implicit places.
[2021-05-09 01:13:53] [INFO ] Redundant transitions in 91 ms returned []
[2021-05-09 01:13:53] [INFO ] Flow matrix only has 408 transitions (discarded 3318 similar events)
// Phase 1: matrix 408 rows 191 cols
[2021-05-09 01:13:53] [INFO ] Computed 4 place invariants in 3 ms
[2021-05-09 01:13:55] [INFO ] Dead Transitions using invariants and state equation in 1922 ms returned []
Starting structural reductions, iteration 1 : 191/196 places, 3726/3728 transitions.
Applied a total of 0 rules in 33 ms. Remains 191 /191 variables (removed 0) and now considering 3726/3726 (removed 0) transitions.
[2021-05-09 01:13:55] [INFO ] Redundant transitions in 89 ms returned []
[2021-05-09 01:13:55] [INFO ] Flow matrix only has 408 transitions (discarded 3318 similar events)
// Phase 1: matrix 408 rows 191 cols
[2021-05-09 01:13:55] [INFO ] Computed 4 place invariants in 2 ms
[2021-05-09 01:13:56] [INFO ] Dead Transitions using invariants and state equation in 1764 ms returned []
Finished structural reductions, in 2 iterations. Remains : 191/196 places, 3726/3728 transitions.
Stuttering acceptance computed with spot in 83 ms :[(OR (NOT p0) (NOT p1)), (NOT p0), (NOT p1)]
Running random walk in product with property : BridgeAndVehicles-COL-V80P20N20-07 automaton TGBA [mat=[[{ cond=true, acceptance={} source=0 dest: 0}, { cond=(NOT p0), acceptance={} source=0 dest: 1}, { cond=(NOT p1), acceptance={} source=0 dest: 2}], [{ cond=(NOT p0), acceptance={0} source=1 dest: 1}], [{ cond=(NOT p1), acceptance={0} source=2 dest: 2}, { cond=p1, acceptance={} source=2 dest: 2}]], initial=0, aps=[p0:(AND (OR (AND (GEQ s33 1) (GEQ s81 1)) (AND (GEQ s23 1) (GEQ s81 1)) (AND (GEQ s4 1) (GEQ s81 1)) (AND (GEQ s53 1) (GEQ s81 1)) (AND (GEQ s72 1) (GEQ s81 1)) (AND (GEQ s52 1) (GEQ s81 1)) (AND (GEQ s42 1) (GEQ s81 1)) (AND (GEQ s14 1) (GEQ s81 1)) (AND (GEQ s63 1) (GEQ s81 1)) (AND (GEQ s44 1) (GEQ s81 1)) (AND (GEQ s61 1) (GEQ s81 1)) (AND (GEQ s32 1) (GEQ s81 1)) (AND (GEQ s2 1) (GEQ s81 1)) (AND (GEQ s71 1) (GEQ s81 1)) (AND (GEQ s54 1) (GEQ s81 1)) (AND (GEQ s3 1) (GEQ s81 1)) (AND (GEQ s24 1) (GEQ s81 1)) (AND (GEQ s15 1) (GEQ s81 1)) (AND (GEQ s41 1) (GEQ s81 1)) (AND (GEQ s62 1) (GEQ s81 1)) (AND (GEQ s45 1) (GEQ s81 1)) (AND (GEQ s11 1) (GEQ s81 1)) (AND (GEQ s16 1) (GEQ s81 1)) (AND (GEQ s60 1) (GEQ s81 1)) (AND (GEQ s50 1) (GEQ s81 1)) (AND (GEQ s70 1) (GEQ s81 1)) (AND (GEQ s35 1) (GEQ s81 1)) (AND (GEQ s1 1) (GEQ s81 1)) (AND (GEQ s36 1) (GEQ s81 1)) (AND (GEQ s25 1) (GEQ s81 1)) (AND (GEQ s69 1) (GEQ s81 1)) (AND (GEQ s59 1) (GEQ s81 1)) (AND (GEQ s10 1) (GEQ s81 1)) (AND (GEQ s0 1) (GEQ s81 1)) (AND (GEQ s17 1) (GEQ s81 1)) (AND (GEQ s76 1) (GEQ s81 1)) (AND (GEQ s51 1) (GEQ s81 1)) (AND (GEQ s34 1) (GEQ s81 1)) (AND (GEQ s68 1) (GEQ s81 1)) (AND (GEQ s26 1) (GEQ s81 1)) (AND (GEQ s43 1) (GEQ s81 1)) (AND (GEQ s9 1) (GEQ s81 1)) (AND (GEQ s77 1) (GEQ s81 1)) (AND (GEQ s67 1) (GEQ s81 1)) (AND (GEQ s18 1) (GEQ s81 1)) (AND (GEQ s38 1) (GEQ s81 1)) (AND (GEQ s8 1) (GEQ s81 1)) (AND (GEQ s57 1) (GEQ s81 1)) (AND (GEQ s29 1) (GEQ s81 1)) (AND (GEQ s48 1) (GEQ s81 1)) (AND (GEQ s78 1) (GEQ s81 1)) (AND (GEQ s27 1) (GEQ s81 1)) (AND (GEQ s66 1) (GEQ s81 1)) (AND (GEQ s49 1) (GEQ s81 1)) (AND (GEQ s20 1) (GEQ s81 1)) (AND (GEQ s19 1) (GEQ s81 1)) (AND (GEQ s37 1) (GEQ s81 1)) (AND (GEQ s75 1) (GEQ s81 1)) (AND (GEQ s58 1) (GEQ s81 1)) (AND (GEQ s7 1) (GEQ s81 1)) (AND (GEQ s79 1) (GEQ s81 1)) (AND (GEQ s28 1) (GEQ s81 1)) (AND (GEQ s55 1) (GEQ s81 1)) (AND (GEQ s6 1) (GEQ s81 1)) (AND (GEQ s40 1) (GEQ s81 1)) (AND (GEQ s21 1) (GEQ s81 1)) (AND (GEQ s74 1) (GEQ s81 1)) (AND (GEQ s31 1) (GEQ s81 1)) (AND (GEQ s12 1) (GEQ s81 1)) (AND (GEQ s65 1) (GEQ s81 1)) (AND (GEQ s46 1) (GEQ s81 1)) (AND (GEQ s80 1) (GEQ s81 1)) (AND (GEQ s22 1) (GEQ s81 1)) (AND (GEQ s39 1) (GEQ s81 1)) (AND (GEQ s5 1) (GEQ s81 1)) (AND (GEQ s73 1) (GEQ s81 1)) (AND (GEQ s56 1) (GEQ s81 1)) (AND (GEQ s30 1) (GEQ s81 1)) (AND (GEQ s47 1) (GEQ s81 1)) (AND (GEQ s13 1) (GEQ s81 1)) (AND (GEQ s64 1) (GEQ s81 1))) (OR (AND (GEQ s167 1) (GEQ s188 1)) (AND (GEQ s166 1) (GEQ s188 1)))), p1:(AND (OR (LT s0 1) (LT s152 1) (LT s164 1) (LT s175 1)) (OR (LT s0 1) (LT s128 1) (LT s164 1) (LT s173 1)) (OR (LT s0 1) (LT s140 1) (LT s164 1) (LT s174 1)) (OR (LT s0 1) (LT s99 1) (LT s164 1) (LT s188 1)) (OR (LT s0 1) (LT s116 1) (LT s164 1) (LT s172 1)) (OR (LT s0 1) (LT s87 1) (LT s164 1) (LT s187 1)) (OR (LT s0 1) (LT s83 1) (LT s164 1) (LT s181 1)) (OR (LT s0 1) (LT s120 1) (LT s164 1) (LT s178 1)) (OR (LT s0 1) (LT s148 1) (LT s164 1) (LT s169 1)) (OR (LT s0 1) (LT s96 1) (LT s164 1) (LT s176 1)) (OR (LT s0 1) (LT s104 1) (LT s164 1) (LT s171 1)) (OR (LT s0 1) (LT s156 1) (LT s164 1) (LT s181 1)) (OR (LT s0 1) (LT s107 1) (LT s164 1) (LT s183 1)) (OR (LT s0 1) (LT s136 1) (LT s164 1) (LT s185 1)) (OR (LT s0 1) (LT s91 1) (LT s164 1) (LT s176 1)) (OR (LT s0 1) (LT s155 1) (LT s164 1) (LT s187 1)) (OR (LT s0 1) (LT s103 1) (LT s164 1) (LT s177 1)) (OR (LT s0 1) (LT s143 1) (LT s164 1) (LT s186 1)) (OR (LT s0 1) (LT s124 1) (LT s164 1) (LT s184 1)) (OR (LT s0 1) (LT s115 1) (LT s164 1) (LT s178 1)) (OR (LT s0 1) (LT s132 1) (LT s164 1) (LT s179 1)) (OR (LT s0 1) (LT s160 1) (LT s164 1) (LT s170 1)) (OR (LT s0 1) (LT s84 1) (LT s164 1) (LT s175 1)) (OR (LT s0 1) (LT s144 1) (LT s164 1) (LT s180 1)) (OR (LT s0 1) (LT s141 1) (LT s164 1) (LT s168 1)) (OR (LT s0 1) (LT s95 1) (LT s164 1) (LT s182 1)) (OR (LT s0 1) (LT s123 1) (LT s164 1) (LT s173 1)) (OR (LT s0 1) (LT s92 1) (LT s164 1) (LT s170 1)) (OR (LT s0 1) (LT s94 1) (LT s164 1) (LT s188 1)) (OR (LT s0 1) (LT s97 1) (LT s164 1) (LT s170 1)) (OR (LT s0 1) (LT s121 1) (LT s164 1) (LT s172 1)) (OR (LT s0 1) (LT s92 1) (LT s164 1) (LT s187 1)) (OR (LT s0 1) (LT s137 1) (LT s164 1) (LT s179 1)) (OR (LT s0 1) (LT s159 1) (LT s164 1) (LT s176 1)) (OR (LT s0 1) (LT s133 1) (LT s164 1) (LT s173 1)) (OR (LT s0 1) (LT s155 1) (LT s164 1) (LT s170 1)) (OR (LT s0 1) (LT s101 1) (LT s164 1) (LT s176 1)) (OR (LT s0 1) (LT s99 1) (LT s164 1) (LT s171 1)) (OR (LT s0 1) (LT s161 1) (LT s164 1) (LT s181 1)) (OR (LT s0 1) (LT s153 1) (LT s164 1) (LT s169 1)) (OR (LT s0 1) (LT s86 1) (LT s164 1) (LT s176 1)) (OR (LT s0 1) (LT s112 1) (LT s164 1) (LT s183 1)) (OR (LT s0 1) (LT s135 1) (LT s164 1) (LT s174 1)) (OR (LT s0 1) (LT s110 1) (LT s164 1) (LT s178 1)) (OR (LT s0 1) (LT s117 1) (LT s164 1) (LT s183 1)) (OR (LT s0 1) (LT s148 1) (LT s164 1) (LT s186 1)) (OR (LT s0 1) (LT s141 1) (LT s164 1) (LT s185 1)) (OR (LT s0 1) (LT s146 1) (LT s164 1) (LT s168 1)) (OR (LT s0 1) (LT s119 1) (LT s164 1) (LT s184 1)) (OR (LT s0 1) (LT s88 1) (LT s164 1) (LT s181 1)) (OR (LT s0 1) (LT s150 1) (LT s164 1) (LT s187 1)) (OR (LT s0 1) (LT s126 1) (LT s164 1) (LT s172 1)) (OR (LT s0 1) (LT s139 1) (LT s164 1) (LT s180 1)) (OR (LT s0 1) (LT s90 1) (LT s164 1) (LT s182 1)) (OR (LT s0 1) (LT s108 1) (LT s164 1) (LT s177 1)) (OR (LT s0 1) (LT s157 1) (LT s164 1) (LT s175 1)) (OR (LT s0 1) (LT s102 1) (LT s164 1) (LT s170 1)) (OR (LT s0 1) (LT s142 1) (LT s164 1) (LT s179 1)) (OR (LT s0 1) (LT s126 1) (LT s164 1) (LT s168 1)) (OR (LT s0 1) (LT s150 1) (LT s164 1) (LT s170 1)) (OR (LT s0 1) (LT s97 1) (LT s164 1) (LT s183 1)) (OR (LT s0 1) (LT s154 1) (LT s164 1) (LT s180 1)) (OR (LT s0 1) (LT s109 1) (LT s164 1) (LT s184 1)) (OR (LT s0 1) (LT s138 1) (LT s164 1) (LT s169 1)) (OR (LT s0 1) (LT s106 1) (LT s164 1) (LT s172 1)) (OR (LT s0 1) (LT s130 1) (LT s164 1) (LT s174 1)) (OR (LT s0 1) (LT s94 1) (LT s164 1) (LT s175 1)) (OR (LT s0 1) (LT s89 1) (LT s164 1) (LT s188 1)) (OR (LT s0 1) (LT s114 1) (LT s164 1) (LT s171 1)) (OR (LT s0 1) (LT s117 1) (LT s164 1) (LT s179 1)) (OR (LT s0 1) (LT s151 1) (LT s164 1) (LT s168 1)) (OR (LT s0 1) (LT s93 1) (LT s164 1) (LT s181 1)) (OR (LT s0 1) (LT s146 1) (LT s164 1) (LT s181 1)) (OR (LT s0 1) (LT s153 1) (LT s164 1) (LT s186 1)) (OR (LT s0 1) (LT s122 1) (LT s164 1) (LT s183 1)) (OR (LT s0 1) (LT s157 1) (LT s164 1) (LT s188 1)) (OR (LT s0 1) (LT s105 1) (LT s164 1) (LT s182 1)) (OR (LT s0 1) (LT s113 1) (LT s164 1) (LT s177 1)) (OR (LT s0 1) (LT s162 1) (LT s164 1) (LT s175 1)) (OR (LT s0 1) (LT s126 1) (LT s164 1) (LT s185 1)) (OR (LT s0 1) (LT s114 1) (LT s164 1) (LT s188 1)) (OR (LT s0 1) (LT s134 1) (LT s164 1) (LT s184 1)) (OR (LT s0 1) (LT s85 1) (LT s164 1) (LT s186 1)) (OR (LT s0 1) (LT s131 1) (LT s164 1) (LT s172 1)) (OR (LT s0 1) (LT s147 1) (LT s164 1) (LT s175 1)) (OR (LT s0 1) (LT s145 1) (LT s164 1) (LT s174 1)) (OR (LT s0 1) (LT s104 1) (LT s164 1) (LT s188 1)) (OR (LT s0 1) (LT s111 1) (LT s164 1) (LT s176 1)) (OR (LT s0 1) (LT s123 1) (LT s164 1) (LT s177 1)) (OR (LT s0 1) (LT s140 1) (LT s164 1) (LT s187 1)) (OR (LT s0 1) (LT s85 1) (LT s164 1) (LT s169 1)) (OR (LT s0 1) (LT s151 1) (LT s164 1) (LT s185 1)) (OR (LT s0 1) (LT s125 1) (LT s164 1) (LT s178 1)) (OR (LT s0 1) (LT s143 1) (LT s164 1) (LT s173 1)) (OR (LT s0 1) (LT s83 1) (LT s164 1) (LT s168 1)) (OR (LT s0 1) (LT s102 1) (LT s164 1) (LT s187 1)) (OR (LT s0 1) (LT s138 1) (LT s164 1) (LT s186 1)) (OR (LT s0 1) (LT s160 1) (LT s164 1) (LT s183 1)) (OR (LT s0 1) (LT s129 1) (LT s164 1) (LT s180 1)) (OR (LT s0 1) (LT s98 1) (LT s164 1) (LT s177 1)) (OR (LT s0 1) (LT s120 1) (LT s164 1) (LT s174 1)) (OR (LT s0 1) (LT s127 1) (LT s164 1) (LT s179 1)) (OR (LT s0 1) (LT s158 1) (LT s164 1) (LT s182 1)) (OR (LT s0 1) (LT s89 1) (LT s164 1) (LT s171 1)) (OR (LT s0 1) (LT s118 1) (LT s164 1) (LT s173 1)) (OR (LT s0 1) (LT s100 1) (LT s164 1) (LT s178 1)) (OR (LT s0 1) (LT s149 1) (LT s164 1) (LT s176 1)) (OR (LT s0 1) (LT s136 1) (LT s164 1) (LT s168 1)) (OR (LT s0 1) (LT s87 1) (LT s164 1) (LT s170 1)) (OR (LT s0 1) (LT s99 1) (LT s164 1) (LT s184 1)) (OR (LT s0 1) (LT s111 1) (LT s164 1) (LT s185 1)) (OR (LT s0 1) (LT s128 1) (LT s164 1) (LT s169 1)) (OR (LT s0 1) (LT s92 1) (LT s164 1) (LT s174 1)) (OR (LT s0 1) (LT s116 1) (LT s164 1) (LT s176 1)) (OR (LT s0 1) (LT s140 1) (LT s164 1) (LT s178 1)) (OR (LT s0 1) (LT s135 1) (LT s164 1) (LT s187 1)) (OR (LT s0 1) (LT s120 1) (LT s164 1) (LT s182 1)) (OR (LT s0 1) (LT s112 1) (LT s164 1) (LT s170 1)) (OR (LT s0 1) (LT s161 1) (LT s164 1) (LT s168 1)) (OR (LT s0 1) (LT s96 1) (LT s164 1) (LT s180 1)) (OR (LT s0 1) (LT s156 1) (LT s164 1) (LT s185 1)) (OR (LT s0 1) (LT s107 1) (LT s164 1) (LT s187 1)) (OR (LT s0 1) (LT s112 1) (LT s164 1) (LT s187 1)) (OR (LT s0 1) (LT s84 1) (LT s164 1) (LT s171 1)) (OR (LT s0 1) (LT s91 1) (LT s164 1) (LT s180 1)) (OR (LT s0 1) (LT s155 1) (LT s164 1) (LT s183 1)) (OR (LT s0 1) (LT s141 1) (LT s164 1) (LT s172 1)) (OR (LT s0 1) (LT s124 1) (LT s164 1) (LT s180 1)) (OR (LT s0 1) (LT s160 1) (LT s164 1) (LT s174 1)) (OR (LT s0 1) (LT s83 1) (LT s164 1) (LT s185 1)) (OR (LT s0 1) (LT s129 1) (LT s164 1) (LT s171 1)) (OR (LT s0 1) (LT s115 1) (LT s164 1) (LT s174 1)) (OR (LT s0 1) (LT s95 1) (LT s164 1) (LT s178 1)) (OR (LT s0 1) (LT s132 1) (LT s164 1) (LT s183 1)) (OR (LT s0 1) (LT s103 1) (LT s164 1) (LT s181 1)) (OR (LT s0 1) (LT s121 1) (LT s164 1) (LT s176 1)) (OR (LT s0 1) (LT s100 1) (LT s164 1) (LT s169 1)) (OR (LT s0 1) (LT s144 1) (LT s164 1) (LT s176 1)) (OR (LT s0 1) (LT s152 1) (LT s164 1) (LT s179 1)) (OR (LT s0 1) (LT s133 1) (LT s164 1) (LT s169 1)) (OR (LT s0 1) (LT s157 1) (LT s164 1) (LT s171 1)) (OR (LT s0 1) (LT s161 1) (LT s164 1) (LT s185 1)) (OR (LT s0 1) (LT s130 1) (LT s164 1) (LT s187 1)) (OR (LT s0 1) (LT s159 1) (LT s164 1) (LT s172 1)) (OR (LT s0 1) (LT s101 1) (LT s164 1) (LT s180 1)) (OR (LT s0 1) (LT s137 1) (LT s164 1) (LT s175 1)) (OR (LT s0 1) (LT s128 1) (LT s164 1) (LT s186 1)) (OR (LT s0 1) (LT s86 1) (LT s164 1) (LT s172 1)) (OR (LT s0 1) (LT s135 1) (LT s164 1) (LT s170 1)) (OR (LT s0 1) (LT s110 1) (LT s164 1) (LT s174 1)) (OR (LT s0 1) (LT s148 1) (LT s164 1) (LT s182 1)) (OR (LT s0 1) (LT s119 1) (LT s164 1) (LT s180 1)) (OR (LT s0 1) (LT s88 1) (LT s164 1) (LT s177 1)) (OR (LT s0 1) (LT s150 1) (LT s164 1) (LT s183 1)) (OR (LT s0 1) (LT s139 1) (LT s164 1) (LT s176 1)) (OR (LT s0 1) (LT s90 1) (LT s164 1) (LT s178 1)) (OR (LT s0 1) (LT s108 1) (LT s164 1) (LT s173 1)) (OR (LT s0 1) (LT s154 1) (LT s164 1) (LT s184 1)) (OR (LT s0 1) (LT s137 1) (LT s164 1) (LT s188 1)) (OR (LT s0 1) (LT s114 1) (LT s164 1) (LT s175 1)) (OR (LT s0 1) (LT s142 1) (LT s164 1) (LT s175 1)) (OR (LT s0 1) (LT s138 1) (LT s164 1) (LT s173 1)) (OR (LT s0 1) (LT s97 1) (LT s164 1) (LT s179 1)) (OR (LT s0 1) (LT s130 1) (LT s164 1) (LT s170 1)) (OR (LT s0 1) (LT s133 1) (LT s164 1) (LT s186 1)) (OR (LT s0 1) (LT s106 1) (LT s164 1) (LT s168 1)) (OR (LT s0 1) (LT s117 1) (LT s164 1) (LT s175 1)) (OR (LT s0 1) (LT s94 1) (LT s164 1) (LT s179 1)) (OR (LT s0 1) (LT s158 1) (LT s164 1) (LT s169 1)) (OR (LT s0 1) (LT s105 1) (LT s164 1) (LT s186 1)) (OR (LT s0 1) (LT s122 1) (LT s164 1) (LT s179 1)) (OR (LT s0 1) (LT s153 1) (LT s164 1) (LT s182 1)) (OR (LT s0 1) (LT s93 1) (LT s164 1) (LT s177 1)) (OR (LT s0 1) (LT s146 1) (LT s164 1) (LT s177 1)) (OR (LT s0 1) (LT s126 1) (LT s164 1) (LT s181 1)) (OR (LT s0 1) (LT s157 1) (LT s164 1) (LT s184 1)) (OR (LT s0 1) (LT s134 1) (LT s164 1) (LT s188 1)) (OR (LT s0 1) (LT s108 1) (LT s164 1) (LT s186 1)) (OR (LT s0 1) (LT s131 1) (LT s164 1) (LT s168 1)) (OR (LT s0 1) (LT s113 1) (LT s164 1) (LT s173 1)) (OR (LT s0 1) (LT s162 1) (LT s164 1) (LT s171 1)) (OR (LT s0 1) (LT s147 1) (LT s164 1) (LT s179 1)) (OR (LT s0 1) (LT s85 1) (LT s164 1) (LT s173 1)) (OR (LT s0 1) (LT s106 1) (LT s164 1) (LT s185 1)) (OR (LT s0 1) (LT s104 1) (LT s164 1) (LT s184 1)) (OR (LT s0 1) (LT s107 1) (LT s164 1) (LT s170 1)) (OR (LT s0 1) (LT s149 1) (LT s164 1) (LT s180 1)) (OR (LT s0 1) (LT s145 1) (LT s164 1) (LT s178 1)) (OR (LT s0 1) (LT s111 1) (LT s164 1) (LT s172 1)) (OR (LT s0 1) (LT s123 1) (LT s164 1) (LT s181 1)) (OR (LT s0 1) (LT s102 1) (LT s164 1) (LT s183 1)) (OR (LT s0 1) (LT s84 1) (LT s164 1) (LT s188 1)) (OR (LT s0 1) (LT s143 1) (LT s164 1) (LT s177 1)) (OR (LT s0 1) (LT s151 1) (LT s164 1) (LT s181 1)) (OR (LT s0 1) (LT s83 1) (LT s164 1) (LT s172 1)) (OR (LT s0 1) (LT s125 1) (LT s164 1) (LT s182 1)) (OR (LT s0 1) (LT s109 1) (LT s164 1) (LT s171 1)) (OR (LT s0 1) (LT s98 1) (LT s164 1) (LT s181 1)) (OR (LT s0 1) (LT s134 1) (LT s164 1) (LT s171 1)) (OR (LT s0 1) (LT s132 1) (LT s164 1) (LT s170 1)) (OR (LT s0 1) (LT s100 1) (LT s164 1) (LT s182 1)) (OR (LT s0 1) (LT s131 1) (LT s164 1) (LT s185 1)) (OR (LT s0 1) (LT s89 1) (LT s164 1) (LT s175 1)) (OR (LT s0 1) (LT s127 1) (LT s164 1) (LT s183 1)) (OR (LT s0 1) (LT s103 1) (LT s164 1) (LT s168 1)) (OR (LT s0 1) (LT s158 1) (LT s164 1) (LT s186 1)) (OR (LT s0 1) (LT s156 1) (LT s164 1) (LT s168 1)) (OR (LT s0 1) (LT s162 1) (LT s164 1) (LT s188 1)) (OR (LT s0 1) (LT s109 1) (LT s164 1) (LT s188 1)) (OR (LT s0 1) (LT s136 1) (LT s164 1) (LT s172 1)) (OR (LT s0 1) (LT s118 1) (LT s164 1) (LT s177 1)) (OR (LT s0 1) (LT s87 1) (LT s164 1) (LT s174 1)) (OR (LT s0 1) (LT s160 1) (LT s164 1) (LT s187 1)) (OR (LT s0 1) (LT s129 1) (LT s164 1) (LT s184 1)) (OR (LT s0 1) (LT s105 1) (LT s164 1) (LT s169 1)) (OR (LT s0 1) (LT s123 1) (LT s164 1) (LT s182 1)) (OR (LT s0 1) (LT s116 1) (LT s164 1) (LT s180 1)) (OR (LT s0 1) (LT s87 1) (LT s164 1) (LT s179 1)) (OR (LT s0 1) (LT s135 1) (LT s164 1) (LT s183 1)) (OR (LT s0 1) (LT s128 1) (LT s164 1) (LT s181 1)) (OR (LT s0 1) (LT s161 1) (LT s164 1) (LT s172 1)) (OR (LT s0 1) (LT s137 1) (LT s164 1) (LT s170 1)) (OR (LT s0 1) (LT s88 1) (LT s164 1) (LT s172 1)) (OR (LT s0 1) (LT s112 1) (LT s164 1) (LT s174 1)) (OR (LT s0 1) (LT s84 1) (LT s164 1) (LT s183 1)) (OR (LT s0 1) (LT s83 1) (LT s164 1) (LT s173 1)) (OR (LT s0 1) (LT s91 1) (LT s164 1) (LT s168 1)) (OR (LT s0 1) (LT s99 1) (LT s164 1) (LT s180 1)) (OR (LT s0 1) (LT s120 1) (LT s164 1) (LT s186 1)) (OR (LT s0 1) (LT s96 1) (LT s164 1) (LT s168 1)) (OR (LT s0 1) (LT s132 1) (LT s164 1) (LT s171 1)) (OR (LT s0 1) (LT s160 1) (LT s164 1) (LT s178 1)) (OR (LT s0 1) (LT s86 1) (LT s164 1) (LT s185 1)) (OR (LT s0 1) (LT s136 1) (LT s164 1) (LT s177 1)) (OR (LT s0 1) (LT s129 1) (LT s164 1) (LT s175 1)) (OR (LT s0 1) (LT s120 1) (LT s164 1) (LT s169 1)) (OR (LT s0 1) (LT s127 1) (LT s164 1) (LT s188 1)) (OR (LT s0 1) (LT s144 1) (LT s164 1) (LT s172 1)) (OR (LT s0 1) (LT s152 1) (LT s164 1) (LT s183 1)) (OR (LT s0 1) (LT s103 1) (LT s164 1) (LT s185 1)) (OR (LT s0 1) (LT s95 1) (LT s164 1) (LT s174 1)) (OR (LT s0 1) (LT s121 1) (LT s164 1) (LT s180 1)) (OR (LT s0 1) (LT s92 1) (LT s164 1) (LT s179 1)) (OR (LT s0 1) (LT s130 1) (LT s164 1) (LT s183 1)) (OR (LT s0 1) (LT s154 1) (LT s164 1) (LT s185 1)) (OR (LT s0 1) (LT s137 1) (LT s164 1) (LT s187 1)) (OR (LT s0 1) (LT s90 1) (LT s164 1) (LT s174 1)) (OR (LT s0 1) (LT s159 1) (LT s164 1) (LT s184 1)) (OR (LT s0 1) (LT s114 1) (LT s164 1) (LT s176 1)) (OR (LT s0 1) (LT s97 1) (LT s164 1) (LT s178 1)) (OR (LT s0 1) (LT s142 1) (LT s164 1) (LT s170 1)) (OR (LT s0 1) (LT s119 1) (LT s164 1) (LT s175 1)) (OR (LT s0 1) (LT s115 1) (LT s164 1) (LT s186 1)) (OR (LT s0 1) (LT s86 1) (LT s164 1) (LT s168 1)) (OR (LT s0 1) (LT s133 1) (LT s164 1) (LT s181 1)) (OR (LT s0 1) (LT s101 1) (LT s164 1) (LT s168 1)) (OR (LT s0 1) (LT s148 1) (LT s164 1) (LT s178 1)) (OR (LT s0 1) (LT s105 1) (LT s164 1) (LT s187 1)) (OR (LT s0 1) (LT s115 1) (LT s164 1) (LT s169 1)) (OR (LT s0 1) (LT s139 1) (LT s164 1) (LT s172 1)) (OR (LT s0 1) (LT s108 1) (LT s164 1) (LT s169 1)) (OR (LT s0 1) (LT s110 1) (LT s164 1) (LT s186 1)) (OR (LT s0 1) (LT s155 1) (LT s164 1) (LT s178 1)) (OR (LT s0 1) (LT s124 1) (LT s164 1) (LT s175 1)) (OR (LT s0 1) (LT s93 1) (LT s164 1) (LT s172 1)) (OR (LT s0 1) (LT s141 1) (LT s164 1) (LT s177 1)) (OR (LT s0 1) (LT s146 1) (LT s164 1) (LT s176 1)) (OR (LT s0 1) (LT s132 1) (LT s164 1) (LT s188 1)) (OR (LT s0 1) (LT s109 1) (LT s164 1) (LT s176 1)) (OR (LT s0 1) (LT s102 1) (LT s164 1) (LT s178 1)) (OR (LT s0 1) (LT s101 1) (LT s164 1) (LT s185 1)) (OR (LT s0 1) (LT s106 1) (LT s164 1) (LT s180 1)) (OR (LT s0 1) (LT s113 1) (LT s164 1) (LT s186 1)) (OR (LT s0 1) (LT s145 1) (LT s164 1) (LT s183 1)) (OR (LT s0 1) (LT s150 1) (LT s164 1) (LT s178 1)) (OR (LT s0 1) (LT s149 1) (LT s164 1) (LT s185 1)) (OR (LT s0 1) (LT s117 1) (LT s164 1) (LT s171 1)) (OR (LT s0 1) (LT s138 1) (LT s164 1) (LT s177 1)) (OR (LT s0 1) (LT s94 1) (LT s164 1) (LT s183 1)) (OR (LT s0 1) (LT s122 1) (LT s164 1) (LT s175 1)) (OR (LT s0 1) (LT s153 1) (LT s164 1) (LT s178 1)) (OR (LT s0 1) (LT s151 1) (LT s164 1) (LT s176 1)) (OR (LT s0 1) (LT s117 1) (LT s164 1) (LT s188 1)) (OR (LT s0 1) (LT s98 1) (LT s164 1) (LT s168 1)) (OR (LT s0 1) (LT s100 1) (LT s164 1) (LT s187 1)) (OR (LT s0 1) (LT s96 1) (LT s164 1) (LT s185 1)) (OR (LT s0 1) (LT s108 1) (LT s164 1) (LT s182 1)) (OR (LT s0 1) (LT s154 1) (LT s164 1) (LT s172 1)) (OR (LT s0 1) (LT s157 1) (LT s164 1) (LT s180 1)) (OR (LT s0 1) (LT s113 1) (LT s164 1) (LT s169 1)) (OR (LT s0 1) (LT s110 1) (LT s164 1) (LT s169 1)) (OR (LT s0 1) (LT s105 1) (LT s164 1) (LT s174 1)) (OR (LT s0 1) (LT s126 1) (LT s164 1) (LT s177 1)) (OR (LT s0 1) (LT s142 1) (LT s164 1) (LT s187 1)) (OR (LT s0 1) (LT s104 1) (LT s164 1) (LT s180 1)) (OR (LT s0 1) (LT s111 1) (LT s164 1) (LT s184 1)) (OR (LT s0 1) (LT s140 1) (LT s164 1) (LT s179 1)) (OR (LT s0 1) (LT s152 1) (LT s164 1) (LT s170 1)) (OR (LT s0 1) (LT s85 1) (LT s164 1) (LT s177 1)) (OR (LT s0 1) (LT s118 1) (LT s164 1) (LT s182 1)) (OR (LT s0 1) (LT s107 1) (LT s164 1) (LT s174 1)) (OR (LT s0 1) (LT s89 1) (LT s164 1) (LT s179 1)) (OR (LT s0 1) (LT s125 1) (LT s164 1) (LT s186 1)) (OR (LT s0 1) (LT s143 1) (LT s164 1) (LT s181 1)) (OR (LT s0 1) (LT s134 1) (LT s164 1) (LT s175 1)) (OR (LT s0 1) (LT s127 1) (LT s164 1) (LT s171 1)) (OR (LT s0 1) (LT s103 1) (LT s164 1) (LT s172 1)) (OR (LT s0 1) (LT s158 1) (LT s164 1) (LT s174 1)) (OR (LT s0 1) (LT s91 1) (LT s164 1) (LT s181 1)) (OR (LT s0 1) (LT s125 1) (LT s164 1) (LT s169 1)) (OR (LT s0 1) (LT s156 1) (LT s164 1) (LT s172 1)) (OR (LT s0 1) (LT s162 1) (LT s164 1) (LT s184 1)) (OR (LT s0 1) (LT s131 1) (LT s164 1) (LT s181 1)) (OR (LT s0 1) (LT s129 1) (LT s164 1) (LT s188 1)) (OR (LT s0 1) (LT s147 1) (LT s164 1) (LT s183 1)) (OR (LT s0 1) (LT s100 1) (LT s164 1) (LT s170 1)) (OR (LT s0 1) (LT s149 1) (LT s164 1) (LT s168 1)) (OR (LT s0 1) (LT s98 1) (LT s164 1) (LT s185 1)) (OR (LT s0 1) (LT s87 1) (LT s164 1) (LT s183 1)) (OR (LT s0 1) (LT s135 1) (LT s164 1) (LT s179 1)) (OR (LT s0 1) (LT s123 1) (LT s164 1) (LT s186 1)) (OR (LT s0 1) (LT s128 1) (LT s164 1) (LT s177 1)) (OR (LT s0 1) (LT s124 1) (LT s164 1) (LT s171 1)) (OR (LT s0 1) (LT s125 1) (LT s164 1) (LT s173 1)) (OR (LT s0 1) (LT s83 1) (LT s164 1) (LT s177 1)) (OR (LT s0 1) (LT s99 1) (LT s164 1) (LT s176 1)) (OR (LT s0 1) (LT s88 1) (LT s164 1) (LT s168 1)) (OR (LT s0 1) (LT s112 1) (LT s164 1) (LT s178 1)) (OR (LT s0 1) (LT s140 1) (LT s164 1) (LT s170 1)) (OR (LT s0 1) (LT s96 1) (LT s164 1) (LT s172 1)) (OR (LT s0 1) (LT s161 1) (LT s164 1) (LT s176 1)) (OR (LT s0 1) (LT s91 1) (LT s164 1) (LT s172 1)) (OR (LT s0 1) (LT s124 1) (LT s164 1) (LT s188 1)) (OR (LT s0 1) (LT s86 1) (LT s164 1) (LT s181 1)) (OR (LT s0 1) (LT s160 1) (LT s164 1) (LT s182 1)) (OR (LT s0 1) (LT s144 1) (LT s164 1) (LT s168 1)) (OR (LT s0 1) (LT s129 1) (LT s164 1) (LT s179 1)) (OR (LT s0 1) (LT s120 1) (LT s164 1) (LT s173 1)) (OR (LT s0 1) (LT s132 1) (LT s164 1) (LT s175 1)) (OR (LT s0 1) (LT s84 1) (LT s164 1) (LT s179 1)) (OR (LT s0 1) (LT s136 1) (LT s164 1) (LT s181 1)) (OR (LT s0 1) (LT s89 1) (LT s164 1) (LT s170 1)) (OR (LT s0 1) (LT s139 1) (LT s164 1) (LT s185 1)) (OR (LT s0 1) (LT s123 1) (LT s164 1) (LT s169 1)) (OR (LT s0 1) (LT s121 1) (LT s164 1) (LT s184 1)) (OR (LT s0 1) (LT s90 1) (LT s164 1) (LT s187 1)) (OR (LT s0 1) (LT s152 1) (LT s164 1) (LT s187 1)) (OR (LT s0 1) (LT s95 1) (LT s164 1) (LT s170 1)) (OR (LT s0 1) (LT s97 1) (LT s164 1) (LT s174 1)) (OR (LT s0 1) (LT s90 1) (LT s164 1) (LT s170 1)) (OR (LT s0 1) (LT s159 1) (LT s164 1) (LT s180 1)) (OR (LT s0 1) (LT s137 1) (LT s164 1) (LT s183 1)) (OR (LT s0 1) (LT s133 1) (LT s164 1) (LT s177 1)) (OR (LT s0 1) (LT s138 1) (LT s164 1) (LT s168 1)) (OR (LT s0 1) (LT s114 1) (LT s164 1) (LT s180 1)) (OR (LT s0 1) (LT s92 1) (LT s164 1) (LT s183 1)) (OR (LT s0 1) (LT s93 1) (LT s164 1) (LT s168 1)) (OR (LT s0 1) (LT s119 1) (LT s164 1) (LT s171 1)) (OR (LT s0 1) (LT s101 1) (LT s164 1) (LT s172 1)) (OR (LT s0 1) (LT s115 1) (LT s164 1) (LT s182 1)) (OR (LT s0 1) (LT s148 1) (LT s164 1) (LT s174 1)) (OR (LT s0 1) (LT s130 1) (LT s164 1) (LT s179 1)) (OR (LT s0 1) (LT s139 1) (LT s164 1) (LT s168 1)) (OR (LT s0 1) (LT s141 1) (LT s164 1) (LT s181 1)) (OR (LT s0 1) (LT s146 1) (LT s164 1) (LT s172 1)) (OR (LT s0 1) (LT s119 1) (LT s164 1) (LT s188 1)) (OR (LT s0 1) (LT s88 1) (LT s164 1) (LT s185 1)) (OR (LT s0 1) (LT s110 1) (LT s164 1) (LT s182 1)) (OR (LT s0 1) (LT s155 1) (LT s164 1) (LT s174 1)) (OR (LT s0 1) (LT s142 1) (LT s164 1) (LT s183 1)) (OR (LT s0 1) (LT s94 1) (LT s164 1) (LT s187 1)) (OR (LT s0 1) (LT s154 1) (LT s164 1) (LT s176 1)) (OR (LT s0 1) (LT s109 1) (LT s164 1) (LT s180 1)) (OR (LT s0 1) (LT s147 1) (LT s164 1) (LT s170 1)) (OR (LT s0 1) (LT s143 1) (LT s164 1) (LT s168 1)) (OR (LT s0 1) (LT s150 1) (LT s164 1) (LT s174 1)) (OR (LT s0 1) (LT s102 1) (LT s164 1) (LT s174 1)) (OR (LT s0 1) (LT s106 1) (LT s164 1) (LT s176 1)) (OR (LT s0 1) (LT s138 1) (LT s164 1) (LT s181 1)) (OR (LT s0 1) (LT s146 1) (LT s164 1) (LT s185 1)) (OR (LT s0 1) (LT s97 1) (LT s164 1) (LT s187 1)) (OR (LT s0 1) (LT s153 1) (LT s164 1) (LT s174 1)) (OR (LT s0 1) (LT s93 1) (LT s164 1) (LT s185 1)) (OR (LT s0 1) (LT s148 1) (LT s164 1) (LT s187 1)) (OR (LT s0 1) (LT s117 1) (LT s164 1) (LT s184 1)) (OR (LT s0 1) (LT s98 1) (LT s164 1) (LT s172 1)) (OR (LT s0 1) (LT s105 1) (LT s164 1) (LT s178 1)) (OR (LT s0 1) (LT s151 1) (LT s164 1) (LT s172 1)) (OR (LT s0 1) (LT s114 1) (LT s164 1) (LT s184 1)) (OR (LT s0 1) (LT s145 1) (LT s164 1) (LT s187 1)) (OR (LT s0 1) (LT s126 1) (LT s164 1) (LT s173 1)) (OR (LT s0 1) (LT s157 1) (LT s164 1) (LT s176 1)) (OR (LT s0 1) (LT s108 1) (LT s164 1) (LT s178 1)) (OR (LT s0 1) (LT s152 1) (LT s164 1) (LT s174 1)) (OR (LT s0 1) (LT s145 1) (LT s164 1) (LT s170 1)) (OR (LT s0 1) (LT s111 1) (LT s164 1) (LT s180 1)) (OR (LT s0 1) (LT s140 1) (LT s164 1) (LT s183 1)) (OR (LT s0 1) (LT s144 1) (LT s164 1) (LT s185 1)) (OR (LT s0 1) (LT s118 1) (LT s164 1) (LT s186 1)) (OR (LT s0 1) (LT s107 1) (LT s164 1) (LT s178 1)) (OR (LT s0 1) (LT s89 1) (LT s164 1) (LT s183 1)) (OR (LT s0 1) (LT s156 1) (LT s164 1) (LT s176 1)) (OR (LT s0 1) (LT s143 1) (LT s164 1) (LT s185 1)) (OR (LT s0 1) (LT s122 1) (LT s164 1) (LT s171 1)) (OR (LT s0 1) (LT s104 1) (LT s164 1) (LT s176 1)) (OR (LT s0 1) (LT s127 1) (LT s164 1) (LT s175 1)) (OR (LT s0 1) (LT s103 1) (LT s164 1) (LT s176 1)) (OR (LT s0 1) (LT s158 1) (LT s164 1) (LT s178 1)) (OR (LT s0 1) (LT s95 1) (LT s164 1) (LT s187 1)) (OR (LT s0 1) (LT s91 1) (LT s164 1) (LT s185 1)) (OR (LT s0 1) (LT s94 1) (LT s164 1) (LT s170 1)) (OR (LT s0 1) (LT s122 1) (LT s164 1) (LT s188 1)) (OR (LT s0 1) (LT s100 1) (LT s164 1) (LT s174 1)) (OR (LT s0 1) (LT s131 1) (LT s164 1) (LT s177 1)) (OR (LT s0 1) (LT s149 1) (LT s164 1) (LT s172 1)) (OR (LT s0 1) (LT s162 1) (LT s164 1) (LT s180 1)) (OR (LT s0 1) (LT s113 1) (LT s164 1) (LT s182 1)) (OR (LT s0 1) (LT s147 1) (LT s164 1) (LT s187 1)) (OR (LT s0 1) (LT s85 1) (LT s164 1) (LT s181 1)) (OR (LT s0 1) (LT s134 1) (LT s164 1) (LT s179 1)) (OR (LT s0 1) (LT s118 1) (LT s164 1) (LT s169 1)) (OR (LT s0 1) (LT s116 1) (LT s164 1) (LT s184 1)) (OR (LT s0 1) (LT s121 1) (LT s164 1) (LT s171 1)) (OR (LT s0 1) (LT s145 1) (LT s164 1) (LT s173 1)) (OR (LT s0 1) (LT s123 1) (LT s164 1) (LT s174 1)) (OR (LT s0 1) (LT s104 1) (LT s164 1) (LT s187 1)) (OR (LT s0 1) (LT s137 1) (LT s164 1) (LT s178 1)) (OR (LT s0 1) (LT s85 1) (LT s164 1) (LT s168 1)) (OR (LT s0 1) (LT s159 1) (LT s164 1) (LT s177 1)) (OR (LT s0 1) (LT s102 1) (LT s164 1) (LT s184 1)) (OR (LT s0 1) (LT s151 1) (LT s164 1) (LT s182 1)) (OR (LT s0 1) (LT s143 1) (LT s164 1) (LT s170 1)) (OR (LT s0 1) (LT s101 1) (LT s164 1) (LT s175 1)) (OR (LT s0 1) (LT s138 1) (LT s164 1) (LT s187 1)) (OR (LT s0 1) (LT s153 1) (LT s164 1) (LT s168 1)) (OR (LT s0 1) (LT s109 1) (LT s164 1) (LT s170 1)) (OR (LT s0 1) (LT s117 1) (LT s164 1) (LT s182 1)) (OR (LT s0 1) (LT s148 1) (LT s164 1) (LT s185 1)) (OR (LT s0 1) (LT s129 1) (LT s164 1) (LT s183 1)) (OR (LT s0 1) (LT s88 1) (LT s164 1) (LT s180 1)) (OR (LT s0 1) (LT s131 1) (LT s164 1) (LT s186 1)) (OR (LT s0 1) (LT s110 1) (LT s164 1) (LT s179 1)) (OR (LT s0 1) (LT s136 1) (LT s164 1) (LT s169 1)) (OR (LT s0 1) (LT s90 1) (LT s164 1) (LT s183 1)) (OR (LT s0 1) (LT s118 1) (LT s164 1) (LT s174 1)) (OR (LT s0 1) (LT s121 1) (LT s164 1) (LT s188 1)) (OR (LT s0 1) (LT s139 1) (LT s164 1) (LT s181 1)) (OR (LT s0 1) (LT s87 1) (LT s164 1) (LT s171 1)) (OR (LT s0 1) (LT s160 1) (LT s164 1) (LT s186 1)) (OR (LT s0 1) (LT s140 1) (LT s164 1) (LT s173 1)) (OR (LT s0 1) (LT s128 1) (LT s164 1) (LT s174 1)) (OR (LT s0 1) (LT s99 1) (LT s164 1) (LT s187 1)) (OR (LT s0 1) (LT s142 1) (LT s164 1) (LT s178 1)) (OR (LT s0 1) (LT s154 1) (LT s164 1) (LT s177 1)) (OR (LT s0 1) (LT s87 1) (LT s164 1) (LT s188 1)) (OR (LT s0 1) (LT s106 1) (LT s164 1) (LT s175 1)) (OR (LT s0 1) (LT s94 1) (LT s164 1) (LT s172 1)) (OR (LT s0 1) (LT s104 1) (LT s164 1) (LT s170 1)) (OR (LT s0 1) (LT s156 1) (LT s164 1) (LT s182 1)) (OR (LT s0 1) (LT s107 1) (LT s164 1) (LT s184 1)) (OR (LT s0 1) (LT s158 1) (LT s164 1) (LT s168 1)) (OR (LT s0 1) (LT s136 1) (LT s164 1) (LT s186 1)) (OR (LT s0 1) (LT s91 1) (LT s164 1) (LT s175 1)) (OR (LT s0 1) (LT s155 1) (LT s164 1) (LT s186 1)) (OR (LT s0 1) (LT s124 1) (LT s164 1) (LT s183 1)) (OR (LT s0 1) (LT s93 1) (LT s164 1) (LT s180 1)) (OR (LT s0 1) (LT s122 1) (LT s164 1) (LT s182 1)) (OR (LT s0 1) (LT s143 1) (LT s164 1) (LT s187 1)) (OR (LT s0 1) (LT s153 1) (LT s164 1) (LT s185 1)) (OR (LT s0 1) (LT s105 1) (LT s164 1) (LT s179 1)) (OR (LT s0 1) (LT s160 1) (LT s164 1) (LT s169 1)) (OR (LT s0 1) (LT s141 1) (LT s164 1) (LT s169 1)) (OR (LT s0 1) (LT s92 1) (LT s164 1) (LT s171 1)) (OR (LT s0 1) (LT s106 1) (LT s164 1) (LT s188 1)) (OR (LT s0 1) (LT s147 1) (LT s164 1) (LT s174 1)) (OR (LT s0 1) (LT s111 1) (LT s164 1) (LT s175 1)) (OR (LT s0 1) (LT s92 1) (LT s164 1) (LT s188 1)) (OR (LT s0 1) (LT s133 1) (LT s164 1) (LT s174 1)) (OR (LT s0 1) (LT s155 1) (LT s164 1) (LT s173 1)) (OR (LT s0 1) (LT s125 1) (LT s164 1) (LT s179 1)) (OR (LT s0 1) (LT s128 1) (LT s164 1) (LT s187 1)) (OR (LT s0 1) (LT s99 1) (LT s164 1) (LT s170 1)) (OR (LT s0 1) (LT s161 1) (LT s164 1) (LT s182 1)) (OR (LT s0 1) (LT s112 1) (LT s164 1) (LT s184 1)) (OR (LT s0 1) (LT s135 1) (LT s164 1) (LT s177 1)) (OR (LT s0 1) (LT s86 1) (LT s164 1) (LT s179 1)) (OR (LT s0 1) (LT s98 1) (LT s164 1) (LT s176 1)) (OR (LT s0 1) (LT s141 1) (LT s164 1) (LT s186 1)) (OR (LT s0 1) (LT s89 1) (LT s164 1) (LT s172 1)) (OR (LT s0 1) (LT s158 1) (LT s164 1) (LT s181 1)) (OR (LT s0 1) (LT s127 1) (LT s164 1) (LT s178 1)) (OR (LT s0 1) (LT s119 1) (LT s164 1) (LT s183 1)) (OR (LT s0 1) (LT s120 1) (LT s164 1) (LT s175 1)) (OR (LT s0 1) (LT s100 1) (LT s164 1) (LT s179 1)) (OR (LT s0 1) (LT s149 1) (LT s164 1) (LT s177 1)) (OR (LT s0 1) (LT s150 1) (LT s164 1) (LT s186 1)) (OR (LT s0 1) (LT s157 1) (LT s164 1) (LT s172 1)) (OR (LT s0 1) (LT s108 1) (LT s164 1) (LT s174 1)) (OR (LT s0 1) (LT s126 1) (LT s164 1) (LT s169 1)) (OR (LT s0 1) (LT s150 1) (LT s164 1) (LT s169 1)) (OR (LT s0 1) (LT s116 1) (LT s164 1) (LT s171 1)) (OR (LT s0 1) (LT s109 1) (LT s164 1) (LT s183 1)) (OR (LT s0 1) (LT s102 1) (LT s164 1) (LT s171 1)) (OR (LT s0 1) (LT s138 1) (LT s164 1) (LT s170 1)) (OR (LT s0 1) (LT s148 1) (LT s164 1) (LT s168 1)) (OR (LT s0 1) (LT s130 1) (LT s164 1) (LT s173 1)) (OR (LT s0 1) (LT s97 1) (LT s164 1) (LT s184 1)) (OR (LT s0 1) (LT s96 1) (LT s164 1) (LT s175 1)) (OR (LT s0 1) (LT s114 1) (LT s164 1) (LT s170 1)) (OR (LT s0 1) (LT s151 1) (LT s164 1) (LT s169 1)) (OR (LT s0 1) (LT s146 1) (LT s164 1) (LT s182 1)) (OR (LT s0 1) (LT s115 1) (LT s164 1) (LT s179 1)) (OR (LT s0 1) (LT s83 1) (LT s164 1) (LT s184 1)) (OR (LT s0 1) (LT s84 1) (LT s164 1) (LT s176 1)) (OR (LT s0 1) (LT s132 1) (LT s164 1) (LT s182 1)) (OR (LT s0 1) (LT s162 1) (LT s164 1) (LT s176 1)) (OR (LT s0 1) (LT s113 1) (LT s164 1) (LT s178 1)) (OR (LT s0 1) (LT s95 1) (LT s164 1) (LT s183 1)) (OR (LT s0 1) (LT s114 1) (LT s164 1) (LT s187 1)) (OR (LT s0 1) (LT s126 1) (LT s164 1) (LT s186 1)) (OR (LT s0 1) (LT s144 1) (LT s164 1) (LT s181 1)) (OR (LT s0 1) (LT s134 1) (LT s164 1) (LT s183 1)) (OR (LT s0 1) (LT s103 1) (LT s164 1) (LT s180 1)) (OR (LT s0 1) (LT s116 1) (LT s164 1) (LT s188 1)) (OR (LT s0 1) (LT s152 1) (LT s164 1) (LT s178 1)) (OR (LT s0 1) (LT s131 1) (LT s164 1) (LT s173 1)) (OR (LT s0 1) (LT s85 1) (LT s164 1) (LT s185 1)) (OR (LT s0 1) (LT s109 1) (LT s164 1) (LT s174 1)) (OR (LT s0 1) (LT s85 1) (LT s164 1) (LT s172 1)) (OR (LT s0 1) (LT s121 1) (LT s164 1) (LT s175 1)) (OR (LT s0 1) (LT s104 1) (LT s164 1) (LT s183 1)) (OR (LT s0 1) (LT s145 1) (LT s164 1) (LT s177 1)) (OR (LT s0 1) (LT s107 1) (LT s164 1) (LT s171 1)) (OR (LT s0 1) (LT s159 1) (LT s164 1) (LT s173 1)) (OR (LT s0 1) (LT s123 1) (LT s164 1) (LT s178 1)) (OR (LT s0 1) (LT s143 1) (LT s164 1) (LT s174 1)) (OR (LT s0 1) (LT s101 1) (LT s164 1) (LT s179 1)) (OR (LT s0 1) (LT s137 1) (LT s164 1) (LT s174 1)) (OR (LT s0 1) (LT s88 1) (LT s164 1) (LT s176 1)) (OR (LT s0 1) (LT s102 1) (LT s164 1) (LT s180 1)) (OR (LT s0 1) (LT s151 1) (LT s164 1) (LT s178 1)) (OR (LT s0 1) (LT s110 1) (LT s164 1) (LT s175 1)) (OR (LT s0 1) (LT s148 1) (LT s164 1) (LT s181 1)) (OR (LT s0 1) (LT s156 1) (LT s164 1) (LT s169 1)) (OR (LT s0 1) (LT s162 1) (LT s164 1) (LT s185 1)) (OR (LT s0 1) (LT s131 1) (LT s164 1) (LT s182 1)) (OR (LT s0 1) (LT s134 1) (LT s164 1) (LT s170 1)) (OR (LT s0 1) (LT s136 1) (LT s164 1) (LT s173 1)) (OR (LT s0 1) (LT s118 1) (LT s164 1) (LT s178 1)) (OR (LT s0 1) (LT s139 1) (LT s164 1) (LT s177 1)) (OR (LT s0 1) (LT s87 1) (LT s164 1) (LT s175 1)) (OR (LT s0 1) (LT s90 1) (LT s164 1) (LT s179 1)) (OR (LT s0 1) (LT s129 1) (LT s164 1) (LT s187 1)) (OR (LT s0 1) (LT s99 1) (LT s164 1) (LT s183 1)) (OR (LT s0 1) (LT s92 1) (LT s164 1) (LT s175 1)) (OR (LT s0 1) (LT s128 1) (LT s164 1) (LT s170 1)) (OR (LT s0 1) (LT s140 1) (LT s164 1) (LT s177 1)) (OR (LT s0 1) (LT s135 1) (LT s164 1) (LT s186 1)) (OR (LT s0 1) (LT s142 1) (LT s164 1) (LT s174 1)) (OR (LT s0 1) (LT s154 1) (LT s164 1) (LT s181 1)) (OR (LT s0 1) (LT s112 1) (LT s164 1) (LT s171 1)) (OR (LT s0 1) (LT s106 1) (LT s164 1) (LT s171 1)) (OR (LT s0 1) (LT s161 1) (LT s164 1) (LT s169 1)) (OR (LT s0 1) (LT s94 1) (LT s164 1) (LT s176 1)) (OR (LT s0 1) (LT s156 1) (LT s164 1) (LT s186 1)) (OR (LT s0 1) (LT s107 1) (LT s164 1) (LT s188 1)) (OR (LT s0 1) (LT s117 1) (LT s164 1) (LT s178 1)) (OR (LT s0 1) (LT s112 1) (LT s164 1) (LT s188 1)) (OR (LT s0 1) (LT s122 1) (LT s164 1) (LT s178 1)) (OR (LT s0 1) (LT s158 1) (LT s164 1) (LT s172 1)) (OR (LT s0 1) (LT s91 1) (LT s164 1) (LT s179 1)) (OR (LT s0 1) (LT s153 1) (LT s164 1) (LT s181 1)) (OR (LT s0 1) (LT s155 1) (LT s164 1) (LT s182 1)) (OR (LT s0 1) (LT s124 1) (LT s164 1) (LT s179 1)) (OR (LT s0 1) (LT s86 1) (LT s164 1) (LT s188 1)) (OR (LT s0 1) (LT s141 1) (LT s164 1) (LT s173 1)) (OR (LT s0 1) (LT s93 1) (LT s164 1) (LT s176 1)) (OR (LT s0 1) (LT s160 1) (LT s164 1) (LT s173 1)) (OR (LT s0 1) (LT s129 1) (LT s164 1) (LT s170 1)) (OR (LT s0 1) (LT s105 1) (LT s164 1) (LT s183 1)) (OR (LT s0 1) (LT s133 1) (LT s164 1) (LT s170 1)) (OR (LT s0 1) (LT s130 1) (LT s164 1) (LT s186 1)) (OR (LT s0 1) (LT s147 1) (LT s164 1) (LT s178 1)) (OR (LT s0 1) (LT s157 1) (LT s164 1) (LT s168 1)) (OR (LT s0 1) (LT s106 1) (LT s164 1) (LT s184 1)) (OR (LT s0 1) (LT s161 1) (LT s164 1) (LT s186 1)) (OR (LT s0 1) (LT s128 1) (LT s164 1) (LT s183 1)) (OR (LT s0 1) (LT s149 1) (LT s164 1) (LT s181 1)) (OR (LT s0 1) (LT s111 1) (LT s164 1) (LT s171 1)) (OR (LT s0 1) (LT s155 1) (LT s164 1) (LT s169 1)) (OR (LT s0 1) (LT s89 1) (LT s164 1) (LT s176 1)) (OR (LT s0 1) (LT s135 1) (LT s164 1) (LT s173 1)) (OR (LT s0 1) (LT s83 1) (LT s164 1) (LT s171 1)) (OR (LT s0 1) (LT s86 1) (LT s164 1) (LT s175 1)) (OR (LT s0 1) (LT s125 1) (LT s164 1) (LT s183 1)) (OR (LT s0 1) (LT s98 1) (LT s164 1) (LT s180 1)) (OR (LT s0 1) (LT s132 1) (LT s164 1) (LT s169 1)) (OR (LT s0 1) (LT s108 1) (LT s164 1) (LT s170 1)) (OR (LT s0 1) (LT s100 1) (LT s164 1) (LT s183 1)) (OR (LT s0 1) (LT s158 1) (LT s164 1) (LT s185 1)) (OR (LT s0 1) (LT s127 1) (LT s164 1) (LT s182 1)) (OR (LT s0 1) (LT s119 1) (LT s164 1) (LT s179 1)) (OR (LT s0 1) (LT s154 1) (LT s164 1) (LT s168 1)) (OR (LT s0 1) (LT s150 1) (LT s164 1) (LT s182 1)) (OR (LT s0 1) (LT s105 1) (LT s164 1) (LT s170 1)) (OR (LT s0 1) (LT s111 1) (LT s164 1) (LT s188 1)) (OR (LT s0 1) (LT s116 1) (LT s164 1) (LT s175 1)) (OR (LT s0 1) (LT s114 1) (LT s164 1) (LT s174 1)) (OR (LT s0 1) (LT s138 1) (LT s164 1) (LT s174 1)) (OR (LT s0 1) (LT s97 1) (LT s164 1) (LT s180 1)) (OR (LT s0 1) (LT s109 1) (LT s164 1) (LT s187 1)) (OR (LT s0 1) (LT s130 1) (LT s164 1) (LT s169 1)) (OR (LT s0 1) (LT s133 1) (LT s164 1) (LT s187 1)) (OR (LT s0 1) (LT s96 1) (LT s164 1) (LT s179 1)) (OR (LT s0 1) (LT s120 1) (LT s164 1) (LT s179 1)) (OR (LT s0 1) (LT s159 1) (LT s164 1) (LT s186 1)) (OR (LT s0 1) (LT s84 1) (LT s164 1) (LT s172 1)) (OR (LT s0 1) (LT s83 1) (LT s164 1) (LT s188 1)) (OR (LT s0 1) (LT s146 1) (LT s164 1) (LT s178 1)) (OR (LT s0 1) (LT s115 1) (LT s164 1) (LT s175 1)) (OR (LT s0 1) (LT s95 1) (LT s164 1) (LT s179 1)) (OR (LT s0 1) (LT s126 1) (LT s164 1) (LT s182 1)) (OR (LT s0 1) (LT s157 1) (LT s164 1) (LT s185 1)) (OR (LT s0 1) (LT s144 1) (LT s164 1) (LT s177 1)) (OR (LT s0 1) (LT s108 1) (LT s164 1) (LT s187 1)) (OR (LT s0 1) (LT s134 1) (LT s164 1) (LT s187 1)) (OR (LT s0 1) (LT s132 1) (LT s164 1) (LT s186 1)) (OR (LT s0 1) (LT s121 1) (LT s164 1) (LT s179 1)) (OR (LT s0 1) (LT s152 1) (LT s164 1) (LT s182 1)) (OR (LT s0 1) (LT s131 1) (LT s164 1) (LT s169 1)) (OR (LT s0 1) (LT s103 1) (LT s164 1) (LT s184 1)) (OR (LT s0 1) (LT s162 1) (LT s164 1) (LT s172 1)) (OR (LT s0 1) (LT s113 1) (LT s164 1) (LT s174 1)) (OR (LT s0 1) (LT s154 1) (LT s164 1) (LT s186 1)) (OR (LT s0 1) (LT s104 1) (LT s164 1) (LT s179 1)) (OR (LT s0 1) (LT s137 1) (LT s164 1) (LT s186 1)) (OR (LT s0 1) (LT s85 1) (LT s164 1) (LT s176 1)) (OR (LT s0 1) (LT s159 1) (LT s164 1) (LT s185 1)) (OR (LT s0 1) (LT s140 1) (LT s164 1) (LT s182 1)) (OR (LT s0 1) (LT s118 1) (LT s164 1) (LT s183 1)) (OR (LT s0 1) (LT s142 1) (LT s164 1) (LT s169 1)) (OR (LT s0 1) (LT s93 1) (LT s164 1) (LT s171 1)) (OR (LT s0 1) (LT s115 1) (LT s164 1) (LT s187 1)) (OR (LT s0 1) (LT s156 1) (LT s164 1) (LT s173 1)) (OR (LT s0 1) (LT s107 1) (LT s164 1) (LT s175 1)) (OR (LT s0 1) (LT s143 1) (LT s164 1) (LT s178 1)) (OR (LT s0 1) (LT s148 1) (LT s164 1) (LT s177 1)) (OR (LT s0 1) (LT s115 1) (LT s164 1) (LT s170 1)) (OR (LT s0 1) (LT s139 1) (LT s164 1) (LT s173 1)) (OR (LT s0 1) (LT s105 1) (LT s164 1) (LT s188 1)) (OR (LT s0 1) (LT s88 1) (LT s164 1) (LT s188 1)) (OR (LT s0 1) (LT s134 1) (LT s164 1) (LT s174 1)) (OR (LT s0 1) (LT s91 1) (LT s164 1) (LT s184 1)) (OR (LT s0 1) (LT s162 1) (LT s164 1) (LT s181 1)) (OR (LT s0 1) (LT s131 1) (LT s164 1) (LT s178 1)) (OR (LT s0 1) (LT s113 1) (LT s164 1) (LT s183 1)) (OR (LT s0 1) (LT s132 1) (LT s164 1) (LT s187 1)) (OR (LT s0 1) (LT s90 1) (LT s164 1) (LT s175 1)) (OR (LT s0 1) (LT s123 1) (LT s164 1) (LT s183 1)) (OR (LT s0 1) (LT s142 1) (LT s164 1) (LT s186 1)) (OR (LT s0 1) (LT s87 1) (LT s164 1) (LT s180 1)) (OR (LT s0 1) (LT s106 1) (LT s164 1) (LT s183 1)) (OR (LT s0 1) (LT s135 1) (LT s164 1) (LT s182 1)) (OR (LT s0 1) (LT s128 1) (LT s164 1) (LT s182 1)) (OR (LT s0 1) (LT s101 1) (LT s164 1) (LT s184 1)) (OR (LT s0 1) (LT s149 1) (LT s164 1) (LT s186 1)) (OR (LT s0 1) (LT s147 1) (LT s164 1) (LT s169 1)) (OR (LT s0 1) (LT s161 1) (LT s164 1) (LT s173 1)) (OR (LT s0 1) (LT s112 1) (LT s164 1) (LT s175 1)) (OR (LT s0 1) (LT s110 1) (LT s164 1) (LT s187 1)) (OR (LT s0 1) (LT s117 1) (LT s164 1) (LT s174 1)) (OR (LT s0 1) (LT s94 1) (LT s164 1) (LT s180 1)) (OR (LT s0 1) (LT s99 1) (LT s164 1) (LT s179 1)) (OR (LT s0 1) (LT s153 1) (LT s164 1) (LT s177 1)) (OR (LT s0 1) (LT s86 1) (LT s164 1) (LT s184 1)) (OR (LT s0 1) (LT s160 1) (LT s164 1) (LT s177 1)) (OR (LT s0 1) (LT s98 1) (LT s164 1) (LT s171 1)) (OR (LT s0 1) (LT s136 1) (LT s164 1) (LT s178 1)) (OR (LT s0 1) (LT s129 1) (LT s164 1) (LT s174 1)) (OR (LT s0 1) (LT s100 1) (LT s164 1) (LT s188 1)) (OR (LT s0 1) (LT s154 1) (LT s164 1) (LT s169 1)) (OR (LT s0 1) (LT s159 1) (LT s164 1) (LT s168 1)) (OR (LT s0 1) (LT s110 1) (LT s164 1) (LT s170 1)) (OR (LT s0 1) (LT s105 1) (LT s164 1) (LT s171 1)) (OR (LT s0 1) (LT s93 1) (LT s164 1) (LT s188 1)) (OR (LT s0 1) (LT s92 1) (LT s164 1) (LT s180 1)) (OR (LT s0 1) (LT s111 1) (LT s164 1) (LT s183 1)) (OR (LT s0 1) (LT s97 1) (LT s164 1) (LT s175 1)) (OR (LT s0 1) (LT s152 1) (LT s164 1) (LT s169 1)) (OR (LT s0 1) (LT s114 1) (LT s164 1) (LT s179 1)) (OR (LT s0 1) (LT s89 1) (LT s164 1) (LT s180 1)) (OR (LT s0 1) (LT s119 1) (LT s164 1) (LT s174 1)) (OR (LT s0 1) (LT s133 1) (LT s164 1) (LT s182 1)) (OR (LT s0 1) (LT s135 1) (LT s164 1) (LT s169 1)) (OR (LT s0 1) (LT s86 1) (LT s164 1) (LT s171 1)) (OR (LT s0 1) (LT s125 1) (LT s164 1) (LT s187 1)) (OR (LT s0 1) (LT s130 1) (LT s164 1) (LT s182 1)) (OR (LT s0 1) (LT s122 1) (LT s164 1) (LT s174 1)) (OR (LT s0 1) (LT s127 1) (LT s164 1) (LT s170 1)) (OR (LT s0 1) (LT s158 1) (LT s164 1) (LT s173 1)) (OR (LT s0 1) (LT s146 1) (LT s164 1) (LT s173 1)) (OR (LT s0 1) (LT s103 1) (LT s164 1) (LT s171 1)) (OR (LT s0 1) (LT s155 1) (LT s164 1) (LT s181 1)) (OR (LT s0 1) (LT s125 1) (LT s164 1) (LT s170 1)) (OR (LT s0 1) (LT s124 1) (LT s164 1) (LT s178 1)) (OR (LT s0 1) (LT s141 1) (LT s164 1) (LT s178 1)) (OR (LT s0 1) (LT s147 1) (LT s164 1) (LT s182 1)) (OR (LT s0 1) (LT s98 1) (LT s164 1) (LT s184 1)) (OR (LT s0 1) (LT s100 1) (LT s164 1) (LT s171 1)) (OR (LT s0 1) (LT s149 1) (LT s164 1) (LT s169 1)) (OR (LT s0 1) (LT s116 1) (LT s164 1) (LT s179 1)) (OR (LT s0 1) (LT s109 1) (LT s164 1) (LT s175 1)) (OR (LT s0 1) (LT s113 1) (LT s164 1) (LT s187 1)) (OR (LT s0 1) (LT s150 1) (LT s164 1) (LT s177 1)) (OR (LT s0 1) (LT s145 1) (LT s164 1) (LT s182 1)) (OR (LT s0 1) (LT s120 1) (LT s164 1) (LT s183 1)) (OR (LT s0 1) (LT s83 1) (LT s164 1) (LT s176 1)) (OR (LT s0 1) (LT s102 1) (LT s164 1) (LT s179 1)) (OR (LT s0 1) (LT s137 1) (LT s164 1) (LT s169 1)) (OR (LT s0 1) (LT s138 1) (LT s164 1) (LT s178 1)) (OR (LT s0 1) (LT s84 1) (LT s164 1) (LT s184 1)) (OR (LT s0 1) (LT s88 1) (LT s164 1) (LT s171 1)) (OR (LT s0 1) (LT s132 1) (LT s164 1) (LT s174 1)) (OR (LT s0 1) (LT s151 1) (LT s164 1) (LT s177 1)) (OR (LT s0 1) (LT s96 1) (LT s164 1) (LT s184 1)) (OR (LT s0 1) (LT s108 1) (LT s164 1) (LT s183 1)) (OR (LT s0 1) (LT s127 1) (LT s164 1) (LT s187 1)) (OR (LT s0 1) (LT s103 1) (LT s164 1) (LT s188 1)) (OR (LT s0 1) (LT s152 1) (LT s164 1) (LT s186 1)) (OR (LT s0 1) (LT s157 1) (LT s164 1) (LT s181 1)) (OR (LT s0 1) (LT s139 1) (LT s164 1) (LT s186 1)) (OR (LT s0 1) (LT s90 1) (LT s164 1) (LT s188 1)) (OR (LT s0 1) (LT s162 1) (LT s164 1) (LT s168 1)) (OR (LT s0 1) (LT s113 1) (LT s164 1) (LT s170 1)) (OR (LT s0 1) (LT s95 1) (LT s164 1) (LT s175 1)) (OR (LT s0 1) (LT s121 1) (LT s164 1) (LT s183 1)) (OR (LT s0 1) (LT s126 1) (LT s164 1) (LT s178 1)) (OR (LT s0 1) (LT s144 1) (LT s164 1) (LT s173 1)) (OR (LT s0 1) (LT s145 1) (LT s164 1) (LT s169 1)) (OR (LT s0 1) (LT s118 1) (LT s164 1) (LT s187 1)) (OR (LT s0 1) (LT s159 1) (LT s164 1) (LT s181 1)) (OR (LT s0 1) (LT s90 1) (LT s164 1) (LT s171 1)) (OR (LT s0 1) (LT s137 1) (LT s164 1) (LT s182 1)) (OR (LT s0 1) (LT s140 1) (LT s164 1) (LT s186 1)) (OR (LT s0 1) (LT s156 1) (LT s164 1) (LT s177 1)) (OR (LT s0 1) (LT s107 1) (LT s164 1) (LT s179 1)) (OR (LT s0 1) (LT s115 1) (LT s164 1) (LT s183 1)) (OR (LT s0 1) (LT s143 1) (LT s164 1) (LT s182 1)) (OR (LT s0 1) (LT s101 1) (LT s164 1) (LT s171 1)) (OR (LT s0 1) (LT s148 1) (LT s164 1) (LT s173 1)) (OR (LT s0 1) (LT s102 1) (LT s164 1) (LT s188 1)) (OR (LT s0 1) (LT s151 1) (LT s164 1) (LT s186 1)) (OR (LT s0 1) (LT s104 1) (LT s164 1) (LT s175 1)) (OR (LT s0 1) (LT s139 1) (LT s164 1) (LT s169 1)) (OR (LT s0 1) (LT s134 1) (LT s164 1) (LT s178 1)) (OR (LT s0 1) (LT s88 1) (LT s164 1) (LT s184 1)) (OR (LT s0 1) (LT s110 1) (LT s164 1) (LT s183 1)) (OR (LT s0 1) (LT s91 1) (LT s164 1) (LT s188 1)) (OR (LT s0 1) (LT s131 1) (LT s164 1) (LT s174 1)) (OR (LT s0 1) (LT s162 1) (LT s164 1) (LT s177 1)) (OR (LT s0 1) (LT s113 1) (LT s164 1) (LT s179 1)) (OR (LT s0 1) (LT s85 1) (LT s164 1) (LT s180 1)) (OR (LT s0 1) (LT s118 1) (LT s164 1) (LT s170 1)) (OR (LT s0 1) (LT s87 1) (LT s164 1) (LT s184 1)) (OR (LT s0 1) (LT s135 1) (LT s164 1) (LT s178 1)) (OR (LT s0 1) (LT s142 1) (LT s164 1) (LT s182 1)) (OR (LT s0 1) (LT s123 1) (LT s164 1) (LT s187 1)) (OR (LT s0 1) (LT s101 1) (LT s164 1) (LT s188 1)) (OR (LT s0 1) (LT s147 1) (LT s164 1) (LT s173 1)) (OR (LT s0 1) (LT s106 1) (LT s164 1) (LT s179 1)) (OR (LT s0 1) (LT s128 1) (LT s164 1) (LT s178 1)) (OR (LT s0 1) (LT s124 1) (LT s164 1) (LT s170 1)) (OR (LT s0 1) (LT s117 1) (LT s164 1) (LT s170 1)) (OR (LT s0 1) (LT s112 1) (LT s164 1) (LT s179 1)) (OR (LT s0 1) (LT s99 1) (LT s164 1) (LT s175 1)) (OR (LT s0 1) (LT s140 1) (LT s164 1) (LT s169 1)) (OR (LT s0 1) (LT s91 1) (LT s164 1) (LT s171 1)) (OR (LT s0 1) (LT s161 1) (LT s164 1) (LT s177 1)) (OR (LT s0 1) (LT s153 1) (LT s164 1) (LT s173 1)) (OR (LT s0 1) (LT s94 1) (LT s164 1) (LT s184 1)) (OR (LT s0 1) (LT s86 1) (LT s164 1) (LT s180 1)) (OR (LT s0 1) (LT s124 1) (LT s164 1) (LT s187 1)) (OR (LT s0 1) (LT s93 1) (LT s164 1) (LT s184 1)) (OR (LT s0 1) (LT s160 1) (LT s164 1) (LT s181 1)) (OR (LT s0 1) (LT s98 1) (LT s164 1) (LT s175 1)) (OR (LT s0 1) (LT s117 1) (LT s164 1) (LT s187 1)) (OR (LT s0 1) (LT s129 1) (LT s164 1) (LT s178 1)) (OR (LT s0 1) (LT s136 1) (LT s164 1) (LT s182 1)) (OR (LT s0 1) (LT s123 1) (LT s164 1) (LT s170 1)) (OR (LT s0 1) (LT s154 1) (LT s164 1) (LT s173 1)) (OR (LT s0 1) (LT s105 1) (LT s164 1) (LT s175 1)) (OR (LT s0 1) (LT s152 1) (LT s164 1) (LT s173 1)) (OR (LT s0 1) (LT s111 1) (LT s164 1) (LT s179 1)) (OR (LT s0 1) (LT s97 1) (LT s164 1) (LT s171 1)) (OR (LT s0 1) (LT s133 1) (LT s164 1) (LT s178 1)) (OR (LT s0 1) (LT s144 1) (LT s164 1) (LT s186 1)) (OR (LT s0 1) (LT s92 1) (LT s164 1) (LT s184 1)) (OR (LT s0 1) (LT s94 1) (LT s164 1) (LT s171 1)) (OR (LT s0 1) (LT s119 1) (LT s164 1) (LT s170 1)) (OR (LT s0 1) (LT s130 1) (LT s164 1) (LT s178 1)) (OR (LT s0 1) (LT s122 1) (LT s164 1) (LT s170 1)) (OR (LT s0 1) (LT s89 1) (LT s164 1) (LT s184 1)) (OR (LT s0 1) (LT s141 1) (LT s164 1) (LT s182 1)) (OR (LT s0 1) (LT s158 1) (LT s164 1) (LT s177 1)) (OR (LT s0 1) (LT s146 1) (LT s164 1) (LT s169 1)) (OR (LT s0 1) (LT s127 1) (LT s164 1) (LT s174 1)) (OR (LT s0 1) (LT s103 1) (LT s164 1) (LT s175 1)) (OR (LT s0 1) (LT s119 1) (LT s164 1) (LT s187 1)) (OR (LT s0 1) (LT s95 1) (LT s164 1) (LT s188 1)) (OR (LT s0 1) (LT s155 1) (LT s164 1) (LT s177 1)) (OR (LT s0 1) (LT s122 1) (LT s164 1) (LT s187 1)) (OR (LT s0 1) (LT s124 1) (LT s164 1) (LT s174 1)) (OR (LT s0 1) (LT s100 1) (LT s164 1) (LT s175 1)) (OR (LT s0 1) (LT s149 1) (LT s164 1) (LT s173 1)) (OR (LT s0 1) (LT s147 1) (LT s164 1) (LT s186 1)) (OR (LT s0 1) (LT s98 1) (LT s164 1) (LT s188 1)) (OR (LT s0 1) (LT s116 1) (LT s164 1) (LT s183 1)) (OR (LT s0 1) (LT s121 1) (LT s164 1) (LT s170 1)) (OR (LT s0 1) (LT s109 1) (LT s164 1) (LT s179 1)) (OR (LT s0 1) (LT s102 1) (LT s164 1) (LT s175 1)) (OR (LT s0 1) (LT s150 1) (LT s164 1) (LT s173 1)) (OR (LT s0 1) (LT s143 1) (LT s164 1) (LT s169 1)) (OR (LT s0 1) (LT s83 1) (LT s164 1) (LT s180 1)) (OR (LT s0 1) (LT s138 1) (LT s164 1) (LT s182 1)) (OR (LT s0 1) (LT s97 1) (LT s164 1) (LT s188 1)) (OR (LT s0 1) (LT s146 1) (LT s164 1) (LT s186 1)) (OR (LT s0 1) (LT s125 1) (LT s164 1) (LT s174 1)) (OR (LT s0 1) (LT s96 1) (LT s164 1) (LT s171 1)) (OR (LT s0 1) (LT s120 1) (LT s164 1) (LT s187 1)) (OR (LT s0 1) (LT s84 1) (LT s164 1) (LT s180 1)) (OR (LT s0 1) (LT s120 1) (LT s164 1) (LT s170 1)) (OR (LT s0 1) (LT s151 1) (LT s164 1) (LT s173 1)) (OR (LT s0 1) (LT s132 1) (LT s164 1) (LT s178 1)) (OR (LT s0 1) (LT s114 1) (LT s164 1) (LT s183 1)) (OR (LT s0 1) (LT s121 1) (LT s164 1) (LT s187 1)) (OR (LT s0 1) (LT s139 1) (LT s164 1) (LT s182 1)) (OR (LT s0 1) (LT s90 1) (LT s164 1) (LT s184 1)) (OR (LT s0 1) (LT s145 1) (LT s164 1) (LT s186 1)) (OR (LT s0 1) (LT s96 1) (LT s164 1) (LT s188 1)) (OR (LT s0 1) (LT s95 1) (LT s164 1) (LT s171 1)) (OR (LT s0 1) (LT s126 1) (LT s164 1) (LT s174 1)) (OR (LT s0 1) (LT s157 1) (LT s164 1) (LT s177 1)) (OR (LT s0 1) (LT s144 1) (LT s164 1) (LT s169 1)) (OR (LT s0 1) (LT s108 1) (LT s164 1) (LT s179 1)) (OR (LT s0 1) (LT s102 1) (LT s164 1) (LT s168 1)) (OR (LT s0 1) (LT s126 1) (LT s164 1) (LT s170 1)) (OR (LT s0 1) (LT s142 1) (LT s164 1) (LT s177 1)) (OR (LT s0 1) (LT s138 1) (LT s164 1) (LT s171 1)) (OR (LT s0 1) (LT s150 1) (LT s164 1) (LT s172 1)) (OR (LT s0 1) (LT s154 1) (LT s164 1) (LT s178 1)) (OR (LT s0 1) (LT s109 1) (LT s164 1) (LT s186 1)) (OR (LT s0 1) (LT s94 1) (LT s164 1) (LT s173 1)) (OR (LT s0 1) (LT s106 1) (LT s164 1) (LT s174 1)) (OR (LT s0 1) (LT s146 1) (LT s164 1) (LT s183 1)) (OR (LT s0 1) (LT s97 1) (LT s164 1) (LT s185 1)) (OR (LT s0 1) (LT s130 1) (LT s164 1) (LT s176 1)) (OR (LT s0 1) (LT s114 1) (LT s164 1) (LT s169 1)) (OR (LT s0 1) (LT s133 1) (LT s164 1) (LT s188 1)) (OR (LT s0 1) (LT s122 1) (LT s164 1) (LT s181 1)) (OR (LT s0 1) (LT s93 1) (LT s164 1) (LT s179 1)) (OR (LT s0 1) (LT s153 1) (LT s164 1) (LT s184 1)) (OR (LT s0 1) (LT s126 1) (LT s164 1) (LT s187 1)) (OR (LT s0 1) (LT s105 1) (LT s164 1) (LT s180 1)) (OR (LT s0 1) (LT s114 1) (LT s164 1) (LT s186 1)) (OR (LT s0 1) (LT s131 1) (LT s164 1) (LT s170 1)) (OR (LT s0 1) (LT s162 1) (LT s164 1) (LT s173 1)) (OR (LT s0 1) (LT s113 1) (LT s164 1) (LT s175 1)) (OR (LT s0 1) (LT s134 1) (LT s164 1) (LT s182 1)) (OR (LT s0 1) (LT s85 1) (LT s164 1) (LT s184 1)) (OR (LT s0 1) (LT s145 1) (LT s164 1) (LT s172 1)) (OR (LT s0 1) (LT s147 1) (LT s164 1) (LT s177 1)) (OR (LT s0 1) (LT s104 1) (LT s164 1) (LT s186 1)) (OR (LT s0 1) (LT s111 1) (LT s164 1) (LT s174 1)) (OR (LT s0 1) (LT s123 1) (LT s164 1) (LT s175 1)) (OR (LT s0 1) (LT s107 1) (LT s164 1) (LT s168 1)) (OR (LT s0 1) (LT s125 1) (LT s164 1) (LT s176 1)) (OR (LT s0 1) (LT s151 1) (LT s164 1) (LT s183 1)) (OR (LT s0 1) (LT s102 1) (LT s164 1) (LT s185 1)) (OR (LT s0 1) (LT s143 1) (LT s164 1) (LT s171 1)) (OR (LT s0 1) (LT s138 1) (LT s164 1) (LT s188 1)) (OR (LT s0 1) (LT s109 1) (LT s164 1) (LT s169 1)) (OR (LT s0 1) (LT s98 1) (LT s164 1) (LT s179 1)) (OR (LT s0 1) (LT s129 1) (LT s164 1) (LT s182 1)) (OR (LT s0 1) (LT s89 1) (LT s164 1) (LT s173 1)) (OR (LT s0 1) (LT s120 1) (LT s164 1) (LT s176 1)) (OR (LT s0 1) (LT s127 1) (LT s164 1) (LT s181 1)) (OR (LT s0 1) (LT s158 1) (LT s164 1) (LT s184 1)) (OR (LT s0 1) (LT s131 1) (LT s164 1) (LT s187 1)) (OR (LT s0 1) (LT s136 1) (LT s164 1) (LT s170 1)) (OR (LT s0 1) (LT s118 1) (LT s164 1) (LT s175 1)) (OR (LT s0 1) (LT s149 1) (LT s164 1) (LT s178 1)) (OR (LT s0 1) (LT s100 1) (LT s164 1) (LT s180 1)) (OR (LT s0 1) (LT s87 1) (LT s164 1) (LT s172 1)) (OR (LT s0 1) (LT s160 1) (LT s164 1) (LT s185 1)) (OR (LT s0 1) (LT s87 1) (LT s164 1) (LT s185 1)) (OR (LT s0 1) (LT s128 1) (LT s164 1) (LT s171 1)) (OR (LT s0 1) (LT s99 1) (LT s164 1) (LT s186 1)) (OR (LT s0 1) (LT s116 1) (LT s164 1) (LT s170 1)) (OR (LT s0 1) (LT s112 1) (LT s164 1) (LT s168 1)) (OR (LT s0 1) (LT s160 1) (LT s164 1) (LT s168 1)) (OR (LT s0 1) (LT s124 1) (LT s164 1) (LT s169 1)) (OR (LT s0 1) (LT s156 1) (LT s164 1) (LT s179 1)) (OR (LT s0 1) (LT s107 1) (LT s164 1) (LT s181 1)) (OR (LT s0 1) (LT s96 1) (LT s164 1) (LT s174 1)) (OR (LT s0 1) (LT s140 1) (LT s164 1) (LT s172 1)) (OR (LT s0 1) (LT s104 1) (LT s164 1) (LT s173 1)) (OR (LT s0 1) (LT s91 1) (LT s164 1) (LT s174 1)) (OR (LT s0 1) (LT s112 1) (LT s164 1) (LT s185 1)) (OR (LT s0 1) (LT s124 1) (LT s164 1) (LT s186 1)) (OR (LT s0 1) (LT s143 1) (LT s164 1) (LT s188 1)) (OR (LT s0 1) (LT s115 1) (LT s164 1) (LT s180 1)) (OR (LT s0 1) (LT s84 1) (LT s164 1) (LT s177 1)) (OR (LT s0 1) (LT s83 1) (LT s164 1) (LT s183 1)) (OR (LT s0 1) (LT s136 1) (LT s164 1) (LT s183 1)) (OR (LT s0 1) (LT s132 1) (LT s164 1) (LT s181 1)) (OR (LT s0 1) (LT s141 1) (LT s164 1) (LT s170 1)) (OR (LT s0 1) (LT s144 1) (LT s164 1) (LT s182 1)) (OR (LT s0 1) (LT s95 1) (LT s164 1) (LT s184 1)) (OR (LT s0 1) (LT s92 1) (LT s164 1) (LT s172 1)) (OR (LT s0 1) (LT s103 1) (LT s164 1) (LT s179 1)) (OR (LT s0 1) (LT s116 1) (LT s164 1) (LT s187 1)) (OR (LT s0 1) (LT s152 1) (LT s164 1) (LT s177 1)) (OR (LT s0 1) (LT s121 1) (LT s164 1) (LT s174 1)) (OR (LT s0 1) (LT s97 1) (LT s164 1) (LT s168 1)) (OR (LT s0 1) (LT s159 1) (LT s164 1) (LT s174 1)) (OR (LT s0 1) (LT s133 1) (LT s164 1) (LT s175 1)) (OR (LT s0 1) (LT s137 1) (LT s164 1) (LT s177 1)) (OR (LT s0 1) (LT s99 1) (LT s164 1) (LT s169 1)) (OR (LT s0 1) (LT s155 1) (LT s164 1) (LT s172 1)) (OR (LT s0 1) (LT s101 1) (LT s164 1) (LT s178 1)) (OR (LT s0 1) (LT s128 1) (LT s164 1) (LT s188 1)) (OR (LT s0 1) (LT s153 1) (LT s164 1) (LT s171 1)) (OR (LT s0 1) (LT s161 1) (LT s164 1) (LT s183 1)) (OR (LT s0 1) (LT s135 1) (LT s164 1) (LT s176 1)) (OR (LT s0 1) (LT s86 1) (LT s164 1) (LT s178 1)) (OR (LT s0 1) (LT s110 1) (LT s164 1) (LT s176 1)) (OR (LT s0 1) (LT s117 1) (LT s164 1) (LT s181 1)) (OR (LT s0 1) (LT s148 1) (LT s164 1) (LT s184 1)) (OR (LT s0 1) (LT s141 1) (LT s164 1) (LT s187 1)) (OR (LT s0 1) (LT s119 1) (LT s164 1) (LT s182 1)) (OR (LT s0 1) (LT s88 1) (LT s164 1) (LT s179 1)) (OR (LT s0 1) (LT s150 1) (LT s164 1) (LT s185 1)) (OR (LT s0 1) (LT s108 1) (LT s164 1) (LT s175 1)) (OR (LT s0 1) (LT s157 1) (LT s164 1) (LT s173 1)) (OR (LT s0 1) (LT s139 1) (LT s164 1) (LT s178 1)) (OR (LT s0 1) (LT s90 1) (LT s164 1) (LT s180 1)) (OR (LT s0 1) (LT s150 1) (LT s164 1) (LT s168 1)) (OR (LT s0 1) (LT s114 1) (LT s164 1) (LT s173 1)) (OR (LT s0 1) (LT s118 1) (LT s164 1) (LT s179 1)) (OR (LT s0 1) (LT s97 1) (LT s164 1) (LT s181 1)) (OR (LT s0 1) (LT s142 1) (LT s164 1) (LT s173 1)) (OR (LT s0 1) (LT s154 1) (LT s164 1) (LT s182 1)) (OR (LT s0 1) (LT s113 1) (LT s164 1) (LT s188 1)) (OR (LT s0 1) (LT s133 1) (LT s164 1) (LT s184 1)) (OR (LT s0 1) (LT s106 1) (LT s164 1) (LT s170 1)) (OR (LT s0 1) (LT s138 1) (LT s164 1) (LT s175 1)) (OR (LT s0 1) (LT s94 1) (LT s164 1) (LT s177 1)) (OR (LT s0 1) (LT s130 1) (LT s164 1) (LT s172 1)) (OR (LT s0 1) (LT s117 1) (LT s164 1) (LT s177 1)) (OR (LT s0 1) (LT s105 1) (LT s164 1) (LT s184 1)) (OR (LT s0 1) (LT s158 1) (LT s164 1) (LT s171 1)) (OR (LT s0 1) (LT s122 1) (LT s164 1) (LT s177 1)) (OR (LT s0 1) (LT s153 1) (LT s164 1) (LT s180 1)) (OR (LT s0 1) (LT s146 1) (LT s164 1) (LT s179 1)) (OR (LT s0 1) (LT s93 1) (LT s164 1) (LT s175 1)) (OR (LT s0 1) (LT s157 1) (LT s164 1) (LT s186 1)) (OR (LT s0 1) (LT s85 1) (LT s164 1) (LT s188 1)) (OR (LT s0 1) (LT s108 1) (LT s164 1) (LT s188 1)) (OR (LT s0 1) (LT s126 1) (LT s164 1) (LT s183 1)) (OR (LT s0 1) (LT s162 1) (LT s164 1) (LT s169 1)) (OR (LT s0 1) (LT s113 1) (LT s164 1) (LT s171 1)) (OR (LT s0 1) (LT s134 1) (LT s164 1) (LT s186 1)) (OR (LT s0 1) (LT s109 1) (LT s164 1) (LT s173 1)) (OR (LT s0 1) (LT s147 1) (LT s164 1) (LT s181 1)) (OR (LT s0 1) (LT s106 1) (LT s164 1) (LT s187 1)) (OR (LT s0 1) (LT s104 1) (LT s164 1) (LT s182 1)) (OR (LT s0 1) (LT s149 1) (LT s164 1) (LT s182 1)) (OR (LT s0 1) (LT s145 1) (LT s164 1) (LT s176 1)) (OR (LT s0 1) (LT s107 1) (LT s164 1) (LT s172 1)) (OR (LT s0 1) (LT s85 1) (LT s164 1) (LT s171 1)) (OR (LT s0 1) (LT s111 1) (LT s164 1) (LT s170 1)) (OR (LT s0 1) (LT s123 1) (LT s164 1) (LT s179 1)) (OR (LT s0 1) (LT s84 1) (LT s164 1) (LT s186 1)) (OR (LT s0 1) (LT s143 1) (LT s164 1) (LT s175 1)) (OR (LT s0 1) (LT s89 1) (LT s164 1) (LT s177 1)) (OR (LT s0 1) (LT s151 1) (LT s164 1) (LT s179 1)) (OR (LT s0 1) (LT s102 1) (LT s164 1) (LT s181 1)) (OR (LT s0 1) (LT s83 1) (LT s164 1) (LT s170 1)) (OR (LT s0 1) (LT s125 1) (LT s164 1) (LT s180 1)) (OR (LT s0 1) (LT s127 1) (LT s164 1) (LT s168 1)) (OR (LT s0 1) (LT s132 1) (LT s164 1) (LT s168 1)) (OR (LT s0 1) (LT s156 1) (LT s164 1) (LT s170 1)) (OR (LT s0 1) (LT s162 1) (LT s164 1) (LT s186 1)) (OR (LT s0 1) (LT s134 1) (LT s164 1) (LT s169 1)) (OR (LT s0 1) (LT s131 1) (LT s164 1) (LT s183 1)) (OR (LT s0 1) (LT s100 1) (LT s164 1) (LT s184 1)) (OR (LT s0 1) (LT s127 1) (LT s164 1) (LT s185 1)) (OR (LT s0 1) (LT s158 1) (LT s164 1) (LT s188 1)) (OR (LT s0 1) (LT s136 1) (LT s164 1) (LT s174 1)) (OR (LT s0 1) (LT s87 1) (LT s164 1) (LT s176 1)) (OR (LT s0 1) (LT s98 1) (LT s164 1) (LT s183 1)) (OR (LT s0 1) (LT s111 1) (LT s164 1) (LT s187 1)) (OR (LT s0 1) (LT s129 1) (LT s164 1) (LT s186 1)) (OR (LT s0 1) (LT s140 1) (LT s164 1) (LT s176 1)) (OR (LT s0 1) (LT s99 1) (LT s164 1) (LT s182 1)) (OR (LT s0 1) (LT s116 1) (LT s164 1) (LT s174 1)) (OR (LT s0 1) (LT s92 1) (LT s164 1) (LT s176 1)) (OR (LT s0 1) (LT s112 1) (LT s164 1) (LT s172 1)) (OR (LT s0 1) (LT s161 1) (LT s164 1) (LT s170 1)) (OR (LT s0 1) (LT s96 1) (LT s164 1) (LT s178 1)) (OR (LT s0 1) (LT s120 1) (LT s164 1) (LT s180 1)) (OR (LT s0 1) (LT s104 1) (LT s164 1) (LT s169 1)) (OR (LT s0 1) (LT s159 1) (LT s164 1) (LT s187 1)) (OR (LT s0 1) (LT s156 1) (LT s164 1) (LT s183 1)) (OR (LT s0 1) (LT s107 1) (LT s164 1) (LT s185 1)) (OR (LT s0 1) (LT s136 1) (LT s164 1) (LT s187 1)) (OR (LT s0 1) (LT s91 1) (LT s164 1) (LT s178 1)) (OR (LT s0 1) (LT s155 1) (LT s164 1) (LT s185 1)) (OR (LT s0 1) (LT s124 1) (LT s164 1) (LT s182 1)) (OR (LT s0 1) (LT s141 1) (LT s164 1) (LT s174 1)) (OR (LT s0 1) (LT s83 1) (LT s164 1) (LT s187 1)) (OR (LT s0 1) (LT s129 1) (LT s164 1) (LT s169 1)) (OR (LT s0 1) (LT s115 1) (LT s164 1) (LT s176 1)) (OR (LT s0 1) (LT s160 1) (LT s164 1) (LT s172 1)) (OR (LT s0 1) (LT s84 1) (LT s164 1) (LT s173 1)) (OR (LT s0 1) (LT s144 1) (LT s164 1) (LT s178 1)) (OR (LT s0 1) (LT s95 1) (LT s164 1) (LT s180 1)) (OR (LT s0 1) (LT s132 1) (LT s164 1) (LT s185 1)) (OR (LT s0 1) (LT s152 1) (LT s164 1) (LT s181 1)) (OR (LT s0 1) (LT s121 1) (LT s164 1) (LT s178 1)) (OR (LT s0 1) (LT s103 1) (LT s164 1) (LT s183 1)) (OR (LT s0 1) (LT s133 1) (LT s164 1) (LT s171 1)) (OR (LT s0 1) (LT s130 1) (LT s164 1) (LT s185 1)) (OR (LT s0 1) (LT s157 1) (LT s164 1) (LT s169 1)) (OR (LT s0 1) (LT s161 1) (LT s164 1) (LT s187 1)) (OR (LT s0 1) (LT s159 1) (LT s164 1) (LT s170 1)) (OR (LT s0 1) (LT s128 1) (LT s164 1) (LT s184 1)) (OR (LT s0 1) (LT s101 1) (LT s164 1) (LT s182 1)) (OR (LT s0 1) (LT s88 1) (LT s164 1) (LT s175 1)) (OR (LT s0 1) (LT s137 1) (LT s164 1) (LT s173 1)) (OR (LT s0 1) (LT s155 1) (LT s164 1) (LT s168 1)) (OR (LT s0 1) (LT s135 1) (LT s164 1) (LT s172 1)) (OR (LT s0 1) (LT s86 1) (LT s164 1) (LT s174 1)) (OR (LT s0 1) (LT s148 1) (LT s164 1) (LT s180 1)) (OR (LT s0 1) (LT s108 1) (LT s164 1) (LT s171 1)) (OR (LT s0 1) (LT s110 1) (LT s164 1) (LT s172 1)) (OR (LT s0 1) (LT s119 1) (LT s164 1) (LT s178 1)) (OR (LT s0 1) (LT s150 1) (LT s164 1) (LT s181 1)) (OR (LT s0 1) (LT s139 1) (LT s164 1) (LT s174 1)) (OR (LT s0 1) (LT s90 1) (LT s164 1) (LT s176 1)) (OR (LT s0 1) (LT s142 1) (LT s164 1) (LT s185 1)) (OR (LT s0 1) (LT s109 1) (LT s164 1) (LT s178 1)) (OR (LT s0 1) (LT s102 1) (LT s164 1) (LT s176 1)) (OR (LT s0 1) (LT s106 1) (LT s164 1) (LT s182 1)) (OR (LT s0 1) (LT s113 1) (LT s164 1) (LT s184 1)) (OR (LT s0 1) (LT s145 1) (LT s164 1) (LT s181 1)) (OR (LT s0 1) (LT s101 1) (LT s164 1) (LT s183 1)) (OR (LT s0 1) (LT s149 1) (LT s164 1) (LT s187 1)) (OR (LT s0 1) (LT s147 1) (LT s164 1) (LT s168 1)) (OR (LT s0 1) (LT s138 1) (LT s164 1) (LT s179 1)) (OR (LT s0 1) (LT s130 1) (LT s164 1) (LT s168 1)) (OR (LT s0 1) (LT s110 1) (LT s164 1) (LT s188 1)) (OR (LT s0 1) (LT s94 1) (LT s164 1) (LT s181 1)) (OR (LT s0 1) (LT s117 1) (LT s164 1) (LT s173 1)) (OR (LT s0 1) (LT s93 1) (LT s164 1) (LT s187 1)) (OR (LT s0 1) (LT s153 1) (LT s164 1) (LT s176 1)) (OR (LT s0 1) (LT s110 1) (LT s164 1) (LT s171 1)) (OR (LT s0 1) (LT s151 1) (LT s164 1) (LT s174 1)) (OR (LT s0 1) (LT s98 1) (LT s164 1) (LT s170 1)) (OR (LT s0 1) (LT s150 1) (LT s164 1) (LT s180 1)) (OR (LT s0 1) (LT s108 1) (LT s164 1) (LT s184 1)) (OR (LT s0 1) (LT s154 1) (LT s164 1) (LT s170 1)) (OR (LT s0 1) (LT s126 1) (LT s164 1) (LT s179 1)) (OR (LT s0 1) (LT s157 1) (LT s164 1) (LT s182 1)) (OR (LT s0 1) (LT s159 1) (LT s164 1) (LT s169 1)) (OR (LT s0 1) (LT s105 1) (LT s164 1) (LT s172 1)) (OR (LT s0 1) (LT s85 1) (LT s164 1) (LT s175 1)) (OR (LT s0 1) (LT s104 1) (LT s164 1) (LT s178 1)) (OR (LT s0 1) (LT s111 1) (LT s164 1) (LT s182 1)) (OR (LT s0 1) (LT s144 1) (LT s164 1) (LT s187 1)) (OR (LT s0 1) (LT s140 1) (LT s164 1) (LT s181 1)) (OR (LT s0 1) (LT s152 1) (LT s164 1) (LT s168 1)) (OR (LT s0 1) (LT s118 1) (LT s164 1) (LT s184 1)) (OR (LT s0 1) (LT s89 1) (LT s164 1) (LT s181 1)) (OR (LT s0 1) (LT s156 1) (LT s164 1) (LT s174 1)) (OR (LT s0 1) (LT s107 1) (LT s164 1) (LT s176 1)) (OR (LT s0 1) (LT s125 1) (LT s164 1) (LT s184 1)) (OR (LT s0 1) (LT s143 1) (LT s164 1) (LT s179 1)) (OR (LT s0 1) (LT s122 1) (LT s164 1) (LT s173 1)) (OR (LT s0 1) (LT s127 1) (LT s164 1) (LT s173 1)) (OR (LT s0 1) (LT s103 1) (LT s164 1) (LT s170 1)) (OR (LT s0 1) (LT s134 1) (LT s164 1) (LT s173 1)) (OR (LT s0 1) (LT s158 1) (LT s164 1) (LT s176 1)) (OR (LT s0 1) (LT s91 1) (LT s164 1) (LT s183 1)) (OR (LT s0 1) (LT s162 1) (LT s164 1) (LT s182 1)) (OR (LT s0 1) (LT s131 1) (LT s164 1) (LT s179 1)) (OR (LT s0 1) (LT s98 1) (LT s164 1) (LT s187 1)) (OR (LT s0 1) (LT s149 1) (LT s164 1) (LT s170 1)) (OR (LT s0 1) (LT s116 1) (LT s164 1) (LT s182 1)) (OR (LT s0 1) (LT s147 1) (LT s164 1) (LT s185 1)) (OR (LT s0 1) (LT s100 1) (LT s164 1) (LT s172 1)) (OR (LT s0 1) (LT s116 1) (LT s164 1) (LT s178 1)) (OR (LT s0 1) (LT s123 1) (LT s164 1) (LT s184 1)) (OR (LT s0 1) (LT s135 1) (LT s164 1) (LT s185 1)) (OR (LT s0 1) (LT s128 1) (LT s164 1) (LT s179 1)) (OR (LT s0 1) (LT s84 1) (LT s164 1) (LT s185 1)) (OR (LT s0 1) (LT s161 1) (LT s164 1) (LT s174 1)) (OR (LT s0 1) (LT s120 1) (LT s164 1) (LT s184 1)) (OR (LT s0 1) (LT s88 1) (LT s164 1) (LT s170 1)) (OR (LT s0 1) (LT s112 1) (LT s164 1) (LT s176 1)) (OR (LT s0 1) (LT s137 1) (LT s164 1) (LT s168 1)) (OR (LT s0 1) (LT s83 1) (LT s164 1) (LT s175 1)) (OR (LT s0 1) (LT s156 1) (LT s164 1) (LT s187 1)) (OR (LT s0 1) (LT s99 1) (LT s164 1) (LT s178 1)) (OR (LT s0 1) (LT s127 1) (LT s164 1) (LT s169 1)) (OR (LT s0 1) (LT s129 1) (LT s164 1) (LT s173 1)) (OR (LT s0 1) (LT s132 1) (LT s164 1) (LT s173 1)) (OR (LT s0 1) (LT s160 1) (LT s164 1) (LT s176 1)) (OR (LT s0 1) (LT s86 1) (LT s164 1) (LT s187 1)) (OR (LT s0 1) (LT s127 1) (LT s164 1) (LT s186 1)) (OR (LT s0 1) (LT s139 1) (LT s164 1) (LT s187 1)) (OR (LT s0 1) (LT s103 1) (LT s164 1) (LT s187 1)) (OR (LT s0 1) (LT s152 1) (LT s164 1) (LT s185 1)) (OR (LT s0 1) (LT s136 1) (LT s164 1) (LT s175 1)) (OR (LT s0 1) (LT s87 1) (LT s164 1) (LT s177 1)) (OR (LT s0 1) (LT s121 1) (LT s164 1) (LT s182 1)) (OR (LT s0 1) (LT s144 1) (LT s164 1) (LT s174 1)) (OR (LT s0 1) (LT s95 1) (LT s164 1) (LT s176 1)) (OR (LT s0 1) (LT s159 1) (LT s164 1) (LT s182 1)) (OR (LT s0 1) (LT s154 1) (LT s164 1) (LT s187 1)) (OR (LT s0 1) (LT s137 1) (LT s164 1) (LT s185 1)) (OR (LT s0 1) (LT s90 1) (LT s164 1) (LT s172 1)) (OR (LT s0 1) (LT s114 1) (LT s164 1) (LT s178 1)) (OR (LT s0 1) (LT s97 1) (LT s164 1) (LT s176 1)) (OR (LT s0 1) (LT s142 1) (LT s164 1) (LT s172 1)) (OR (LT s0 1) (LT s92 1) (LT s164 1) (LT s181 1)) (OR (LT s0 1) (LT s115 1) (LT s164 1) (LT s188 1)) (OR (LT s0 1) (LT s96 1) (LT s164 1) (LT s183 1)) (OR (LT s0 1) (LT s135 1) (LT s164 1) (LT s168 1)) (OR (LT s0 1) (LT s119 1) (LT s164 1) (LT s173 1)) (OR (LT s0 1) (LT s133 1) (LT s164 1) (LT s183 1)) (OR (LT s0 1) (LT s86 1) (LT s164 1) (LT s170 1)) (OR (LT s0 1) (LT s101 1) (LT s164 1) (LT s170 1)) (OR (LT s0 1) (LT s148 1) (LT s164 1) (LT s176 1)) (OR (LT s0 1) (LT s130 1) (LT s164 1) (LT s181 1)) (OR (LT s0 1) (LT s146 1) (LT s164 1) (LT s174 1)) (OR (LT s0 1) (LT s115 1) (LT s164 1) (LT s171 1)) (OR (LT s0 1) (LT s88 1) (LT s164 1) (LT s187 1)) (OR (LT s0 1) (LT s84 1) (LT s164 1) (LT s168 1)) (OR (LT s0 1) (LT s139 1) (LT s164 1) (LT s170 1)) (OR (LT s0 1) (LT s155 1) (LT s164 1) (LT s180 1)) (OR (LT s0 1) (LT s124 1) (LT s164 1) (LT s177 1)) (OR (LT s0 1) (LT s110 1) (LT s164 1) (LT s184 1)) (OR (LT s0 1) (LT s93 1) (LT s164 1) (LT s174 1)) (OR (LT s0 1) (LT s141 1) (LT s164 1) (LT s179 1)) (OR (LT s0 1) (LT s142 1) (LT s164 1) (LT s181 1)) (OR (LT s0 1) (LT s101 1) (LT s164 1) (LT s187 1)) (OR (LT s0 1) (LT s109 1) (LT s164 1) (LT s182 1)) (OR (LT s0 1) (LT s147 1) (LT s164 1) (LT s172 1)) (OR (LT s0 1) (LT s102 1) (LT s164 1) (LT s172 1)) (OR (LT s0 1) (LT s150 1) (LT s164 1) (LT s176 1)) (OR (LT s0 1) (LT s106 1) (LT s164 1) (LT s178 1)) (OR (LT s0 1) (LT s146 1) (LT s164 1) (LT s187 1)) (OR (LT s0 1) (LT s117 1) (LT s164 1) (LT s169 1)) (OR (LT s0 1) (LT s138 1) (LT s164 1) (LT s183 1)) (OR (LT s0 1) (LT s94 1) (LT s164 1) (LT s185 1)) (OR (LT s0 1) (LT s153 1) (LT s164 1) (LT s172 1)) (OR (LT s0 1) (LT s117 1) (LT s164 1) (LT s186 1)) (OR (LT s0 1) (LT s93 1) (LT s164 1) (LT s183 1)) (OR (LT s0 1) (LT s105 1) (LT s164 1) (LT s176 1)) (OR (LT s0 1) (LT s98 1) (LT s164 1) (LT s174 1)) (OR (LT s0 1) (LT s151 1) (LT s164 1) (LT s170 1)) (OR (LT s0 1) (LT s145 1) (LT s164 1) (LT s185 1)) (OR (LT s0 1) (LT s96 1) (LT s164 1) (LT s187 1)) (OR (LT s0 1) (LT s154 1) (LT s164 1) (LT s174 1)) (OR (LT s0 1) (LT s157 1) (LT s164 1) (LT s178 1)) (OR (LT s0 1) (LT s108 1) (LT s164 1) (LT s180 1)) (OR (LT s0 1) (LT s126 1) (LT s164 1) (LT s175 1)) (OR (LT s0 1) (LT s118 1) (LT s164 1) (LT s188 1)) (OR (LT s0 1) (LT s145 1) (LT s164 1) (LT s168 1)) (OR (LT s0 1) (LT s85 1) (LT s164 1) (LT s179 1)) (OR (LT s0 1) (LT s125 1) (LT s164 1) (LT s188 1)) (OR (LT s0 1) (LT s111 1) (LT s164 1) (LT s178 1)) (OR (LT s0 1) (LT s152 1) (LT s164 1) (LT s172 1)) (OR (LT s0 1) (LT s116 1) (LT s164 1) (LT s169 1)) (OR (LT s0 1) (LT s140 1) (LT s164 1) (LT s185 1)) (OR (LT s0 1) (LT s156 1) (LT s164 1) (LT s178 1)) (OR (LT s0 1) (LT s107 1) (LT s164 1) (LT s180 1)) (OR (LT s0 1) (LT s143 1) (LT s164 1) (LT s183 1)) (OR (LT s0 1) (LT s122 1) (LT s164 1) (LT s169 1)) (OR (LT s0 1) (LT s104 1) (LT s164 1) (LT s174 1)) (OR (LT s0 1) (LT s89 1) (LT s164 1) (LT s185 1)) (OR (LT s0 1) (LT s151 1) (LT s164 1) (LT s187 1)) (OR (LT s0 1) (LT s134 1) (LT s164 1) (LT s177 1)) (OR (LT s0 1) (LT s127 1) (LT s164 1) (LT s177 1)) (OR (LT s0 1) (LT s103 1) (LT s164 1) (LT s174 1)) (OR (LT s0 1) (LT s122 1) (LT s164 1) (LT s186 1)) (OR (LT s0 1) (LT s125 1) (LT s164 1) (LT s171 1)) (OR (LT s0 1) (LT s158 1) (LT s164 1) (LT s180 1)) (OR (LT s0 1) (LT s91 1) (LT s164 1) (LT s187 1)) (OR (LT s0 1) (LT s94 1) (LT s164 1) (LT s168 1)) (OR (LT s0 1) (LT s118 1) (LT s164 1) (LT s171 1)) (OR (LT s0 1) (LT s149 1) (LT s164 1) (LT s174 1)) (OR (LT s0 1) (LT s131 1) (LT s164 1) (LT s175 1)) (OR (LT s0 1) (LT s162 1) (LT s164 1) (LT s178 1)) (OR (LT s0 1) (LT s87 1) (LT s164 1) (LT s168 1)) (OR (LT s0 1) (LT s100 1) (LT s164 1) (LT s176 1)) (OR (LT s0 1) (LT s113 1) (LT s164 1) (LT s180 1)) (OR (LT s0 1) (LT s144 1) (LT s164 1) (LT s183 1)) (OR (LT s0 1) (LT s95 1) (LT s164 1) (LT s185 1)) (OR (LT s0 1) (LT s116 1) (LT s164 1) (LT s186 1)) (OR (LT s0 1) (LT s128 1) (LT s164 1) (LT s175 1)) (OR (LT s0 1) (LT s135 1) (LT s164 1) (LT s181 1)) (OR (LT s0 1) (LT s121 1) (LT s164 1) (LT s169 1)) (OR (LT s0 1) (LT s87 1) (LT s164 1) (LT s181 1)) (OR (LT s0 1) (LT s123 1) (LT s164 1) (LT s188 1)) (OR (LT s0 1) (LT s83 1) (LT s164 1) (LT s179 1)) (OR (LT s0 1) (LT s84 1) (LT s164 1) (LT s181 1)) (OR (LT s0 1) (LT s125 1) (LT s164 1) (LT s175 1)) (OR (LT s0 1) (LT s112 1) (LT s164 1) (LT s180 1)) (OR (LT s0 1) (LT s140 1) (LT s164 1) (LT s168 1)) (OR (LT s0 1) (LT s99 1) (LT s164 1) (LT s174 1)) (OR (LT s0 1) (LT s91 1) (LT s164 1) (LT s170 1)) (OR (LT s0 1) (LT s96 1) (LT s164 1) (LT s170 1)) (OR (LT s0 1) (LT s161 1) (LT s164 1) (LT s178 1)) (OR (LT s0 1) (LT s120 1) (LT s164 1) (LT s188 1)) (OR (LT s0 1) (LT s129 1) (LT s164 1) (LT s177 1)) (OR (LT s0 1) (LT s160 1) (LT s164 1) (LT s180 1)) (OR (LT s0 1) (LT s86 1) (LT s164 1) (LT s183 1)) (OR (LT s0 1) (LT s136 1) (LT s164 1) (LT s179 1)) (OR (LT s0 1) (LT s89 1) (LT s164 1) (LT s168 1)) (OR (LT s0 1) (LT s132 1) (LT s164 1) (LT s177 1)) (OR (LT s0 1) (LT s120 1) (LT s164 1) (LT s171 1)) (OR (LT s0 1) (LT s90 1) (LT s164 1) (LT s185 1)) (OR (LT s0 1) (LT s121 1) (LT s164 1) (LT s186 1)) (OR (LT s0 1) (LT s139 1) (LT s164 1) (LT s183 1)) (OR (LT s0 1) (LT s123 1) (LT s164 1) (LT s171 1)) (OR (LT s0 1) (LT s144 1) (LT s164 1) (LT s170 1)) (OR (LT s0 1) (LT s95 1) (LT s164 1) (LT s172 1)) (OR (LT s0 1) (LT s92 1) (LT s164 1) (LT s168 1)) (OR (LT s0 1) (LT s159 1) (LT s164 1) (LT s178 1)) (OR (LT s0 1) (LT s97 1) (LT s164 1) (LT s172 1)) (OR (LT s0 1) (LT s90 1) (LT s164 1) (LT s168 1)) (OR (LT s0 1) (LT s133 1) (LT s164 1) (LT s179 1)) (OR (LT s0 1) (LT s137 1) (LT s164 1) (LT s181 1)) (OR (LT s0 1) (LT s92 1) (LT s164 1) (LT s185 1)) (OR (LT s0 1) (LT s114 1) (LT s164 1) (LT s182 1)) (OR (LT s0 1) (LT s142 1) (LT s164 1) (LT s168 1)) (OR (LT s0 1) (LT s93 1) (LT s164 1) (LT s170 1)) (OR (LT s0 1) (LT s148 1) (LT s164 1) (LT s172 1)) (OR (LT s0 1) (LT s115 1) (LT s164 1) (LT s184 1)) (OR (LT s0 1) (LT s101 1) (LT s164 1) (LT s174 1)) (OR (LT s0 1) (LT s119 1) (LT s164 1) (LT s169 1)) (OR (LT s0 1) (LT s130 1) (LT s164 1) (LT s177 1)) (OR (LT s0 1) (LT s141 1) (LT s164 1) (LT s183 1)) (OR (LT s0 1) (LT s146 1) (LT s164 1) (LT s170 1)) (OR (LT s0 1) (LT s119 1) (LT s164 1) (LT s186 1)) (OR (LT s0 1) (LT s88 1) (LT s164 1) (LT s183 1)) (OR (LT s0 1) (LT s155 1) (LT s164 1) (LT s176 1)) (OR (LT s0 1) (LT s124 1) (LT s164 1) (LT s173 1)) (OR (LT s0 1) (LT s110 1) (LT s164 1) (LT s180 1)) (OR (LT s0 1) (LT s97 1) (LT s164 1) (LT s169 1)) (OR (LT s0 1) (LT s147 1) (LT s164 1) (LT s176 1)) (OR (LT s0 1) (LT s92 1) (LT s164 1) (LT s186 1)) (OR (LT s0 1) (LT s133 1) (LT s164 1) (LT s172 1)) (OR (LT s0 1) (LT s111 1) (LT s164 1) (LT s173 1)) (OR (LT s0 1) (LT s125 1) (LT s164 1) (LT s177 1)) (OR (LT s0 1) (LT s155 1) (LT s164 1) (LT s171 1)) (OR (LT s0 1) (LT s99 1) (LT s164 1) (LT s172 1)) (OR (LT s0 1) (LT s161 1) (LT s164 1) (LT s180 1)) (OR (LT s0 1) (LT s119 1) (LT s164 1) (LT s168 1)) (OR (LT s0 1) (LT s112 1) (LT s164 1) (LT s182 1)) (OR (LT s0 1) (LT s135 1) (LT s164 1) (LT s175 1)) (OR (LT s0 1) (LT s86 1) (LT s164 1) (LT s177 1)) (OR (LT s0 1) (LT s141 1) (LT s164 1) (LT s184 1)) (OR (LT s0 1) (LT s98 1) (LT s164 1) (LT s178 1)) (OR (LT s0 1) (LT s100 1) (LT s164 1) (LT s181 1)) (OR (LT s0 1) (LT s119 1) (LT s164 1) (LT s185 1)) (OR (LT s0 1) (LT s89 1) (LT s164 1) (LT s174 1)) (OR (LT s0 1) (LT s127 1) (LT s164 1) (LT s180 1)) (OR (LT s0 1) (LT s158 1) (LT s164 1) (LT s183 1)) (OR (LT s0 1) (LT s149 1) (LT s164 1) (LT s179 1)) (OR (LT s0 1) (LT s157 1) (LT s164 1) (LT s174 1)) (OR (LT s0 1) (LT s108 1) (LT s164 1) (LT s176 1)) (OR (LT s0 1) (LT s150 1) (LT s164 1) (LT s188 1)) (OR (LT s0 1) (LT s126 1) (LT s164 1) (LT s171 1)) (OR (LT s0 1) (LT s102 1) (LT s164 1) (LT s169 1)) (OR (LT s0 1) (LT s152 1) (LT s164 1) (LT s176 1)) (OR (LT s0 1) (LT s116 1) (LT s164 1) (LT s173 1)) (OR (LT s0 1) (LT s150 1) (LT s164 1) (LT s171 1)) (OR (LT s0 1) (LT s138 1) (LT s164 1) (LT s172 1)) (OR (LT s0 1) (LT s109 1) (LT s164 1) (LT s185 1)) (OR (LT s0 1) (LT s97 1) (LT s164 1) (LT s186 1)) (OR (LT s0 1) (LT s120 1) (LT s164 1) (LT s177 1)) (OR (LT s0 1) (LT s148 1) (LT s164 1) (LT s170 1)) (OR (LT s0 1) (LT s146 1) (LT s164 1) (LT s184 1)) (OR (LT s0 1) (LT s96 1) (LT s164 1) (LT s177 1)) (OR (LT s0 1) (LT s130 1) (LT s164 1) (LT s175 1)) (OR (LT s0 1) (LT s114 1) (LT s164 1) (LT s168 1)) (OR (LT s0 1) (LT s126 1) (LT s164 1) (LT s188 1)) (OR (LT s0 1) (LT s84 1) (LT s164 1) (LT s174 1)) (OR (LT s0 1) (LT s115 1) (LT s164 1) (LT s177 1)) (OR (LT s0 1) (LT s83 1) (LT s164 1) (LT s182 1)) (OR (LT s0 1) (LT s132 1) (LT s164 1) (LT s180 1)) (OR (LT s0 1) (LT s113 1) (LT s164 1) (LT s176 1)) (OR (LT s0 1) (LT s144 1) (LT s164 1) (LT s179 1)) (OR (LT s0 1) (LT s95 1) (LT s164 1) (LT s181 1)) (OR (LT s0 1) (LT s114 1) (LT s164 1) (LT s185 1)) (OR (LT s0 1) (LT s145 1) (LT s164 1) (LT s188 1)) (OR (LT s0 1) (LT s85 1) (LT s164 1) (LT s183 1)) (OR (LT s0 1) (LT s103 1) (LT s164 1) (LT s178 1)) (OR (LT s0 1) (LT s131 1) (LT s164 1) (LT s171 1)) (OR (LT s0 1) (LT s162 1) (LT s164 1) (LT s174 1)) (OR (LT s0 1) (LT s134 1) (LT s164 1) (LT s181 1)) (OR (LT s0 1) (LT s121 1) (LT s164 1) (LT s173 1)) (OR (LT s0 1) (LT s145 1) (LT s164 1) (LT s175 1)) (OR (LT s0 1) (LT s95 1) (LT s164 1) (LT s168 1)) (OR (LT s0 1) (LT s123 1) (LT s164 1) (LT s176 1)) (OR (LT s0 1) (LT s85 1) (LT s164 1) (LT s170 1)) (OR (LT s0 1) (LT s159 1) (LT s164 1) (LT s175 1)) (OR (LT s0 1) (LT s140 1) (LT s164 1) (LT s188 1)) (OR (LT s0 1) (LT s137 1) (LT s164 1) (LT s176 1)) (OR (LT s0 1) (LT s151 1) (LT s164 1) (LT s184 1)) (OR (LT s0 1) (LT s101 1) (LT s164 1) (LT s177 1)) (OR (LT s0 1) (LT s102 1) (LT s164 1) (LT s186 1)) (OR (LT s0 1) (LT s143 1) (LT s164 1) (LT s172 1)) (OR (LT s0 1) (LT s153 1) (LT s164 1) (LT s170 1)) (OR (LT s0 1) (LT s109 1) (LT s164 1) (LT s168 1)) (OR (LT s0 1) (LT s138 1) (LT s164 1) (LT s185 1)) (OR (LT s0 1) (LT s129 1) (LT s164 1) (LT s181 1)) (OR (LT s0 1) (LT s110 1) (LT s164 1) (LT s177 1)) (OR (LT s0 1) (LT s160 1) (LT s164 1) (LT s184 1)) (OR (LT s0 1) (LT s117 1) (LT s164 1) (LT s180 1)) (OR (LT s0 1) (LT s148 1) (LT s164 1) (LT s183 1)) (OR (LT s0 1) (LT s134 1) (LT s164 1) (LT s168 1)) (OR (LT s0 1) (LT s131 1) (LT s164 1) (LT s188 1)) (OR (LT s0 1) (LT s88 1) (LT s164 1) (LT s178 1)) (OR (LT s0 1) (LT s87 1) (LT s164 1) (LT s169 1)) (OR (LT s0 1) (LT s118 1) (LT s164 1) (LT s172 1)) (OR (LT s0 1) (LT s90 1) (LT s164 1) (LT s181 1)) (OR (LT s0 1) (LT s139 1) (LT s164 1) (LT s179 1)) (OR (LT s0 1) (LT s99 1) (LT s164 1) (LT s185 1)) (OR (LT s0 1) (LT s128 1) (LT s164 1) (LT s172 1)) (OR (LT s0 1) (LT s92 1) (LT s164 1) (LT s173 1)) (OR (LT s0 1) (LT s142 1) (LT s164 1) (LT s180 1)) (OR (LT s0 1) (LT s154 1) (LT s164 1) (LT s179 1)) (OR (LT s0 1) (LT s87 1) (LT s164 1) (LT s186 1)) (OR (LT s0 1) (LT s106 1) (LT s164 1) (LT s173 1)) (OR (LT s0 1) (LT s94 1) (LT s164 1) (LT s174 1)) (OR (LT s0 1) (LT s156 1) (LT s164 1) (LT s180 1)) (OR (LT s0 1) (LT s112 1) (LT s164 1) (LT s169 1)) (OR (LT s0 1) (LT s124 1) (LT s164 1) (LT s168 1)) (OR (LT s0 1) (LT s104 1) (LT s164 1) (LT s172 1)) (OR (LT s0 1) (LT s140 1) (LT s164 1) (LT s171 1)) (OR (LT s0 1) (LT s107 1) (LT s164 1) (LT s182 1)) (OR (LT s0 1) (LT s91 1) (LT s164 1) (LT s173 1)) (OR (LT s0 1) (LT s89 1) (LT s164 1) (LT s187 1)) (OR (LT s0 1) (LT s155 1) (LT s164 1) (LT s188 1)) (OR (LT s0 1) (LT s124 1) (LT s164 1) (LT s185 1)) (OR (LT s0 1) (LT s112 1) (LT s164 1) (LT s186 1)) (OR (LT s0 1) (LT s93 1) (LT s164 1) (LT s182 1)) (OR (LT s0 1) (LT s153 1) (LT s164 1) (LT s187 1)) (OR (LT s0 1) (LT s122 1) (LT s164 1) (LT s184 1)) (OR (LT s0 1) (LT s105 1) (LT s164 1) (LT s181 1)) (OR (LT s0 1) (LT s136 1) (LT s164 1) (LT s184 1)) (OR (LT s0 1) (LT s141 1) (LT s164 1) (LT s171 1)) (OR (LT s0 1) (LT s133 1) (LT s164 1) (LT s168 1)) (OR (LT s0 1) (LT s147 1) (LT s164 1) (LT s180 1)) (OR (LT s0 1) (LT s157 1) (LT s164 1) (LT s170 1)) (OR (LT s0 1) (LT s161 1) (LT s164 1) (LT s184 1)) (OR (LT s0 1) (LT s106 1) (LT s164 1) (LT s186 1)) (OR (LT s0 1) (LT s130 1) (LT s164 1) (LT s188 1)) (OR (LT s0 1) (LT s149 1) (LT s164 1) (LT s183 1)) (OR (LT s0 1) (LT s99 1) (LT s164 1) (LT s168 1)) (OR (LT s0 1) (LT s111 1) (LT s164 1) (LT s169 1)) (OR (LT s0 1) (LT s84 1) (LT s164 1) (LT s187 1)) (OR (LT s0 1) (LT s89 1) (LT s164 1) (LT s178 1)) (OR (LT s0 1) (LT s128 1) (LT s164 1) (LT s185 1)) (OR (LT s0 1) (LT s141 1) (LT s164 1) (LT s188 1)) (OR (LT s0 1) (LT s135 1) (LT s164 1) (LT s171 1)) (OR (LT s0 1) (LT s86 1) (LT s164 1) (LT s173 1)) (OR (LT s0 1) (LT s83 1) (LT s164 1) (LT s169 1)) (OR (LT s0 1) (LT s125 1) (LT s164 1) (LT s181 1)) (OR (LT s0 1) (LT s98 1) (LT s164 1) (LT s182 1)) (OR (LT s0 1) (LT s108 1) (LT s164 1) (LT s172 1)) (OR (LT s0 1) (LT s119 1) (LT s164 1) (LT s181 1)) (OR (LT s0 1) (LT s100 1) (LT s164 1) (LT s185 1)) (OR (LT s0 1) (LT s127 1) (LT s164 1) (LT s184 1)) (OR (LT s0 1) (LT s158 1) (LT s164 1) (LT s187 1)) (OR (LT s0 1) (LT s150 1) (LT s164 1) (LT s184 1)) (OR (LT s0 1) (LT s128 1) (LT s164 1) (LT s168 1)) (OR (LT s0 1) (LT s111 1) (LT s164 1) (LT s186 1)) (OR (LT s0 1) (LT s105 1) (LT s164 1) (LT s168 1)) (OR (LT s0 1) (LT s116 1) (LT s164 1) (LT s177 1)) (OR (LT s0 1) (LT s114 1) (LT s164 1) (LT s172 1)) (OR (LT s0 1) (LT s118 1) (LT s164 1) (LT s180 1)) (OR (LT s0 1) (LT s97 1) (LT s164 1) (LT s182 1)) (OR (LT s0 1) (LT s120 1) (LT s164 1) (LT s181 1)) (OR (LT s0 1) (LT s96 1) (LT s164 1) (LT s181 1)) (OR (LT s0 1) (LT s133 1) (LT s164 1) (LT s185 1)) (OR (LT s0 1) (LT s138 1) (LT s164 1) (LT s176 1)) (OR (LT s0 1) (LT s130 1) (LT s164 1) (LT s171 1)) (OR (LT s0 1) (LT s159 1) (LT s164 1) (LT s188 1)) (OR (LT s0 1) (LT s84 1) (LT s164 1) (LT s170 1)) (OR (LT s0 1) (LT s115 1) (LT s164 1) (LT s173 1)) (OR (LT s0 1) (LT s146 1) (LT s164 1) (LT s180 1)) (OR (LT s0 1) (LT s83 1) (LT s164 1) (LT s186 1)) (OR (LT s0 1) (LT s132 1) (LT s164 1) (LT s184 1)) (OR (LT s0 1) (LT s157 1) (LT s164 1) (LT s187 1)) (OR (LT s0 1) (LT s126 1) (LT s164 1) (LT s184 1)) (OR (LT s0 1) (LT s162 1) (LT s164 1) (LT s170 1)) (OR (LT s0 1) (LT s121 1) (LT s164 1) (LT s177 1)) (OR (LT s0 1) (LT s134 1) (LT s164 1) (LT s185 1)) (OR (LT s0 1) (LT s100 1) (LT s164 1) (LT s168 1)) (OR (LT s0 1) (LT s144 1) (LT s164 1) (LT s175 1)) (OR (LT s0 1) (LT s85 1) (LT s164 1) (LT s187 1)) (OR (LT s0 1) (LT s113 1) (LT s164 1) (LT s172 1)) (OR (LT s0 1) (LT s95 1) (LT s164 1) (LT s177 1)) (OR (LT s0 1) (LT s152 1) (LT s164 1) (LT s180 1)) (OR (LT s0 1) (LT s103 1) (LT s164 1) (LT s182 1)) (OR (LT s0 1) (LT s109 1) (LT s164 1) (LT s172 1)) (OR (LT s0 1) (LT s85 1) (LT s164 1) (LT s174 1)) (OR (LT s0 1) (LT s159 1) (LT s164 1) (LT s171 1)) (OR (LT s0 1) (LT s104 1) (LT s164 1) (LT s185 1)) (OR (LT s0 1) (LT s145 1) (LT s164 1) (LT s179 1)) (OR (LT s0 1) (LT s123 1) (LT s164 1) (LT s180 1)) (OR (LT s0 1) (LT s101 1) (LT s164 1) (LT s181 1)) (OR (LT s0 1) (LT s107 1) (LT s164 1) (LT s169 1)) (OR (LT s0 1) (LT s143 1) (LT s164 1) (LT s176 1)) (OR (LT s0 1) (LT s137 1) (LT s164 1) (LT s172 1)) (OR (LT s0 1) (LT s88 1) (LT s164 1) (LT s174 1)) (OR (LT s0 1) (LT s151 1) (LT s164 1) (LT s180 1)) (OR (LT s0 1) (LT s102 1) (LT s164 1) (LT s182 1)) (OR (LT s0 1) (LT s148 1) (LT s164 1) (LT s179 1)) (OR (LT s0 1) (LT s134 1) (LT s164 1) (LT s172 1)) (OR (LT s0 1) (LT s110 1) (LT s164 1) (LT s173 1)) (OR (LT s0 1) (LT s131 1) (LT s164 1) (LT s184 1)) (OR (LT s0 1) (LT s162 1) (LT s164 1) (LT s187 1)) (OR (LT s0 1) (LT s136 1) (LT s164 1) (LT s171 1)) (OR (LT s0 1) (LT s118 1) (LT s164 1) (LT s176 1)) (OR (LT s0 1) (LT s90 1) (LT s164 1) (LT s177 1)) (OR (LT s0 1) (LT s139 1) (LT s164 1) (LT s175 1)) (OR (LT s0 1) (LT s87 1) (LT s164 1) (LT s173 1)) (OR (LT s0 1) (LT s129 1) (LT s164 1) (LT s185 1)) (OR (LT s0 1) (LT s160 1) (LT s164 1) (LT s188 1)) (OR (LT s0 1) (LT s140 1) (LT s164 1) (LT s175 1)) (OR (LT s0 1) (LT s154 1) (LT s164 1) (LT s183 1)) (OR (LT s0 1) (LT s92 1) (LT s164 1) (LT s177 1)) (OR (LT s0 1) (LT s135 1) (LT s164 1) (LT s188 1)) (OR (LT s0 1) (LT s142 1) (LT s164 1) (LT s176 1)) (OR (LT s0 1) (LT s119 1) (LT s164 1) (LT s177 1)) (OR (LT s0 1) (LT s106 1) (LT s164 1) (LT s169 1)) (OR (LT s0 1) (LT s94 1) (LT s164 1) (LT s178 1)) (OR (LT s0 1) (LT s104 1) (LT s164 1) (LT s168 1)) (OR (LT s0 1) (LT s161 1) (LT s164 1) (LT s171 1)) (OR (LT s0 1) (LT s112 1) (LT s164 1) (LT s173 1)) (OR (LT s0 1) (LT s107 1) (LT s164 1) (LT s186 1)) (OR (LT s0 1) (LT s158 1) (LT s164 1) (LT s170 1)) (OR (LT s0 1) (LT s99 1) (LT s164 1) (LT s181 1)) (OR (LT s0 1) (LT s117 1) (LT s164 1) (LT s176 1)) (OR (LT s0 1) (LT s156 1) (LT s164 1) (LT s184 1)) (OR (LT s0 1) (LT s105 1) (LT s164 1) (LT s185 1)) (OR (LT s0 1) (LT s136 1) (LT s164 1) (LT s188 1)) (OR (LT s0 1) (LT s91 1) (LT s164 1) (LT s177 1)) (OR (LT s0 1) (LT s122 1) (LT s164 1) (LT s180 1)) (OR (LT s0 1) (LT s153 1) (LT s164 1) (LT s183 1)) (OR (LT s0 1) (LT s155 1) (LT s164 1) (LT s184 1)) (OR (LT s0 1) (LT s124 1) (LT s164 1) (LT s181 1)) (OR (LT s0 1) (LT s141 1) (LT s164 1) (LT s175 1)) (OR (LT s0 1) (LT s93 1) (LT s164 1) (LT s178 1)) (OR (LT s0 1) (LT s160 1) (LT s164 1) (LT s171 1)) (OR (LT s0 1) (LT s129 1) (LT s164 1) (LT s168 1)) (OR (LT s0 1) (LT s161 1) (LT s164 1) (LT s188 1)) (OR (LT s0 1) (LT s130 1) (LT s164 1) (LT s184 1)) (OR (LT s0 1) (LT s92 1) (LT s164 1) (LT s178 1)) (OR (LT s0 1) (LT s111 1) (LT s164 1) (LT s181 1)) (OR (LT s0 1) (LT s144 1) (LT s164 1) (LT s188 1)) (OR (LT s0 1) (LT s114 1) (LT s164 1) (LT s177 1)) (OR (LT s0 1) (LT s97 1) (LT s164 1) (LT s177 1)) (OR (LT s0 1) (LT s119 1) (LT s164 1) (LT s176 1)) (OR (LT s0 1) (LT s89 1) (LT s164 1) (LT s182 1)) (OR (LT s0 1) (LT s133 1) (LT s164 1) (LT s180 1)) (OR (LT s0 1) (LT s86 1) (LT s164 1) (LT s169 1)) (OR (LT s0 1) (LT s125 1) (LT s164 1) (LT s185 1)) (OR (LT s0 1) (LT s122 1) (LT s164 1) (LT s172 1)) (OR (LT s0 1) (LT s108 1) (LT s164 1) (LT s168 1)) (OR (LT s0 1) (LT s127 1) (LT s164 1) (LT s172 1)) (OR (LT s0 1) (LT s158 1) (LT s164 1) (LT s175 1)) (OR (LT s0 1) (LT s125 1) (LT s164 1) (LT s168 1)) (OR (LT s0 1) (LT s155 1) (LT s164 1) (LT s179 1)) (OR (LT s0 1) (LT s103 1) (LT s164 1) (LT s169 1)) (OR (LT s0 1) (LT s141 1) (LT s164 1) (LT s176 1)) (OR (LT s0 1) (LT s124 1) (LT s164 1) (LT s176 1)) (OR (LT s0 1) (LT s146 1) (LT s164 1) (LT s175 1)) (OR (LT s0 1) (LT s100 1) (LT s164 1) (LT s173 1)) (OR (LT s0 1) (LT s116 1) (LT s164 1) (LT s181 1)) (OR (LT s0 1) (LT s149 1) (LT s164 1) (LT s171 1)) (OR (LT s0 1) (LT s147 1) (LT s164 1) (LT s184 1)) (OR (LT s0 1) (LT s98 1) (LT s164 1) (LT s186 1)) (OR (LT s0 1) (LT s109 1) (LT s164 1) (LT s177 1)) (OR (LT s0 1) (LT s113 1) (LT s164 1) (LT s185 1)) (OR (LT s0 1) (LT s102 1) (LT s164 1) (LT s177 1)) (OR (LT s0 1) (LT s145 1) (LT s164 1) (LT s180 1)) (OR (LT s0 1) (LT s138 1) (LT s164 1) (LT s180 1)) (OR (LT s0 1) (LT s88 1) (LT s164 1) (LT s173 1)) (OR (LT s0 1) (LT s137 1) (LT s164 1) (LT s171 1)) (OR (LT s0 1) (LT s84 1) (LT s164 1) (LT s182 1)) (OR (LT s0 1) (LT s83 1) (LT s164 1) (LT s174 1)) (OR (LT s0 1) (LT s120 1) (LT s164 1) (LT s185 1)) (OR (LT s0 1) (LT s96 1) (LT s164 1) (LT s169 1)) (OR (LT s0 1) (LT s120 1) (LT s164 1) (LT s168 1)) (OR (LT s0 1) (LT s132 1) (LT s164 1) (LT s172 1)) (OR (LT s0 1) (LT s151 1) (LT s164 1) (LT s175 1)) (OR (LT s0 1) (LT s150 1) (LT s164 1) (LT s179 1)) (OR (LT s0 1) (LT s139 1) (LT s164 1) (LT s188 1)) (OR (LT s0 1) (LT s126 1) (LT s164 1) (LT s180 1)) (OR (LT s0 1) (LT s103 1) (LT s164 1) (LT s186 1)) (OR (LT s0 1) (LT s108 1) (LT s164 1) (LT s185 1)) (OR (LT s0 1) (LT s157 1) (LT s164 1) (LT s183 1)) (OR (LT s0 1) (LT s144 1) (LT s164 1) (LT s171 1)) (OR (LT s0 1) (LT s113 1) (LT s164 1) (LT s168 1)) (OR (LT s0 1) (LT s95 1) (LT s164 1) (LT s173 1)) (OR (LT s0 1) (LT s152 1) (LT s164 1) (LT s184 1)) (OR (LT s0 1) (LT s121 1) (LT s164 1) (LT s181 1)) (OR (LT s0 1) (LT s90 1) (LT s164 1) (LT s173 1)) (OR (LT s0 1) (LT s154 1) (LT s164 1) (LT s188 1)) (OR (LT s0 1) (LT s85 1) (LT s164 1) (LT s178 1)) (OR (LT s0 1) (LT s140 1) (LT s164 1) (LT s180 1)) (OR (LT s0 1) (LT s104 1) (LT s164 1) (LT s181 1)) (OR (LT s0 1) (LT s159 1) (LT s164 1) (LT s183 1)) (OR (LT s0 1) (LT s137 1) (LT s164 1) (LT s184 1)) (OR (LT s0 1) (LT s107 1) (LT s164 1) (LT s173 1)) (OR (LT s0 1) (LT s118 1) (LT s164 1) (LT s181 1)) (OR (LT s0 1) (LT s142 1) (LT s164 1) (LT s171 1)) (OR (LT s0 1) (LT s96 1) (LT s164 1) (LT s182 1)) (OR (LT s0 1) (LT s148 1) (LT s164 1) (LT s175 1)) (OR (LT s0 1) (LT s101 1) (LT s164 1) (LT s169 1)) (OR (LT s0 1) (LT s143 1) (LT s164 1) (LT s180 1)) (OR (LT s0 1) (LT s115 1) (LT s164 1) (LT s172 1)) (OR (LT s0 1) (LT s84 1) (LT s164 1) (LT s169 1)) (OR (LT s0 1) (LT s134 1) (LT s164 1) (LT s176 1)) (OR (LT s0 1) (LT s139 1) (LT s164 1) (LT s171 1)) (OR (LT s0 1) (LT s88 1) (LT s164 1) (LT s186 1)) (OR (LT s0 1) (LT s91 1) (LT s164 1) (LT s182 1)) (OR (LT s0 1) (LT s110 1) (LT s164 1) (LT s185 1)) (OR (LT s0 1) (LT s156 1) (LT s164 1) (LT s171 1)) (OR (LT s0 1) (LT s162 1) (LT s164 1) (LT s183 1)) (OR (LT s0 1) (LT s93 1) (LT s164 1) (LT s173 1)) (OR (LT s0 1) (LT s131 1) (LT s164 1) (LT s180 1)) (OR (LT s0 1) (LT s123 1) (LT s164 1) (LT s185 1)) (OR (LT s0 1) (LT s135 1) (LT s164 1) (LT s184 1)) (OR (LT s0 1) (LT s87 1) (LT s164 1) (LT s178 1)) (OR (LT s0 1) (LT s106 1) (LT s164 1) (LT s181 1)) (OR (LT s0 1) (LT s101 1) (LT s164 1) (LT s186 1)) (OR (LT s0 1) (LT s128 1) (LT s164 1) (LT s180 1)) (OR (LT s0 1) (LT s149 1) (LT s164 1) (LT s184 1)) (OR (LT s0 1) (LT s111 1) (LT s164 1) (LT s168 1)) (OR (LT s0 1) (LT s161 1) (LT s164 1) (LT s175 1)) (OR (LT s0 1) (LT s94 1) (LT s164 1) (LT s182 1)) (OR (LT s0 1) (LT s99 1) (LT s164 1) (LT s177 1)) (OR (LT s0 1) (LT s117 1) (LT s164 1) (LT s172 1)) (OR (LT s0 1) (LT s156 1) (LT s164 1) (LT s188 1)) (OR (LT s0 1) (LT s112 1) (LT s164 1) (LT s177 1)) (OR (LT s0 1) (LT s122 1) (LT s164 1) (LT s176 1)) (OR (LT s0 1) (LT s153 1) (LT s164 1) (LT s179 1)) (OR (LT s0 1) (LT s86 1) (LT s164 1) (LT s186 1)) (OR (LT s0 1) (LT s160 1) (LT s164 1) (LT s175 1)) (OR (LT s0 1) (LT s129 1) (LT s164 1) (LT s172 1)) (OR (LT s0 1) (LT s100 1) (LT s164 1) (LT s186 1)) (OR (LT s0 1) (LT s98 1) (LT s164 1) (LT s169 1)) (OR (LT s0 1) (LT s123 1) (LT s164 1) (LT s168 1)) (OR (LT s0 1) (LT s154 1) (LT s164 1) (LT s171 1)) (OR (LT s0 1) (LT s136 1) (LT s164 1) (LT s176 1)) (OR (LT s0 1) (LT s110 1) (LT s164 1) (LT s168 1)) (OR (LT s0 1) (LT s105 1) (LT s164 1) (LT s173 1)) (OR (LT s0 1) (LT s142 1) (LT s164 1) (LT s188 1)) (OR (LT s0 1) (LT s97 1) (LT s164 1) (LT s173 1)) (OR (LT s0 1) (LT s152 1) (LT s164 1) (LT s171 1)) (OR (LT s0 1) (LT s133 1) (LT s164 1) (LT s176 1)) (OR (LT s0 1) (LT s111 1) (LT s164 1) (LT s177 1)) (OR (LT s0 1) (LT s114 1) (LT s164 1) (LT s181 1)) (OR (LT s0 1) (LT s92 1) (LT s164 1) (LT s182 1)) (OR (LT s0 1) (LT s116 1) (LT s164 1) (LT s168 1)) (OR (LT s0 1) (LT s119 1) (LT s164 1) (LT s172 1)) (OR (LT s0 1) (LT s122 1) (LT s164 1) (LT s168 1)) (OR (LT s0 1) (LT s130 1) (LT s164 1) (LT s180 1)) (OR (LT s0 1) (LT s89 1) (LT s164 1) (LT s186 1)) (OR (LT s0 1) (LT s103 1) (LT s164 1) (LT s173 1)) (OR (LT s0 1) (LT s141 1) (LT s164 1) (LT s180 1)) (OR (LT s0 1) (LT s146 1) (LT s164 1) (LT s171 1)) (OR (LT s0 1) (LT s127 1) (LT s164 1) (LT s176 1)) (OR (LT s0 1) (LT s122 1) (LT s164 1) (LT s185 1)) (OR (LT s0 1) (LT s95 1) (LT s164 1) (LT s186 1)) (OR (LT s0 1) (LT s158 1) (LT s164 1) (LT s179 1)) (OR (LT s0 1) (LT s94 1) (LT s164 1) (LT s169 1)) (OR (LT s0 1) (LT s155 1) (LT s164 1) (LT s175 1)) (OR (LT s0 1) (LT s153 1) (LT s164 1) (LT s188 1)) (OR (LT s0 1) (LT s149 1) (LT s164 1) (LT s175 1)) (OR (LT s0 1) (LT s100 1) (LT s164 1) (LT s177 1)) (OR (LT s0 1) (LT s144 1) (LT s164 1) (LT s184 1)) (OR (LT s0 1) (LT s116 1) (LT s164 1) (LT s185 1)) (OR (LT s0 1) (LT s147 1) (LT s164 1) (LT s188 1)) (OR (LT s0 1) (LT s121 1) (LT s164 1) (LT s168 1)) (OR (LT s0 1) (LT s95 1) (LT s164 1) (LT s169 1)) (OR (LT s0 1) (LT s109 1) (LT s164 1) (LT s181 1)) (OR (LT s0 1) (LT s150 1) (LT s164 1) (LT s175 1)) (OR (LT s0 1) (LT s102 1) (LT s164 1) (LT s173 1)) (OR (LT s0 1) (LT s83 1) (LT s164 1) (LT s178 1)) (OR (LT s0 1) (LT s146 1) (LT s164 1) (LT s188 1)) (OR (LT s0 1) (LT s125 1) (LT s164 1) (LT s172 1)) (OR (LT s0 1) (LT s88 1) (LT s164 1) (LT s169 1)) (OR (LT s0 1) (LT s96 1) (LT s164 1) (LT s173 1)) (OR (LT s0 1) (LT s138 1) (LT s164 1) (LT s184 1)) (OR (LT s0 1) (LT s84 1) (LT s164 1) (LT s178 1)) (OR (LT s0 1) (LT s115 1) (LT s164 1) (LT s181 1)) (OR (LT s0 1) (LT s132 1) (LT s164 1) (LT s176 1)) (OR (LT s0 1) (LT s89 1) (LT s164 1) (LT s169 1)) (OR (LT s0 1) (LT s120 1) (LT s164 1) (LT s172 1)) (OR (LT s0 1) (LT s151 1) (LT s164 1) (LT s171 1)) (OR (LT s0 1) (LT s139 1) (LT s164 1) (LT s184 1)) (OR (LT s0 1) (LT s145 1) (LT s164 1) (LT s184 1)) (OR (LT s0 1) (LT s90 1) (LT s164 1) (LT s186 1)) (OR (LT s0 1) (LT s96 1) (LT s164 1) (LT s186 1)) (OR (LT s0 1) (LT s108 1) (LT s164 1) (LT s181 1)) (OR (LT s0 1) (LT s121 1) (LT s164 1) (LT s185 1)) (OR (LT s0 1) (LT s152 1) (LT s164 1) (LT s188 1)) (OR (LT s0 1) (LT s157 1) (LT s164 1) (LT s179 1)) (OR (LT s0 1) (LT s126 1) (LT s164 1) (LT s176 1)) (OR (LT s0 1) (LT s90 1) (LT s164 1) (LT s169 1)) (OR (LT s0 1) (LT s104 1) (LT s164 1) (LT s177 1)) (OR (LT s0 1) (LT s159 1) (LT s164 1) (LT s179 1)) (OR (LT s0 1) (LT s145 1) (LT s164 1) (LT s171 1)) (OR (LT s0 1) (LT s140 1) (LT s164 1) (LT s184 1)) (OR (LT s0 1) (LT s137 1) (LT s164 1) (LT s180 1)) (OR (LT s0 1) (LT s118 1) (LT s164 1) (LT s185 1)) (OR (LT s0 1) (LT s93 1) (LT s164 1) (LT s169 1)) (OR (LT s0 1) (LT s148 1) (LT s164 1) (LT s171 1)) (OR (LT s0 1) (LT s115 1) (LT s164 1) (LT s185 1)) (OR (LT s0 1) (LT s101 1) (LT s164 1) (LT s173 1)) (OR (LT s0 1) (LT s156 1) (LT s164 1) (LT s175 1)) (OR (LT s0 1) (LT s107 1) (LT s164 1) (LT s177 1)) (OR (LT s0 1) (LT s143 1) (LT s164 1) (LT s184 1)) (OR (LT s0 1) (LT s151 1) (LT s164 1) (LT s188 1)) (OR (LT s0 1) (LT s115 1) (LT s164 1) (LT s168 1)) (OR (LT s0 1) (LT s88 1) (LT s164 1) (LT s182 1)) (OR (LT s0 1) (LT s91 1) (LT s164 1) (LT s186 1)) (OR (LT s0 1) (LT s110 1) (LT s164 1) (LT s181 1)) (OR (LT s0 1) (LT s131 1) (LT s164 1) (LT s176 1)) (OR (LT s0 1) (LT s113 1) (LT s164 1) (LT s181 1)) (OR (LT s0 1) (LT s162 1) (LT s164 1) (LT s179 1)) (OR (LT s0 1) (LT s85 1) (LT s164 1) (LT s182 1)) (OR (LT s0 1) (LT s118 1) (LT s164 1) (LT s168 1)) (OR (LT s0 1) (LT s134 1) (LT s164 1) (LT s180 1)) (OR (LT s0 1) (LT s128 1) (LT s164 1) (LT s176 1)) (OR (LT s0 1) (LT s135 1) (LT s164 1) (LT s180 1)) (OR (LT s0 1) (LT s149 1) (LT s164 1) (LT s188 1)) (OR (LT s0 1) (LT s87 1) (LT s164 1) (LT s182 1)) (OR (LT s0 1) (LT s142 1) (LT s164 1) (LT s184 1)) (OR (LT s0 1) (LT s147 1) (LT s164 1) (LT s171 1)) (OR (LT s0 1) (LT s154 1) (LT s164 1) (LT s175 1)) (OR (LT s0 1) (LT s106 1) (LT s164 1) (LT s177 1)) (OR (LT s0 1) (LT s124 1) (LT s164 1) (LT s172 1)) (OR (LT s0 1) (LT s99 1) (LT s164 1) (LT s173 1)) (OR (LT s0 1) (LT s117 1) (LT s164 1) (LT s168 1)) (OR (LT s0 1) (LT s91 1) (LT s164 1) (LT s169 1)) (OR (LT s0 1) (LT s153 1) (LT s164 1) (LT s175 1)) (OR (LT s0 1) (LT s94 1) (LT s164 1) (LT s186 1)) (OR (LT s0 1) (LT s161 1) (LT s164 1) (LT s179 1)) (OR (LT s0 1) (LT s112 1) (LT s164 1) (LT s181 1)) (OR (LT s0 1) (LT s86 1) (LT s164 1) (LT s182 1)) (OR (LT s0 1) (LT s93 1) (LT s164 1) (LT s186 1)) (OR (LT s0 1) (LT s148 1) (LT s164 1) (LT s188 1)) (OR (LT s0 1) (LT s160 1) (LT s164 1) (LT s179 1)) (OR (LT s0 1) (LT s129 1) (LT s164 1) (LT s176 1)) (OR (LT s0 1) (LT s105 1) (LT s164 1) (LT s177 1)) (OR (LT s0 1) (LT s117 1) (LT s164 1) (LT s185 1)) (OR (LT s0 1) (LT s98 1) (LT s164 1) (LT s173 1)) (OR (LT s0 1) (LT s136 1) (LT s164 1) (LT s180 1)) (OR (LT s0 1) (LT s123 1) (LT s164 1) (LT s172 1)) (OR (LT s0 1) (LT s92 1) (LT s164 1) (LT s169 1)))], nbAcceptance=1, properties=[trans-labels, explicit-labels, trans-acc, no-univ-branch, stutter-invariant], stateDesc=[null, null, null][true, true, true]]
Product exploration explored 100000 steps with 751 reset in 1588 ms.
Product exploration explored 100000 steps with 727 reset in 1806 ms.
Knowledge obtained : [(NOT p0), p1]
Stuttering acceptance computed with spot in 138 ms :[(OR (NOT p0) (NOT p1)), (NOT p0), (NOT p1)]
Product exploration explored 100000 steps with 709 reset in 2678 ms.
Product exploration explored 100000 steps with 735 reset in 1938 ms.
[2021-05-09 01:14:05] [INFO ] Flatten gal took : 135 ms
[2021-05-09 01:14:05] [INFO ] Flatten gal took : 144 ms
[2021-05-09 01:14:05] [INFO ] Time to serialize gal into /tmp/LTL3729749293532220249.gal : 17 ms
[2021-05-09 01:14:06] [INFO ] Time to serialize properties into /tmp/LTL178125241415701288.ltl : 10 ms
Invoking ITS tools like this :CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64, --gc-threshold, 2000000, -i, /tmp/LTL3729749293532220249.gal, -t, CGAL, -LTL, /tmp/LTL178125241415701288.ltl, -c, -stutter-deadlock, --gen-order, FOLLOW], workingDir=/home/mcc/execution]

its-ltl command run as :

/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64 --gc-threshold 2000000 -i /tmp/LTL3729749293532220249.gal -t CGAL -LTL /tmp/LTL178125241415701288.ltl -c -stutter-deadlock --gen-order FOLLOW
Read 1 LTL properties
Checking formula 0 : !((G((F("(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((NB_ATTENTE_A_33>=1)&&(ROUTE_A_0>=1))||((NB_ATTENTE_A_23>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_4>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_53>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_72>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_52>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_42>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_14>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_63>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_44>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_61>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_32>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_2>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_71>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_54>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_3>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_24>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_15>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_41>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_62>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_45>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_11>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_16>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_60>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_50>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_70>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_35>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_1>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_36>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_25>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_69>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_59>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_10>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_0>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_17>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_76>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_51>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_34>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_68>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_26>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_43>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_9>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_77>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_67>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_18>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_38>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_8>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_57>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_29>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_48>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_78>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_27>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_66>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_49>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_20>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_19>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_37>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_75>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_58>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_7>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_79>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_28>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_55>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_6>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_40>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_21>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_74>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_31>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_12>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_65>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_46>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_80>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_22>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_39>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_5>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_73>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_56>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_30>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_47>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_13>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_64>=1)&&(ROUTE_A_0>=1)))&&(((CHOIX_1>=1)&&(COMPTEUR_20>=1))||((CHOIX_0>=1)&&(COMPTEUR_20>=1))))"))&&(F(G("(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_70<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_46<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_58<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_34<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_38<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_66<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_22<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_74<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_25<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_54<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_73<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_21<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_61<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_42<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_33<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_50<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_78<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_62<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_59<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_41<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_39<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_55<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_77<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_51<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_73<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_79<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_71<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_30<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_53<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_28<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_35<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_66<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_59<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_64<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_37<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_68<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_44<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_57<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_26<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_75<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_60<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_44<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_68<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_72<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_27<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_56<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_24<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_48<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_32<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_35<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_69<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_64<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_71<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_40<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_75<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_23<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_31<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_80<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_44<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_32<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_52<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_49<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_65<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_63<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_22<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_29<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_41<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_58<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_69<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_43<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_61<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_56<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_78<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_47<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_38<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_45<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_76<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_36<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_67<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_54<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_29<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_46<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_34<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_58<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_53<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_38<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_30<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_79<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_74<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_25<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_30<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_73<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_59<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_42<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_78<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_47<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_33<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_50<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_21<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_39<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_62<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_70<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_51<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_75<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_79<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_48<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_77<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_55<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_46<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_53<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_28<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_66<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_37<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_68<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_57<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_26<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_72<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_55<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_32<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_60<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_56<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_48<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_51<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_24<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_35<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_76<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_23<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_40<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_71<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_64<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_44<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_75<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_52<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_26<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_49<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_31<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_80<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_65<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_24<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_22<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_25<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_67<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_63<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_29<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_41<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_61<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_69<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_43<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_27<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_52<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_50<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_49<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_45<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_21<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_76<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_74<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_80<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_27<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_54<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_36<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_78<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_47<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_23<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_41<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_34<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_53<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_46<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_79<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_55<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_30<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_38<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_50<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_78<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_54<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_47<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_38<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_45<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_62<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_70<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_21<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_39<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_48<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_72<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_55<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_77<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_32<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_60<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_37<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_33<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_51<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_66<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_23<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_33<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_57<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_26<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_28<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_73<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_42<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_59<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_64<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_50<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_27<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_24<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_31<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_63<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_68<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_67<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_35<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_56<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_40<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_71<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_69<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_35<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_26<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_72<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_75<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_31<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_28<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_23<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_44<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_60<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_22<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_29<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_58<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_70<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_36<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_25<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_43<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_61<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_52<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_45<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_21<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_76<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_43<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_74<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_80<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_49<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_47<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_65<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_67<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_53<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_41<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_46<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_42<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_43<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_30<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_58<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_79<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_42<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_78<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_62<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_47<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_38<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_50<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_54<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_57<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_41<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_39<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_70<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_77<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_55<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_51<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_56<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_32<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_37<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_33<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_66<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_48<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_57<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_59<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_64<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_37<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_28<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_73<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_60<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_72<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_27<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_65<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_61<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_68<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_24<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_56<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_64<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_71<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_66<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_35<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_23<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_69<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_32<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_63<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_44<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_75<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_26<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_70<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_63<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_29<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_58<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_62<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_36<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_25<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_74<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_61<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_40<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_22<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_45<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_21<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_76<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_40<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_49<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_67<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_80<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_31<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_65<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_52<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_36<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_34<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_39<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_63<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_41<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_22<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_55<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_77<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_69<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_61<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_56<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_71<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_27<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_35<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_66<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_47<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_49<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_28<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_54<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_36<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_39<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_57<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_78<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_58<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_46<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_60<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_72<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_24<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_22<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_74<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_25<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_76<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_54<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_73<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_42<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_40<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_61<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_71<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_23<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_78<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_59<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_24<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_65<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_29<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_51<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_73<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_43<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_46<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_79<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_30<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_53<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_59<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_76<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_45<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_37<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_38<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_67<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_68<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_75<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_26<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_44<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_68<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_34<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_27<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_56<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_66<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_48<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_32<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_69<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_64<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_33<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_50<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_80<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_31<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_32<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_44<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_62<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_52<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_21<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_34<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_70<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_49<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_27<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_39<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_22<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_63<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_25<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_77<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_41<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_61<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_55<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_69<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_28<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_66<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_74<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_80<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_49<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_52<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_54<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_36<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_57<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_47<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_46<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_58<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_53<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_60<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_72<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_30<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_24<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_79<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_74<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_25<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_35<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_30<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_40<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_76<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_71<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_73<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_42<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_59<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_78<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_47<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_23<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_51<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_48<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_65<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_75<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_24<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_79<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_46<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_67<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_29<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_73<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_53<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_43<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_50<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_26<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_76<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_45<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_37<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_72<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_68<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_23<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_29<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_34<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_32<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_56<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_27<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_48<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_51<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_38<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_77<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_64<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_33<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_44<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_75<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_62<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_26<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_52<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_50<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_39<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_70<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_49<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_21<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_80<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_31<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_72<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_22<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_55<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_77<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_58<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_36<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_60<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_33<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_74<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_25<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_61<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_66<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_33<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_57<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_23<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_52<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_80<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_49<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_31<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_50<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_41<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_60<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_24<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_53<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_46<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_67<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_65<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_79<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_30<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_28<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_35<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_71<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_78<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_54<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_47<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_72<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_77<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_28<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_23<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_29<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_70<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_32<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_37<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_51<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_53<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_43<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_48<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_40<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_45<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_76<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_64<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_21<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_73<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_43<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_42<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_59<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_65<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_67<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_34<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_27<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_31<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_68<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_63<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_38<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_55<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_56<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_50<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_69<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_26<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_45<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_21<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_70<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_75<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_57<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_80<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_31<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_39<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_44<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_62<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_63<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_36<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_77<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_55<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_58<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_74<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_25<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_33<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_61<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_66<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_69<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_22<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_57<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_52<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_28<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_49<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_80<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_31<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_36<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_53<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_60<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_41<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_65<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_24<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_46<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_42<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_35<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_30<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_58<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_79<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_71<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_42<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_78<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_35<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_47<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_54<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_41<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_72<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_23<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_70<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_29<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_51<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_62<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_37<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_48<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_40<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_59<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_76<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_64<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_45<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_21<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_37<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_73<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_40<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_42<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_67<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_65<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_34<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_39<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_27<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_68<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_61<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_56<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_64<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_43<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_38<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_38<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_69<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_50<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_32<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_39<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_57<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_63<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_44<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_75<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_62<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_26<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_44<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_60<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_56<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_68<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_72<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_27<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_24<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_64<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_48<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_32<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_51<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_40<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_71<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_44<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_23<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_32<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_49<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_80<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_31<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_52<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_63<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_65<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_22<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_29<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_41<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_25<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_43<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_69<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_61<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_56<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_27<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_47<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_38<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_45<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_76<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_49<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_54<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_36<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_67<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_78<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_46<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_34<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_30<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_78<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_42<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_74<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_25<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_58<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_22<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_30<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_42<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_61<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_33<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_54<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_50<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_59<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_62<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_21<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_34<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_70<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_39<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_77<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_51<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_55<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_73<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_46<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_71<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_79<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_53<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_28<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_35<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_66<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_59<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_37<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_68<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_26<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_75<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_57<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_68<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_32<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_36<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_60<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_72<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_31<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_51<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_24<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_56<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_48<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_35<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_23<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_76<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_40<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_71<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_64<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_75<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_26<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_44<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_80<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_31<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_52<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_27<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_65<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_24<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_22<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_67<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_63<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_25<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_29<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_41<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_61<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_69<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_43<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_45<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_50<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_74<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_80<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_52<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_49<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_45<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_76<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_54<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_29<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_47<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_58<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_34<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_30<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_79<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_38<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_22<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_77<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_74<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_25<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_54<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_73<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_42<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_59<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_47<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_33<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_78<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_62<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_50<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_70<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_39<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_21<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_51<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_48<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_75<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_79<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_77<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_46<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_55<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_73<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_53<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_66<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_26<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_28<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_37<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_68<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_57<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_60<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_27<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_24<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_31<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_63<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_67<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_65<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_56<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_48<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_28<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_35<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_71<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_28<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_69<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_68<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_26<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_72<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_44<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_75<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_77<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_23<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_22<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_29<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_62<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_58<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_70<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_36<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_74<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_25<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_43<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_61<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_40<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_45<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_21<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_52<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_76<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_80<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_49<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_67<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_34<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_65<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_34<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_41<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_53<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_46<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_79<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_38<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_30<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_55<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_74<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_45<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_47<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_50<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_78<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_45<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_57<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_21<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_70<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_54<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_39<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_62<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_77<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_72<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_55<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_32<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_60<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_33<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_53<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_37<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_51<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_66<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_48<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_64<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_33<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_57<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_73<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_42<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_28<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_59<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_60<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_27<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_65<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_68<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_24<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_64<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_35<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_56<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_71<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_35<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_23<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_69<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_63<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_72<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_75<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_26<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_44<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_36<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_63<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_43<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_29<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_70<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_34<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_58<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_74<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_25<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_61<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_40<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_22<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_69<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_52<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_45<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_21<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_40<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_43<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_76<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_36<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_67<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_49<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_80<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_31<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_62<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_34<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_46<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_53<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_39<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_41<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_43<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_30<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_58<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_79<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_38<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_47<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_78<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_54<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_50<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_38<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_39<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_57<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_41<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_62<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_77<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_51<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_55<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_32<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_60<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_66<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_33<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_37<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_48<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_59<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_64<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_37<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_73<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_42<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_28<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_65<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_51<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_29<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_43<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_73<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_79<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_37<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_30<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_53<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_59<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_37<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_45<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_76<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_67<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_75<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_26<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_68<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_44<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_70<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_34<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_68<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_56<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_27<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_38<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_66<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_64<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_48<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_32<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_44<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_33<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_50<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_31<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_62<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_32<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_63<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_21<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_49<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_80<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_52<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_39<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_63<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_41<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_77<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_58<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_55<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_69<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_61<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_71<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_27<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_56<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_47<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_28<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_78<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_35<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_66<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_52<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_49<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_36<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_57<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_46<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_60<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_72<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_24<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_74<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_30<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_42<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_22<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_58<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_25<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_73<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_42<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_30<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_71<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_40<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_23<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_54<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_59<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_51<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_65<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_75<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_79<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_24<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_48<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_67<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_29<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_46<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_59<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_53<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_43<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_26<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_37<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_45<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_76<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_68<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_46<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_29<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_23<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_34<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_32<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_36<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_38<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_51<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_56<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_48<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_77<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_33<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_64<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_50<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_75<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_44<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_80<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_39<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_52<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_62<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_31<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_70<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_21<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_27<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_77<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_22<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_63<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_41<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_25<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_61<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_55<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_69<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_66<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_52<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_28<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_49<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_80<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_54<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_36<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_57<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_47<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_78<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_58<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_72<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_53<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_60<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_37<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_24<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_22<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_79<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_30<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_25<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_76<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_35<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_74<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_23<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_54<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_40<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_71<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_73<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_42<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_59<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_78<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_47<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_79<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_48<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_29<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_62<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_32<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_37<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_51<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_43<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_40<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_26<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_45<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_76<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_43<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_73<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_21<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_59<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_42<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_64<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_34<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_67<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_65<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_27<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_31<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_63<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_56<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_55<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_38<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_38<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_50<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_69<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_68<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_57<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_44<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_21<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_26<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_75<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_62<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_31<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_70<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_39<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_72<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_58<1))||(CO
NTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_22<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_77<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_55<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_25<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_36<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_60<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_66<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_61<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_33<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_52<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_57<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_28<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_74<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_80<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_49<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_41<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_53<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_24<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_46<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_67<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_29<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_79<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_35<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_74<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_30<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_40<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_71<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_78<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_47<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_41<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_72<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_54<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_28<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_23<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_60<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_70<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_51<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_29<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_32<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_34<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_37<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_40<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_48<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_21<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_59<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_64<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_45<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_40<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_76<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_73<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_71<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_67<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_62<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_34<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_65<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_39<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_27<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_68<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_64<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_43<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_56<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_33<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_50<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_38<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_69<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_57<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_63<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_26<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_39<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_70<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_75<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_44<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_22<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_77<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_63<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_58<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_55<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_36<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_66<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_33<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_74<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_25<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_61<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_69<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_33<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_28<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_49<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_31<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_80<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_36<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_52<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_46<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_53<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_67<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_60<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_65<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_72<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_24<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_42<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_35<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_71<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_79<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_30<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_66<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_78<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_47<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_23<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_35<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_54<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_41<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))"))))))
Formula 0 simplified : !G(F"(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((NB_ATTENTE_A_33>=1)&&(ROUTE_A_0>=1))||((NB_ATTENTE_A_23>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_4>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_53>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_72>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_52>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_42>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_14>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_63>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_44>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_61>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_32>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_2>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_71>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_54>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_3>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_24>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_15>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_41>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_62>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_45>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_11>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_16>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_60>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_50>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_70>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_35>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_1>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_36>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_25>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_69>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_59>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_10>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_0>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_17>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_76>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_51>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_34>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_68>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_26>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_43>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_9>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_77>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_67>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_18>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_38>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_8>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_57>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_29>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_48>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_78>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_27>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_66>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_49>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_20>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_19>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_37>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_75>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_58>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_7>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_79>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_28>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_55>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_6>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_40>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_21>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_74>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_31>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_12>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_65>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_46>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_80>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_22>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_39>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_5>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_73>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_56>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_30>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_47>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_13>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_64>=1)&&(ROUTE_A_0>=1)))&&(((CHOIX_1>=1)&&(COMPTEUR_20>=1))||((CHOIX_0>=1)&&(COMPTEUR_20>=1))))" & FG"(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_70<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_46<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_58<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_34<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_38<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_66<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_22<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_74<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_25<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_54<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_73<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_21<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_61<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_42<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_33<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_50<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_78<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_62<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_59<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_41<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_39<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_55<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_77<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_51<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_73<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_79<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_71<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_30<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_53<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_28<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_35<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_66<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_59<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_64<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_37<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_68<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_44<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_57<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_26<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_75<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_60<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_44<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_68<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_72<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_27<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_56<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_24<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_48<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_32<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_35<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_69<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_64<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_71<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_40<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_75<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_23<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_31<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_80<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_44<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_32<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_52<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_49<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_65<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_63<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_22<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_29<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_41<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_58<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_69<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_43<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_61<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_56<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_78<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_47<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_38<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_45<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_76<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_36<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_67<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_54<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_29<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_46<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_34<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_58<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_53<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_38<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_30<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_79<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_74<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_25<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_30<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_73<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_59<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_42<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_78<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_47<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_33<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_50<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_21<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_39<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_62<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_70<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_51<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_75<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_79<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_48<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_77<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_55<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_46<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_53<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_28<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_66<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_37<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_68<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_57<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_26<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_72<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_55<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_32<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_60<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_56<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_48<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_51<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_24<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_35<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_76<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_23<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_40<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_71<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_64<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_44<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_75<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_52<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_26<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_49<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_31<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_80<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_65<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_24<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_22<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_25<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_67<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_63<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_29<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_41<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_61<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_69<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_43<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_27<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_52<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_50<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_49<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_45<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_21<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_76<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_74<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_80<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_27<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_54<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_36<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_78<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_47<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_23<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_41<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_34<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_53<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_46<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_79<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_55<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_30<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_38<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_50<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_78<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_54<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_47<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_38<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_45<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_62<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_70<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_21<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_39<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_48<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_72<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_55<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_77<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_32<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_60<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_37<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_33<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_51<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_66<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_23<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_33<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_57<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_26<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_28<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_73<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_42<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_59<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_64<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_50<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_27<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_24<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_31<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_63<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_68<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_67<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_35<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_56<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_40<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_71<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_69<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_35<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_26<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_72<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_75<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_31<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_28<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_23<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_44<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_60<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_22<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_29<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_58<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_70<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_36<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_25<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_43<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_61<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_52<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_45<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_21<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_76<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_43<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_74<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_80<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_49<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_47<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_65<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_67<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_53<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_41<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_46<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_42<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_43<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_30<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_58<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_79<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_42<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_78<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_62<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_47<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_38<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_50<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_54<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_57<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_41<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_39<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_70<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_77<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_55<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_51<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_56<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_32<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_37<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_33<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_66<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_48<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_57<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_59<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_64<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_37<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_28<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_73<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_60<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_72<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_27<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_65<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_61<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_68<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_24<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_56<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_64<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_71<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_66<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_35<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_23<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_69<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_32<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_63<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_44<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_75<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_26<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_70<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_63<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_29<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_58<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_62<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_36<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_25<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_74<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_61<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_40<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_22<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_45<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_21<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_76<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_40<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_49<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_67<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_80<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_31<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_65<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_52<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_36<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_34<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_39<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_63<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_41<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_22<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_55<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_77<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_69<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_61<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_56<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_71<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_27<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_35<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_66<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_47<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_49<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_28<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_54<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_36<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_39<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_57<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_78<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_58<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_46<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_60<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_72<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_24<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_22<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_74<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_25<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_76<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_54<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_73<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_42<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_40<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_61<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_71<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_23<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_78<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_59<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_24<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_65<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_29<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_51<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_73<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_43<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_46<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_79<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_30<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_53<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_59<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_76<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_45<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_37<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_38<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_67<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_68<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_75<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_26<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_44<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_68<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_34<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_27<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_56<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_66<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_48<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_32<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_69<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_64<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_33<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_50<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_80<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_31<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_32<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_44<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_62<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_52<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_21<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_34<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_70<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_49<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_27<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_39<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_22<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_63<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_25<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_77<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_41<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_61<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_55<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_69<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_28<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_66<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_74<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_80<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_49<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_52<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_54<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_36<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_57<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_47<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_46<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_58<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_53<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_60<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_72<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_30<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_24<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_79<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_74<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_25<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_35<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_30<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_40<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_76<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_71<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_73<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_42<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_59<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_78<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_47<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_23<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_51<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_48<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_65<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_75<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_24<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_79<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_46<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_67<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_29<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_73<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_53<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_43<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_50<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_26<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_76<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_45<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_37<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_72<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_68<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_23<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_29<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_34<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_32<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_56<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_27<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_48<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_51<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_38<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_77<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_64<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_33<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_44<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_75<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_62<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_26<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_52<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_50<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_39<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_70<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_49<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_21<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_80<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_31<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_72<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_22<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_55<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_77<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_58<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_36<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_60<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_33<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_74<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_25<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_61<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_66<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_33<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_57<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_23<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_52<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_80<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_49<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_31<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_50<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_41<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_60<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_24<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_53<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_46<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_67<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_65<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_79<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_30<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_28<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_35<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_71<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_78<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_54<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_47<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_72<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_77<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_28<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_23<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_29<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_70<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_32<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_37<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_51<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_53<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_43<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_48<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_40<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_45<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_76<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_64<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_21<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_73<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_43<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_42<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_59<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_65<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_67<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_34<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_27<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_31<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_68<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_63<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_38<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_55<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_56<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_50<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_69<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_26<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_45<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_21<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_70<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_75<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_57<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_80<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_31<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_39<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_44<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_62<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_63<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_36<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_77<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_55<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_58<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_74<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_25<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_33<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_61<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_66<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_69<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_22<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_57<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_52<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_28<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_49<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_80<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_31<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_36<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_53<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_60<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_41<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_65<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_24<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_46<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_42<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_35<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_30<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_58<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_79<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_71<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_42<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_78<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_35<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_47<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_54<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_41<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_72<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_23<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_70<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_29<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_51<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_62<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_37<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_48<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_40<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_59<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_76<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_64<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_45<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_21<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_37<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_73<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_40<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_42<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_67<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_65<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_34<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_39<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_27<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_68<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_61<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_56<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_64<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_43<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_38<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_38<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_69<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_50<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_32<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_39<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_57<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_63<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_44<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_75<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_62<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_26<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_44<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_60<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_56<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_68<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_72<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_27<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_24<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_64<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_48<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_32<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_51<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_40<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_71<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_44<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_23<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_32<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_49<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_80<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_31<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_52<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_63<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_65<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_22<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_29<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_41<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_25<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_43<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_69<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_61<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_56<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_27<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_47<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_38<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_45<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_76<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_49<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_54<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_36<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_67<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_78<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_46<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_34<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_30<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_78<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_42<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_74<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_25<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_58<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_22<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_30<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_42<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_61<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_33<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_54<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_50<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_59<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_62<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_21<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_34<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_70<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_39<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_77<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_51<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_55<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_73<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_46<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_71<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_79<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_53<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_28<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_35<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_66<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_59<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_37<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_68<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_26<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_75<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_57<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_68<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_32<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_36<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_60<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_72<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_31<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_51<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_24<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_56<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_48<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_35<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_23<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_76<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_40<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_71<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_64<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_75<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_26<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_44<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_80<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_31<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_52<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_27<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_65<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_24<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_22<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_67<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_63<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_25<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_29<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_41<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_61<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_69<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_43<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_45<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_50<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_74<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_80<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_52<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_49<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_45<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_76<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_54<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_29<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_47<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_58<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_34<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_30<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_79<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_38<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_22<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_77<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_74<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_25<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_54<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_73<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_42<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_59<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_47<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_33<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_78<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_62<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_50<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_70<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_39<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_21<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_51<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_48<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_75<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_79<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_77<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_46<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_55<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_73<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_53<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_66<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_26<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_28<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_37<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_68<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_57<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_60<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_27<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_24<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_31<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_63<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_67<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_65<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_56<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_48<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_28<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_35<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_71<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_28<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_69<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_68<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_26<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_72<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_44<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_75<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_77<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_23<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_22<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_29<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_62<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_58<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_70<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_36<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_74<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_25<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_43<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_61<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_40<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_45<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_21<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_52<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_76<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_80<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_49<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_67<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_34<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_65<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_34<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_41<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_53<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_46<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_79<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_38<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_30<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_55<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_74<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_45<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_47<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_50<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_78<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_45<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_57<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_21<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_70<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_54<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_39<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_62<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_77<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_72<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_55<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_32<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_60<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_33<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_53<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_37<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_51<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_66<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_48<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_64<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_33<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_57<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_73<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_42<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_28<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_59<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_60<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_27<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_65<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_68<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_24<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_64<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_35<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_56<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_71<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_35<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_23<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_69<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_63<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_72<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_75<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_26<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_44<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_36<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_63<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_43<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_29<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_70<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_34<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_58<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_74<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_25<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_61<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_40<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_22<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_69<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_52<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_45<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_21<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_40<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_43<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_76<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_36<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_67<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_49<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_80<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_31<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_62<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_34<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_46<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_53<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_39<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_41<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_43<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_30<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_58<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_79<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_38<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_47<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_78<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_54<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_50<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_38<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_39<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_57<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_41<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_62<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_77<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_51<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_55<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_32<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_60<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_66<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_33<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_37<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_48<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_59<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_64<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_37<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_73<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_42<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_28<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_65<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_51<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_29<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_43<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_73<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_79<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_37<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_30<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_53<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_59<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_37<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_45<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_76<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_67<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_75<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_26<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_68<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_44<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_70<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_34<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_68<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_56<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_27<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_38<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_66<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_64<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_48<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_32<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_44<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_33<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_50<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_31<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_62<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_32<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_63<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_21<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_49<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_80<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_52<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_39<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_63<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_41<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_77<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_58<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_55<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_69<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_61<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_71<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_27<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_56<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_47<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_28<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_78<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_35<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_66<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_52<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_49<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_36<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_57<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_46<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_60<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_72<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_24<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_74<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_30<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_42<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_22<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_58<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_25<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_73<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_42<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_30<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_71<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_40<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_23<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_54<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_59<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_51<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_65<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_75<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_79<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_24<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_48<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_67<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_29<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_46<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_59<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_53<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_43<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_26<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_37<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_45<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_76<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_68<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_46<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_29<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_23<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_34<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_32<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_36<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_38<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_51<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_56<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_48<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_77<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_33<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_64<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_50<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_75<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_44<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_80<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_39<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_52<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_62<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_31<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_70<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_21<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_27<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_77<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_22<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_63<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_41<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_25<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_61<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_55<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_69<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_66<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_52<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_28<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_49<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_80<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_54<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_36<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_57<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_47<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_78<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_58<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_72<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_53<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_60<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_37<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_24<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_22<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_79<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_30<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_25<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_76<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_35<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_74<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_23<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_54<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_40<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_71<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_73<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_42<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_59<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_78<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_47<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_79<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_48<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_29<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_62<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_32<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_37<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_51<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_43<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_40<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_26<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_45<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_76<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_43<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_73<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_21<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_59<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_42<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_64<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_34<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_67<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_65<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_27<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_31<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_63<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_56<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_55<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_38<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_38<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_50<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_69<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_68<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_57<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_44<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_21<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_26<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_75<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_62<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_31<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_70<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_39<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_72<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_58<1))||(CONTROLE
UR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_22<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_77<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_55<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_25<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_36<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_60<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_66<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_61<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_33<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_52<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_57<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_28<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_74<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_80<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_49<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_41<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_53<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_24<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_46<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_67<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_29<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_79<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_35<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_74<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_30<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_40<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_71<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_78<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_47<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_41<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_72<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_54<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_28<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_23<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_60<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_70<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_51<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_29<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_32<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_34<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_37<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_40<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_48<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_21<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_59<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_64<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_45<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_40<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_76<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_73<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_71<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_67<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_62<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_34<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_65<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_39<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_27<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_68<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_64<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_43<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_56<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_33<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_50<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_38<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_69<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_57<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_63<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_26<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_39<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_70<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_75<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_44<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_22<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_77<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_63<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_58<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_55<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_36<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_66<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_33<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_74<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_25<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_61<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_69<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_33<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_28<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_49<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_31<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_80<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_36<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_52<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_46<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_53<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_67<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_60<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_65<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_72<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_24<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_42<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_35<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_71<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_79<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_30<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_66<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_78<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_47<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_23<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_35<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_54<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_41<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))")
Detected timeout of ITS tools.
[2021-05-09 01:14:21] [INFO ] Flatten gal took : 163 ms
[2021-05-09 01:14:21] [INFO ] Applying decomposition
[2021-05-09 01:14:21] [INFO ] Flatten gal took : 183 ms
Converted graph to binary with : CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.louvain.binaries_1.0.0.202104292328/bin/convert-linux64, -i, /tmp/graph4670663666785297254.txt, -o, /tmp/graph4670663666785297254.bin, -w, /tmp/graph4670663666785297254.weights], workingDir=null]
Built communities with : CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.louvain.binaries_1.0.0.202104292328/bin/louvain-linux64, /tmp/graph4670663666785297254.bin, -l, -1, -v, -w, /tmp/graph4670663666785297254.weights, -q, 0, -e, 0.001], workingDir=null]
[2021-05-09 01:14:21] [INFO ] Decomposing Gal with order
[2021-05-09 01:14:21] [INFO ] Rewriting arrays to variables to allow decomposition.
[2021-05-09 01:14:23] [INFO ] Removed a total of 6755 redundant transitions.
[2021-05-09 01:14:23] [INFO ] Flatten gal took : 1298 ms
[2021-05-09 01:14:23] [INFO ] Fuse similar labels procedure discarded/fused a total of 477 labels/synchronizations in 42 ms.
[2021-05-09 01:14:23] [INFO ] Time to serialize gal into /tmp/LTL17762411047397835747.gal : 3 ms
[2021-05-09 01:14:23] [INFO ] Time to serialize properties into /tmp/LTL9443119463575184338.ltl : 14 ms
Invoking ITS tools like this :CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64, --gc-threshold, 2000000, -i, /tmp/LTL17762411047397835747.gal, -t, CGAL, -LTL, /tmp/LTL9443119463575184338.ltl, -c, -stutter-deadlock], workingDir=/home/mcc/execution]

its-ltl command run as :

/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64 --gc-threshold 2000000 -i /tmp/LTL17762411047397835747.gal -t CGAL -LTL /tmp/LTL9443119463575184338.ltl -c -stutter-deadlock
Read 1 LTL properties
Checking formula 0 : !((G((F("(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((i0.u2.NB_ATTENTE_A_33>=1)&&(i0.u2.ROUTE_A_0>=1))||((i0.u2.NB_ATTENTE_A_23>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_4>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_53>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_72>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_52>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_42>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_14>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_63>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_44>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_61>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_32>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_2>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_71>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_54>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_3>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_24>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_15>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_41>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_62>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_45>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_11>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_16>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_60>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_50>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_70>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_35>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_1>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_36>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_25>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_69>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_59>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_10>=1)&&(i0.u2.ROUTE_A_0>=1)))||((u1.NB_ATTENTE_A_0>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_17>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_76>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_51>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_34>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_68>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_26>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_43>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_9>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_77>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_67>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_18>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_38>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_8>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_57>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_29>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_48>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_78>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_27>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_66>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_49>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_20>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_19>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_37>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_75>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_58>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_7>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_79>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_28>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_55>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_6>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_40>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_21>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_74>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_31>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_12>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_65>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_46>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_80>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_22>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_39>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_5>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_73>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_56>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_30>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_47>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_13>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_64>=1)&&(i0.u2.ROUTE_A_0>=1)))&&(((i0.u2.CHOIX_1>=1)&&(i0.u0.COMPTEUR_20>=1))||((u1.CHOIX_0>=1)&&(i0.u0.COMPTEUR_20>=1))))"))&&(F(G("(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_70<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_46<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_58<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_17<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_34<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_5<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_1<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_38<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_66<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_14<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_22<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_74<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_25<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_54<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_9<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_73<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_21<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_61<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_42<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_33<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_50<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_78<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_2<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_62<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_59<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_13<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_41<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_10<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_12<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_15<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_39<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_10<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_55<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_77<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_51<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_73<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_19<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_17<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_79<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_71<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_4<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_30<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_53<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_28<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_35<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_66<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_59<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_64<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_37<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_6<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_68<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_44<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_57<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_8<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_26<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_75<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_20<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_60<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_44<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_68<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_15<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_72<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_27<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_56<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_24<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_48<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_12<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_7<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_32<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_35<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_69<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_11<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_64<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_71<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_40<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_75<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_23<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_31<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_80<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_44<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_32<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_52<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_3<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_49<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_65<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_63<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_22<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_29<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_41<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_58<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_3<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_69<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_43<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_61<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_1<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_20<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_56<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_78<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_47<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_16<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_38<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_45<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_76<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_7<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_36<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_18<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_67<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_54<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_5<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_17<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_29<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_46<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_10<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_34<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_58<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_53<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_38<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_30<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_79<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_14<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_74<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_25<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_30<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_2<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_9<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_73<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_59<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_42<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_78<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_1<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_47<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_33<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_13<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_50<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_21<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_39<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_18<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_62<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_70<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_51<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_75<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_79<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_48<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_77<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_19<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_55<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_46<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_4<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_53<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_28<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_66<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_37<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_6<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_68<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_57<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_8<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_26<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_72<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_55<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_32<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_60<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_56<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_15<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_48<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_51<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_24<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_35<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_12<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_76<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_23<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_40<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_71<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_11<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_64<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_44<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_75<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_52<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_26<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_49<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_31<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_80<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_65<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_3<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_24<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_22<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_25<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_67<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_63<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_29<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_41<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_20<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_2<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_61<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_69<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_1<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_43<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_27<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_16<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_52<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_50<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_18<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_49<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_7<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_45<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_21<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_76<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_74<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_80<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_27<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_54<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_36<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_5<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_78<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_47<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_23<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_41<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_34<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_5<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_53<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_46<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_79<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_55<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_6<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_30<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_2<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_1<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_9<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_17<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_38<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_14<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_50<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_78<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_4<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_54<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_47<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_38<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_45<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_62<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_70<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_21<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_13<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_39<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_10<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_48<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_72<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_55<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_8<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_77<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_32<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_15<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_60<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_37<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_33<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_4<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_51<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_19<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_66<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_23<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_33<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_57<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_26<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_28<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_73<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_42<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_11<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_59<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_64<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_50<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_27<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_20<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_19<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_24<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_31<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_63<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_68<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_67<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_35<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_56<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_12<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_40<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_71<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_69<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_35<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_16<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_18<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_14<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_26<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_72<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_75<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_31<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_28<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_23<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_44<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_60<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_22<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_29<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_58<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_70<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_3<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_36<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_25<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_7<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_43<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_61<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_52<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_45<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_21<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_76<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_9<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_43<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_74<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_80<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_49<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_47<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_65<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_18<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_67<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_16<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_5<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_53<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_41<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_46<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_42<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_43<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_1<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_17<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_6<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_30<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_58<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_14<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_79<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_9<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_42<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_4<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_78<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_62<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_47<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_38<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_50<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_2<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_54<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_7<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_57<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_41<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_39<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_8<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_70<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_13<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_15<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_8<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_77<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_55<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_51<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_56<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_32<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_10<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_11<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_37<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_19<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_33<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_66<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_48<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_57<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_59<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_64<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_37<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_6<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_28<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_73<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_60<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_12<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_72<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_27<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_65<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_61<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_68<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_20<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_24<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_56<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_64<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_15<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_71<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_11<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_66<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_35<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_16<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_23<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_69<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_32<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_63<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_44<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_75<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_26<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_70<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_63<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_29<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_58<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_62<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_36<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_25<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_7<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_74<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_61<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_40<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_22<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_45<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_21<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_76<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_13<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_9<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_12<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_40<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_18<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_49<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_67<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_80<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_31<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_65<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_3<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_52<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_36<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_34<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_39<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_63<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_41<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_22<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_55<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_3<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_77<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_20<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_69<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_61<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_19<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_56<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_71<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_27<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_35<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_66<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_47<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_6<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_49<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_28<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_54<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_8<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_36<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_39<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_57<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_5<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_78<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_58<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_46<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_17<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_60<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_72<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_5<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_24<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_12<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_22<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_74<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_25<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_76<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_54<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_9<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_73<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_42<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_11<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_40<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_61<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_71<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_23<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_78<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_59<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_10<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_24<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_65<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_29<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_10<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_51<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_73<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_43<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_46<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_17<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_79<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_30<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_53<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_4<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_16<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_59<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_7<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_76<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_45<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_37<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_38<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_18<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_67<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_68<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_75<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_26<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_44<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_68<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_34<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_27<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_20<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_56<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_66<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_48<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_15<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_14<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_32<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_69<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_64<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_33<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_1<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_2<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_50<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_80<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_31<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_13<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_32<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_44<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_62<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_52<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_21<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_34<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_70<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_49<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_3<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_27<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_3<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_39<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_22<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_63<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_25<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_77<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_41<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_61<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_19<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_55<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_6<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_20<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_69<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_28<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_66<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_74<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_80<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_49<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_52<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_54<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_36<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_57<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_5<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_8<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_47<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_17<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_10<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_46<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_58<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_53<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_60<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_72<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_30<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_24<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_79<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_12<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_74<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_25<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_35<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_30<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_40<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_76<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_9<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_71<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_73<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_42<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_4<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_59<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_11<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_78<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_47<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_23<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_51<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_48<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_65<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_75<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_24<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_79<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_46<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_67<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_29<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_73<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_7<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_53<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_1<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_4<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_43<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_16<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_50<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_26<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_18<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_76<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_45<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_37<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_72<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_68<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_23<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_29<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_34<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_32<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_56<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_15<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_27<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_48<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_51<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_14<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_38<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_77<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_2<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_1<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_64<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_33<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_13<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_44<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_75<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_62<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_26<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_52<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_50<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_39<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_70<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_49<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_21<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_80<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_31<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_72<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_22<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_55<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_3<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_77<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_58<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_36<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_60<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_11<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_33<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_74<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_25<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_61<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_66<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_33<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_57<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_23<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_6<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_52<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_9<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_80<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_49<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_31<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_50<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_8<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_41<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_60<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_5<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_24<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_53<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_46<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_19<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_67<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_65<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_79<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_30<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_28<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_35<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_12<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_17<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_71<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_4<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_78<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_16<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_54<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_47<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_18<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_72<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_77<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_28<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_23<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_11<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_10<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_29<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_15<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_70<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_32<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_7<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_37<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_51<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_53<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_4<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_43<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_48<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_40<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_45<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_76<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_64<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_21<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_73<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_43<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_42<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_59<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_65<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_16<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_18<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_67<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_34<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_27<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_31<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_68<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_63<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_38<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_1<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_20<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_55<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_56<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_2<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_6<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_50<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_69<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_14<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_26<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_45<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_21<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_70<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_75<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_57<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_8<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_80<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_31<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_13<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_39<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_44<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_62<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_63<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_36<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_77<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_8<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_55<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_58<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_74<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_25<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_33<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_61<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_19<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_66<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_20<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_69<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_22<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_57<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_52<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_6<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_28<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_9<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_49<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_80<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_31<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_3<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_36<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_5<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_53<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_60<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_41<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_19<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_65<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_24<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_46<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_42<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_35<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_30<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_17<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_58<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_9<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_79<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_71<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_12<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_4<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_42<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_11<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_78<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_16<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_35<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_47<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_54<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_41<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_72<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_23<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_70<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_29<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_15<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_51<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_62<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_10<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_12<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_37<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_48<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_40<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_7<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_59<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_76<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_64<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_45<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_21<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_37<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_13<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_73<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_40<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_42<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_18<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_67<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_65<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_16<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_34<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_39<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_27<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_20<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_68<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_61<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_1<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_56<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_15<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_64<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_43<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_14<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_38<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_2<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_38<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_69<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_50<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_32<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_39<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_57<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_8<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_63<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_14<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_13<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_44<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_75<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_62<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_26<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_20<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_44<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_60<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_56<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_68<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_72<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_27<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_12<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_24<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_64<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_15<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_48<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_32<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_51<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_40<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_11<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_71<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_44<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_23<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_32<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_49<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_80<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_31<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_52<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_3<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_63<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_65<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_22<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_29<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_41<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_25<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_43<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_69<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_20<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_61<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_56<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_27<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_16<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_47<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_7<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_38<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_45<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_76<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_49<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_54<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_36<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_67<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_18<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_5<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_78<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_5<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_46<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_17<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_34<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_30<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_78<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_42<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_74<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_25<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_14<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_58<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_22<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_9<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_30<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_42<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_61<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_33<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_2<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_1<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_54<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_50<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_59<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_62<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_13<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_10<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_21<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_34<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_70<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_39<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_15<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_77<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_51<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_55<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_17<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_73<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_19<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_46<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_71<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_79<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_53<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_4<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_28<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_35<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_66<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_59<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_37<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_6<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_68<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_26<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_75<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_57<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_8<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_68<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_32<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_36<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_15<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_60<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_72<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_31<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_51<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_24<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_56<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_12<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_48<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_35<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_23<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_76<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_40<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_71<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_64<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_11<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_75<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_3<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_26<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_44<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_80<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_31<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_52<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_27<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_65<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_24<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_22<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_67<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_63<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_25<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_3<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_29<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_41<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_2<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_61<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_7<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_69<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_20<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_1<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_43<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_45<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_50<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_74<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_80<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_52<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_49<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_18<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_45<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_76<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_54<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_5<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_16<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_29<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_47<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_58<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_17<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_34<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_10<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_30<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_79<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_14<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_38<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_22<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_77<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_74<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_25<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_54<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_9<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_73<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_42<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_59<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_1<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_47<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_33<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_78<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_2<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_62<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_13<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_50<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_70<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_39<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_21<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_51<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_48<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_75<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_79<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_77<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_46<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_19<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_6<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_55<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_73<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_53<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_4<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_66<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_26<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_28<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_37<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_68<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_57<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_8<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_60<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_27<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_20<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_24<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_31<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_63<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_19<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_67<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_65<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_56<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_48<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_28<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_12<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_35<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_11<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_71<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_28<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_69<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_16<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_68<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_26<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_72<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_44<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_75<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_77<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_23<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_3<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_22<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_29<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_62<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_58<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_70<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_36<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_7<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_74<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_25<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_43<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_61<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_40<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_45<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_21<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_52<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_76<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_9<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_80<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_49<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_16<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_67<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_34<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_65<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_18<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_34<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_41<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_53<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_46<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_2<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_79<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_38<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_6<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_30<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_55<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_1<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_74<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_17<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_45<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_47<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_50<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_78<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_4<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_45<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_57<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_21<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_70<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_54<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_5<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_39<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_62<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_13<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_77<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_72<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_55<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_8<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_32<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_15<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_60<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_10<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_33<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_14<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_53<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_37<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_51<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_4<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_19<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_66<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_48<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_64<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_33<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_6<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_2<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_57<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_73<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_42<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_28<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_11<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_59<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_60<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_19<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_27<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_65<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_20<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_68<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_24<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_64<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_35<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_56<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_12<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_71<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_35<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_11<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_23<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_16<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_69<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_63<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_14<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_72<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_75<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_26<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_44<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_36<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_63<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_3<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_43<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_29<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_70<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_34<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_58<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_74<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_25<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_61<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_40<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_22<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_7<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_69<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_52<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_45<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_21<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_40<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_43<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_76<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_9<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_12<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_36<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_67<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_49<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_80<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_5<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_18<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_31<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_62<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_13<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_34<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_46<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_53<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_39<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_5<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_41<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_1<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_2<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_43<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_30<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_58<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_17<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_9<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_14<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_79<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_38<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_47<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_78<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_4<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_54<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_7<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_50<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_38<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_8<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_39<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_57<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_41<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_62<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_13<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_10<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_77<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_15<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_8<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_51<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_55<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_10<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_32<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_60<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_11<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_66<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_33<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_19<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_37<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_48<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_59<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_64<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_37<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_6<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_73<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_42<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_28<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_15<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_65<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_10<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_51<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_29<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_43<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_73<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_17<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_79<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_37<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_30<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_53<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_4<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_59<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_16<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_18<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_37<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_7<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_45<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_76<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_67<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATT
ENTE_A_0<1)||(u1.NB_ATTENTE_B_75<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_26<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_68<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_44<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_20<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_70<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_34<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_68<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_56<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_27<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_15<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_38<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_66<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_64<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_14<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_48<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_32<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_44<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_2<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_33<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_1<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_50<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_31<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_62<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_13<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_32<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_63<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_3<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_21<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_49<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_80<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_52<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_39<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_63<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_13<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_41<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_3<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_77<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_58<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_55<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_69<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_19<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_20<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_61<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_71<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_27<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_56<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_47<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_28<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_78<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_35<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_66<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_52<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_49<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_6<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_5<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_36<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_8<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_57<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_17<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_46<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_10<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_60<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_72<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_5<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_24<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_12<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_74<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_30<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_42<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_22<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_58<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_25<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_9<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_7<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_73<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_42<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_30<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_11<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_71<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_40<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_23<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_54<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_59<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_51<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_65<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_75<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_79<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_24<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_48<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_67<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_17<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_29<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_2<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_7<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_46<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_59<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_53<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_4<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_1<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_43<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_16<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_26<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_37<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_18<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_45<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_76<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_68<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_46<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_29<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_23<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_34<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_32<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_36<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_15<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_38<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_14<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_51<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_56<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_48<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_77<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_2<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_33<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_64<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_1<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_50<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_75<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_44<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_80<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_39<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_52<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_18<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_62<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_3<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_31<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_13<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_70<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_21<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_27<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_3<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_77<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_22<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_63<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_41<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_19<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_25<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_61<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_55<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_6<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_69<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_20<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_66<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_52<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_28<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_49<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_80<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_54<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_36<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_8<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_57<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_5<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_47<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_78<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_58<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_72<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_10<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_53<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_60<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_37<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_24<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_12<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_22<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_79<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_30<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_25<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_76<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_17<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_35<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_74<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_23<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_54<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_9<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_40<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_71<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_73<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_42<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_59<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_11<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_78<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_47<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_79<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_48<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_10<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_29<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_62<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_32<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_15<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_37<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_7<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_51<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_4<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_43<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_40<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_26<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_45<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_76<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_43<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_73<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_21<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_59<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_42<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_64<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_18<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_34<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_67<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_65<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_16<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_27<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_31<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_20<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_63<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_56<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_6<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_55<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_2<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_1<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_38<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_14<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_38<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_50<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_69<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_68<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_57<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_44<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_21<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_26<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_75<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_62<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_31<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_13<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_70<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_39<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_8<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_72<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_3<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_58<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_22<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_77<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_55<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_25<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_36<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_60<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_14<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_66<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_19<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_61<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_33<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_2<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_52<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_57<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_6<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_9<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_28<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_74<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_80<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_11<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_49<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_41<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_53<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_5<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_24<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_19<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_46<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_67<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_29<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_79<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_12<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_17<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_35<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_74<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_30<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_40<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_71<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_4<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_78<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_47<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_18<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_16<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_41<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_72<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_54<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_28<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_23<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_60<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_15<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_70<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_51<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_29<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_32<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_10<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_34<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_37<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_40<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_48<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_7<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_21<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_59<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_64<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_45<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_40<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_13<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_76<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_12<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_73<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_71<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_67<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_18<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_62<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_34<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_65<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_39<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_13<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_27<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_68<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_20<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_1<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_64<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_43<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_6<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_14<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_56<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_2<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_33<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_50<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_7<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_38<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_69<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_57<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_63<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_8<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_14<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_26<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_39<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_70<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_75<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_44<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_8<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_22<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_77<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_63<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_58<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_55<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_36<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_11<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_66<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_33<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_19<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_74<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_25<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_61<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_69<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_33<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_6<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_9<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_28<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_49<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_31<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_80<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_3<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_36<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_52<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_46<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_53<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_67<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_5<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_60<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_65<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_72<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_24<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_42<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_17<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_35<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_9<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_71<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_12<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_79<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_30<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_4<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_11<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_66<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_78<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_47<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_23<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_35<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_16<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_54<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_41<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_10<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))"))))))
Formula 0 simplified : !G(F"(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((i0.u2.NB_ATTENTE_A_33>=1)&&(i0.u2.ROUTE_A_0>=1))||((i0.u2.NB_ATTENTE_A_23>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_4>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_53>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_72>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_52>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_42>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_14>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_63>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_44>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_61>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_32>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_2>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_71>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_54>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_3>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_24>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_15>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_41>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_62>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_45>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_11>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_16>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_60>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_50>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_70>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_35>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_1>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_36>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_25>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_69>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_59>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_10>=1)&&(i0.u2.ROUTE_A_0>=1)))||((u1.NB_ATTENTE_A_0>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_17>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_76>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_51>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_34>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_68>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_26>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_43>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_9>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_77>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_67>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_18>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_38>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_8>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_57>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_29>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_48>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_78>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_27>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_66>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_49>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_20>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_19>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_37>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_75>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_58>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_7>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_79>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_28>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_55>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_6>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_40>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_21>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_74>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_31>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_12>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_65>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_46>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_80>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_22>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_39>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_5>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_73>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_56>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_30>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_47>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_13>=1)&&(i0.u2.ROUTE_A_0>=1)))||((i0.u2.NB_ATTENTE_A_64>=1)&&(i0.u2.ROUTE_A_0>=1)))&&(((i0.u2.CHOIX_1>=1)&&(i0.u0.COMPTEUR_20>=1))||((u1.CHOIX_0>=1)&&(i0.u0.COMPTEUR_20>=1))))" & FG"(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_70<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_46<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_58<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_17<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_34<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_5<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_1<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_38<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_66<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_14<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_22<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_74<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_25<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_54<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_9<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_73<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_21<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_61<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_42<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_33<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_50<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_78<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_2<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_62<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_59<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_13<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_41<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_10<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_12<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_15<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_39<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_10<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_55<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_77<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_51<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_73<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_19<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_17<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_79<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_71<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_4<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_30<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_53<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_28<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_35<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_66<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_59<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_64<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_37<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_6<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_68<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_44<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_57<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_8<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_26<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_75<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_20<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_60<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_44<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_68<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_15<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_72<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_27<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_56<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_24<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_48<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_12<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_7<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_32<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_35<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_69<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_11<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_64<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_71<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_40<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_75<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_23<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_31<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_80<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_44<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_32<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_52<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_3<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_49<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_65<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_63<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_22<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_29<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_41<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_58<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_3<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_69<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_43<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_61<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_1<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_20<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_56<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_78<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_47<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_16<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_38<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_45<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_76<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_7<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_36<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_18<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_67<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_54<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_5<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_17<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_29<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_46<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_10<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_34<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_58<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_53<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_38<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_30<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_79<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_14<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_74<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_25<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_30<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_2<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_9<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_73<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_59<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_42<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_78<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_1<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_47<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_33<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_13<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_50<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_21<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_39<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_18<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_62<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_70<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_51<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_75<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_79<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_48<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_77<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_19<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_55<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_46<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_4<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_53<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_28<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_66<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_37<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_6<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_68<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_57<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_8<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_26<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_72<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_55<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_32<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_60<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_56<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_15<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_48<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_51<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_24<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_35<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_12<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_76<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_23<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_40<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_71<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_11<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_64<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_44<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_75<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_52<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_26<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_49<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_31<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_80<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_65<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_3<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_24<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_22<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_25<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_67<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_63<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_29<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_41<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_20<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_2<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_61<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_69<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_1<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_43<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_27<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_16<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_52<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_50<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_18<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_49<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_7<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_45<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_21<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_76<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_74<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_80<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_27<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_54<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_36<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_5<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_78<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_47<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_23<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_41<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_34<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_5<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_53<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_46<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_79<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_55<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_6<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_30<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_2<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_1<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_9<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_17<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_38<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_14<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_50<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_78<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_4<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_54<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_47<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_38<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_45<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_62<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_70<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_21<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_13<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_39<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_10<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_48<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_72<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_55<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_8<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_77<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_32<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_15<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_60<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_37<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_33<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_4<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_51<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_19<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_66<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_23<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_33<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_57<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_26<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_28<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_73<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_42<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_11<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_59<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_64<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_50<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_27<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_20<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_19<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_24<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_31<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_63<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_68<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_67<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_35<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_56<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_12<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_40<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_71<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_69<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_35<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_16<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_18<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_14<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_26<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_72<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_75<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_31<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_28<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_23<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_44<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_60<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_22<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_29<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_58<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_70<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_3<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_36<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_25<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_7<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_43<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_61<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_52<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_45<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_21<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_76<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_9<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_43<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_74<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_80<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_49<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_47<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_65<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_18<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_67<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_16<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_5<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_53<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_41<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_46<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_42<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_43<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_1<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_17<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_6<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_30<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_58<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_14<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_79<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_9<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_42<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_4<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_78<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_62<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_47<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_38<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_50<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_2<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_54<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_7<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_57<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_41<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_39<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_8<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_70<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_13<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_15<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_8<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_77<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_55<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_51<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_56<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_32<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_10<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_11<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_37<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_19<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_33<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_66<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_48<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_57<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_59<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_64<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_37<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_6<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_28<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_73<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_60<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_12<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_72<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_27<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_65<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_61<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_68<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_20<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_24<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_56<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_64<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_15<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_71<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_11<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_66<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_35<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_16<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_23<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_69<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_32<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_63<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_44<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_75<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_26<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_70<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_63<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_29<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_58<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_62<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_36<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_25<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_7<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_74<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_61<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_40<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_22<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_45<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_21<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_76<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_13<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_9<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_12<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_40<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_18<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_49<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_67<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_80<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_31<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_65<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_3<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_52<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_36<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_34<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_39<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_63<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_41<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_22<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_55<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_3<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_77<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_20<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_69<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_61<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_19<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_56<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_71<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_27<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_35<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_66<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_47<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_6<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_49<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_28<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_54<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_8<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_36<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_39<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_57<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_5<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_78<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_58<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_46<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_17<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_60<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_72<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_5<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_24<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_12<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_22<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_74<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_25<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_76<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_54<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_9<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_73<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_42<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_11<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_40<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_61<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_71<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_23<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_78<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_59<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_10<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_24<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_65<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_29<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_10<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_51<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_73<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_43<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_46<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_17<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_79<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_30<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_53<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_4<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_16<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_59<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_7<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_76<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_45<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_37<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_38<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_18<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_67<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_68<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_75<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_26<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_44<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_68<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_34<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_27<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_20<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_56<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_66<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_48<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_15<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_14<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_32<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_69<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_64<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_33<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_1<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_2<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_50<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_80<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_31<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_13<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_32<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_44<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_62<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_52<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_21<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_34<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_70<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_49<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_3<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_27<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_3<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_39<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_22<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_63<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_25<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_77<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_41<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_61<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_19<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_55<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_6<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_20<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_69<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_28<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_66<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_74<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_80<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_49<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_52<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_54<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_36<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_57<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_5<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_8<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_47<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_17<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_10<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_46<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_58<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_53<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_60<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_72<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_30<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_24<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_79<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_12<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_74<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_25<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_35<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_30<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_40<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_76<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_9<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_71<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_73<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_42<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_4<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_59<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_11<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_78<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_47<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_23<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_51<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_48<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_65<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_75<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_24<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_79<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_46<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_67<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_29<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_73<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_7<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_53<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_1<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_4<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_43<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_16<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_50<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_26<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_18<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_76<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_45<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_37<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_72<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_68<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_23<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_29<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_34<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_32<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_56<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_15<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_27<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_48<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_51<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_14<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_38<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_77<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_2<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_1<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_64<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_33<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_13<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_44<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_75<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_62<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_26<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_52<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_50<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_39<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_70<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_49<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_21<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_80<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_31<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_72<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_22<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_55<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_3<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_77<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_58<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_36<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_60<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_11<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_33<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_74<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_25<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_61<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_66<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_33<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_57<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_23<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_6<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_52<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_9<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_80<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_49<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_31<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_50<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_8<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_41<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_60<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_5<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_24<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_53<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_46<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_19<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_67<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_65<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_79<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_30<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_28<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_35<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_12<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_17<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_71<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_4<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_78<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_16<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_54<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_47<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_18<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_72<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_77<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_28<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_23<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_11<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_10<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_29<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_15<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_70<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_32<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_7<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_37<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_51<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_53<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_4<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_43<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_48<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_40<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_45<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_76<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_64<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_21<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_73<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_43<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_42<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_59<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_65<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_16<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_18<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_67<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_34<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_27<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_31<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_68<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_63<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_38<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_1<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_20<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_55<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_56<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_2<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_6<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_50<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_69<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_14<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_26<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_45<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_21<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_70<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_75<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_57<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_8<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_80<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_31<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_13<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_39<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_44<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_62<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_63<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_36<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_77<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_8<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_55<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_58<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_74<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_25<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_33<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_61<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_19<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_66<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_20<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_69<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_22<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_57<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_52<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_6<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_28<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_9<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_49<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_80<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_31<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_3<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_36<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_5<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_53<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_60<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_41<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_19<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_65<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_24<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_46<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_42<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_35<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_30<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_17<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_58<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_9<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_79<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_71<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_12<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_4<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_42<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_11<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_78<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_16<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_35<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_47<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_54<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_41<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_72<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_23<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_70<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_29<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_15<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_51<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_62<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_10<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_12<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_37<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_48<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_40<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_7<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_59<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_76<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_64<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_45<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_21<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_37<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_13<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_73<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_40<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_42<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_18<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_67<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_65<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_16<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_34<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_39<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_27<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_20<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_68<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_61<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_1<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_56<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_15<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_64<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_43<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_14<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_38<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_2<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_38<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_69<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_50<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_32<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_39<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_57<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_8<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_63<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_14<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_13<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_44<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_75<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_62<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_26<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_20<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_44<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_60<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_56<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_68<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_72<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_27<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_12<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_24<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_64<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_15<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_48<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_32<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_51<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_40<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_11<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_71<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_44<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_23<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_32<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_49<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_80<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_31<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_52<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_3<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_63<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_65<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_22<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_29<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_41<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_25<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_43<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_69<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_20<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_61<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_56<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_27<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_16<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_47<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_7<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_38<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_45<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_76<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_49<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_54<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_36<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_67<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_18<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_5<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_78<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_5<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_46<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_17<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_34<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_30<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_78<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_42<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_74<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_25<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_14<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_58<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_22<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_9<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_30<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_42<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_61<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_33<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_2<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_1<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_54<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_50<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_59<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_62<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_13<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_10<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_21<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_34<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_70<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_39<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_15<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_77<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_51<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_55<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_17<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_73<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_19<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_46<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_71<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_79<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_53<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_4<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_28<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_35<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_66<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_59<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_37<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_6<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_68<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_26<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_75<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_57<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_8<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_68<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_32<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_36<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_15<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_60<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_72<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_31<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_51<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_24<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_56<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_12<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_48<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_35<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_23<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_76<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_40<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_71<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_64<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_11<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_75<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_3<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_26<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_44<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_80<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_31<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_52<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_27<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_65<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_24<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_22<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_67<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_63<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_25<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_3<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_29<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_41<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_2<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_61<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_7<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_69<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_20<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_1<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_43<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_45<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_50<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_74<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_80<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_52<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_49<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_18<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_45<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_76<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_54<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_5<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_16<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_29<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_47<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_58<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_17<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_34<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_10<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_30<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_79<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_14<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_38<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_22<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_77<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_74<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_25<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_54<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_9<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_73<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_42<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_59<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_1<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_47<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_33<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_78<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_2<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_62<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_13<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_50<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_70<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_39<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_21<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_51<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_48<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_75<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_79<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_77<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_46<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_19<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_6<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_55<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_73<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_53<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_4<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_66<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_26<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_28<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_37<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_68<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_57<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_8<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_60<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_27<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_20<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_24<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_31<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_63<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_19<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_67<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_65<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_56<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_48<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_28<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_12<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_35<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_11<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_71<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_28<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_69<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_16<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_68<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_26<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_72<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_44<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_75<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_77<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_23<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_3<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_22<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_29<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_62<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_58<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_70<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_36<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_7<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_74<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_25<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_43<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_61<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_40<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_45<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_21<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_52<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_76<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_9<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_80<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_49<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_16<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_67<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_34<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_65<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_18<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_34<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_41<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_53<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_46<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_2<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_79<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_38<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_6<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_30<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_55<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_1<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_74<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_17<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_45<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_47<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_50<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_78<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_4<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_45<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_57<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_21<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_70<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_54<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_5<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_39<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_62<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_13<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_77<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_72<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_55<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_8<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_32<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_15<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_60<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_10<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_33<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_14<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_53<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_37<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_51<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_4<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_19<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_66<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_48<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_64<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_33<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_6<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_2<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_57<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_73<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_42<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_28<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_11<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_59<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_60<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_19<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_27<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_65<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_20<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_68<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_24<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_64<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_35<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_56<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_12<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_71<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_35<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_11<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_23<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_16<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_69<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_63<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_14<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_72<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_75<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_26<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_44<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_36<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_63<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_3<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_43<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_29<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_70<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_34<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_58<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_74<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_25<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_61<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_40<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_22<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_7<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_69<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_52<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_45<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_21<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_40<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_43<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_76<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_9<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_12<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_36<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_67<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_49<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_80<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_5<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_18<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_31<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_62<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_13<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_34<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_46<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_53<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_39<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_5<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_41<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_1<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_2<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_43<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_30<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_58<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_17<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_9<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_14<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_79<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_38<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_47<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_78<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_4<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_54<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_7<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_50<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_38<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_8<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_39<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_57<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_41<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_62<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_13<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_10<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_77<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_15<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_8<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_51<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_55<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_10<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_32<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_60<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_11<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_66<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_33<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_19<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_37<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_48<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_59<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_64<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_37<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_6<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_73<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_42<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_28<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_15<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_65<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_10<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_51<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_29<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_43<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_73<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_17<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_79<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_37<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_30<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_53<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_4<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_59<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_16<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_18<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_37<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_7<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_45<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_76<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_67<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A
_0<1)||(u1.NB_ATTENTE_B_75<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_26<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_68<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_44<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_20<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_70<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_34<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_68<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_56<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_27<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_15<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_38<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_66<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_64<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_14<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_48<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_32<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_44<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_2<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_33<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_1<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_50<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_31<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_62<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_13<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_32<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_63<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_3<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_21<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_49<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_80<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_52<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_39<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_63<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_13<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_41<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_3<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_77<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_58<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_55<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_69<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_19<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_20<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_61<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_71<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_27<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_56<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_47<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_28<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_78<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_35<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_66<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_52<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_49<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_6<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_5<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_36<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_8<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_57<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_17<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_46<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_10<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_60<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_72<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_5<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_24<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_12<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_74<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_30<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_42<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_22<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_58<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_25<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_9<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_7<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_73<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_42<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_30<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_11<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_71<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_40<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_23<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_54<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_59<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_51<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_65<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_75<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_79<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_24<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_48<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_67<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_17<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_29<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_2<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_7<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_46<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_59<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_53<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_4<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_1<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_43<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_16<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_26<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_37<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_18<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_45<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_76<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_68<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_46<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_29<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_23<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_34<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_32<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_36<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_15<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_38<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_14<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_51<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_56<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_48<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_77<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_2<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_33<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_64<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_1<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_50<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_75<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_44<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_80<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_39<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_52<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_18<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_62<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_3<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_31<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_13<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_70<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_21<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_27<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_3<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_77<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_22<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_63<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_41<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_19<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_25<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_61<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_55<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_6<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_69<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_20<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_66<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_52<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_28<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_49<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_80<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_19<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_54<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_36<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_8<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_57<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_5<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_47<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_78<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_58<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_72<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_10<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_53<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_60<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_37<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_24<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_12<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_22<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_79<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_30<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_25<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_76<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_17<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_35<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_74<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_23<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_54<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_9<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_40<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_71<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_73<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_42<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_59<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_11<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_78<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_47<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_79<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_48<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_10<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_29<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_62<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_32<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_15<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_37<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_7<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_51<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_4<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_43<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_40<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_26<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_45<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_76<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_43<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_73<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_21<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_59<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_42<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_64<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_18<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_34<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_67<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_65<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_16<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_27<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_31<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_20<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_63<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_56<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_6<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_55<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_2<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_1<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_38<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_14<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_38<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_50<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_69<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_68<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_57<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_44<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_21<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_26<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_75<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_62<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_31<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_13<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_70<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_39<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_8<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_72<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_3<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_58<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_22<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_77<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_55<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_25<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_36<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_60<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_14<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_66<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_19<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_61<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_33<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_2<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_52<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_57<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_6<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_9<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_28<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_74<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_80<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_15<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_11<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_49<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_41<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_53<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_5<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_24<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_19<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_46<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_67<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_29<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_79<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_12<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_17<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_35<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_74<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_30<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_40<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_71<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_4<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_78<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_47<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_18<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_16<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_41<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_72<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_54<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_28<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_23<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_60<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_15<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_70<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_51<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_29<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_32<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_10<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_34<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_37<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_40<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_48<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_7<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_21<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_59<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_64<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_45<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_40<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_13<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_76<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_12<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_73<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_71<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_67<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_18<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_62<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_34<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_65<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_39<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_13<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_27<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_68<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_20<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_1<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_64<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_43<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_6<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_14<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_56<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_2<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_33<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_50<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_7<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_38<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_69<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_57<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_63<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_8<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_14<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_26<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_39<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_70<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_75<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_44<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_8<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_22<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_77<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_63<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_58<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_55<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_36<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_11<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_66<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_33<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_19<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_74<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_25<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_61<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_69<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_33<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_6<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_9<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_28<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_49<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_31<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_80<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_3<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_36<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_52<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_46<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_53<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_67<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_5<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_60<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_16<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_65<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_72<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_24<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_42<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_17<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_35<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_9<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_71<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_12<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_79<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_30<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_13<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_4<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_14<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_11<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_18<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_66<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_20<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_78<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_11<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_47<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_23<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_35<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_17<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_16<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_54<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_12<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_41<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((u1.NB_ATTENTE_A_0<1)||(u1.NB_ATTENTE_B_10<1))||(u1.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))")
Detected timeout of ITS tools.
Built C files in :
/tmp/ltsmin8440879888643044203
[2021-05-09 01:14:38] [INFO ] Built C files in 80ms conformant to PINS (ltsmin variant)in folder :/tmp/ltsmin8440879888643044203
Running compilation step : CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/include/, -I., -std=c99, -fPIC, -O2, model.c], workingDir=/tmp/ltsmin8440879888643044203]
WARNING : LTS min runner thread failed on error :java.lang.RuntimeException: Compilation or link of executable timed out.java.util.concurrent.TimeoutException: Subprocess running CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/include/, -I., -std=c99, -fPIC, -O2, model.c], workingDir=/tmp/ltsmin8440879888643044203] killed by timeout after 1 SECONDS
java.lang.RuntimeException: Compilation or link of executable timed out.java.util.concurrent.TimeoutException: Subprocess running CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/include/, -I., -std=c99, -fPIC, -O2, model.c], workingDir=/tmp/ltsmin8440879888643044203] killed by timeout after 1 SECONDS
at fr.lip6.move.gal.application.LTSminRunner$1.run(LTSminRunner.java:79)
at java.base/java.lang.Thread.run(Thread.java:834)
Treatment of property BridgeAndVehicles-COL-V80P20N20-07 finished in 50938 ms.
Running Spot : CommandLine [args=[/home/mcc/BenchKit/bin//..//ltl2tgba, --hoaf=tv, -f, !(X((F(!p0) U G(p1))))], workingDir=/home/mcc/execution]
Support contains 185 out of 196 places. Attempting structural reductions.
Starting structural reductions, iteration 0 : 196/196 places, 3728/3728 transitions.
Discarding 1 places :
Symmetric choice reduction at 0 with 1 rule applications. Total rules 1 place count 195 transition count 3727
Iterating global reduction 0 with 1 rules applied. Total rules applied 2 place count 195 transition count 3727
Applied a total of 2 rules in 27 ms. Remains 195 /196 variables (removed 1) and now considering 3727/3728 (removed 1) transitions.
[2021-05-09 01:14:40] [INFO ] Flow matrix only has 409 transitions (discarded 3318 similar events)
// Phase 1: matrix 409 rows 195 cols
[2021-05-09 01:14:40] [INFO ] Computed 5 place invariants in 5 ms
[2021-05-09 01:14:41] [INFO ] Dead Transitions using invariants and state equation in 1140 ms returned []
[2021-05-09 01:14:41] [INFO ] Flow matrix only has 409 transitions (discarded 3318 similar events)
// Phase 1: matrix 409 rows 195 cols
[2021-05-09 01:14:41] [INFO ] Computed 5 place invariants in 1 ms
[2021-05-09 01:14:41] [INFO ] Implicit Places using invariants in 42 ms returned []
[2021-05-09 01:14:41] [INFO ] Flow matrix only has 409 transitions (discarded 3318 similar events)
// Phase 1: matrix 409 rows 195 cols
[2021-05-09 01:14:41] [INFO ] Computed 5 place invariants in 14 ms
[2021-05-09 01:14:41] [INFO ] State equation strengthened by 42 read => feed constraints.
[2021-05-09 01:14:42] [INFO ] Implicit Places using invariants and state equation in 985 ms returned [83, 166]
Discarding 2 places :
Implicit Place search using SMT with State Equation took 1037 ms to find 2 implicit places.
[2021-05-09 01:14:42] [INFO ] Flow matrix only has 409 transitions (discarded 3318 similar events)
// Phase 1: matrix 409 rows 193 cols
[2021-05-09 01:14:42] [INFO ] Computed 5 place invariants in 2 ms
[2021-05-09 01:14:43] [INFO ] Dead Transitions using invariants and state equation in 1052 ms returned []
Starting structural reductions, iteration 1 : 193/196 places, 3727/3728 transitions.
Applied a total of 0 rules in 17 ms. Remains 193 /193 variables (removed 0) and now considering 3727/3727 (removed 0) transitions.
[2021-05-09 01:14:43] [INFO ] Flow matrix only has 409 transitions (discarded 3318 similar events)
// Phase 1: matrix 409 rows 193 cols
[2021-05-09 01:14:43] [INFO ] Computed 5 place invariants in 2 ms
[2021-05-09 01:14:44] [INFO ] Dead Transitions using invariants and state equation in 1180 ms returned []
Finished structural reductions, in 2 iterations. Remains : 193/196 places, 3727/3728 transitions.
Stuttering acceptance computed with spot in 110 ms :[(NOT p1), (NOT p1), p0]

========== file over 1MB has been truncated ======
retrieve it from the run archives if needed

Sequence of Actions to be Executed by the VM

This is useful if one wants to reexecute the tool in the VM from the submitted image disk.

set -x
# this is for BenchKit: configuration of major elements for the test
export BK_INPUT="BridgeAndVehicles-COL-V80P20N20"
export BK_EXAMINATION="LTLFireability"
export BK_TOOL="itstools"
export BK_RESULT_DIR="/tmp/BK_RESULTS/OUTPUTS"
export BK_TIME_CONFINEMENT="3600"
export BK_MEMORY_CONFINEMENT="16384"
export BK_BIN_PATH="/home/mcc/BenchKit/bin/"

# this is specific to your benchmark or test

export BIN_DIR="$HOME/BenchKit/bin"

# remove the execution directoty if it exists (to avoid increse of .vmdk images)
if [ -d execution ] ; then
rm -rf execution
fi

# this is for BenchKit: explicit launching of the test
echo "====================================================================="
echo " Generated by BenchKit 2-4028"
echo " Executing tool itstools"
echo " Input is BridgeAndVehicles-COL-V80P20N20, examination is LTLFireability"
echo " Time confinement is $BK_TIME_CONFINEMENT seconds"
echo " Memory confinement is 16384 MBytes"
echo " Number of cores is 4"
echo " Run identifier is r026-tajo-162038143400125"
echo "====================================================================="
echo
echo "--------------------"
echo "preparation of the directory to be used:"

tar xzf /home/mcc/BenchKit/INPUTS/BridgeAndVehicles-COL-V80P20N20.tgz
mv BridgeAndVehicles-COL-V80P20N20 execution
cd execution
if [ "LTLFireability" = "ReachabilityDeadlock" ] || [ "LTLFireability" = "UpperBounds" ] || [ "LTLFireability" = "QuasiLiveness" ] || [ "LTLFireability" = "StableMarking" ] || [ "LTLFireability" = "Liveness" ] || [ "LTLFireability" = "OneSafe" ] || [ "LTLFireability" = "StateSpace" ]; then
rm -f GenericPropertiesVerdict.xml
fi
pwd
ls -lh

echo
echo "--------------------"
echo "content from stdout:"
echo
echo "=== Data for post analysis generated by BenchKit (invocation template)"
echo
if [ "LTLFireability" = "UpperBounds" ] ; then
echo "The expected result is a vector of positive values"
echo NUM_VECTOR
elif [ "LTLFireability" != "StateSpace" ] ; then
echo "The expected result is a vector of booleans"
echo BOOL_VECTOR
else
echo "no data necessary for post analysis"
fi
echo
if [ -f "LTLFireability.txt" ] ; then
echo "here is the order used to build the result vector(from text file)"
for x in $(grep Property LTLFireability.txt | cut -d ' ' -f 2 | sort -u) ; do
echo "FORMULA_NAME $x"
done
elif [ -f "LTLFireability.xml" ] ; then # for cunf (txt files deleted;-)
echo echo "here is the order used to build the result vector(from xml file)"
for x in $(grep '' LTLFireability.xml | cut -d '>' -f 2 | cut -d '<' -f 1 | sort -u) ; do
echo "FORMULA_NAME $x"
done
elif [ "LTLFireability" = "ReachabilityDeadlock" ] || [ "LTLFireability" = "QuasiLiveness" ] || [ "LTLFireability" = "StableMarking" ] || [ "LTLFireability" = "Liveness" ] || [ "LTLFireability" = "OneSafe" ] ; then
echo "FORMULA_NAME LTLFireability"
fi
echo
echo "=== Now, execution of the tool begins"
echo
echo -n "BK_START "
date -u +%s%3N
echo
timeout -s 9 $BK_TIME_CONFINEMENT bash -c "/home/mcc/BenchKit/BenchKit_head.sh 2> STDERR ; echo ; echo -n \"BK_STOP \" ; date -u +%s%3N"
if [ $? -eq 137 ] ; then
echo
echo "BK_TIME_CONFINEMENT_REACHED"
fi
echo
echo "--------------------"
echo "content from stderr:"
echo
cat STDERR ;