fond
Model Checking Contest 2021
11th edition, Paris, France, June 23, 2021
Execution of r026-tajo-162038143400117
Last Updated
Jun 28, 2021

About the Execution of ITS-Tools for BridgeAndVehicles-COL-V80P20N10

Execution Summary
Max Memory
Used (MB)
Time wait (ms) CPU Usage (ms) I/O Wait (ms) Computed Result Execution
Status
11853.943 3600000.00 3993743.00 2282.00 F?T?F????FFT?FFF normal

Execution Chart

We display below the execution chart for this examination (boot time has been removed).

Trace from the execution

Formatting '/mnt/tpsp/fkordon/mcc2021-input.r026-tajo-162038143400117.qcow2', fmt=qcow2 size=4294967296 backing_file='/mnt/tpsp/fkordon/mcc2021-input.qcow2' encryption=off cluster_size=65536 lazy_refcounts=off
Waiting for the VM to be ready (probing ssh)
...................
=====================================================================
Generated by BenchKit 2-4028
Executing tool itstools
Input is BridgeAndVehicles-COL-V80P20N10, examination is LTLFireability
Time confinement is 3600 seconds
Memory confinement is 16384 MBytes
Number of cores is 4
Run identifier is r026-tajo-162038143400117
=====================================================================

--------------------
preparation of the directory to be used:
/home/mcc/execution
total 472K
-rw-r--r-- 1 mcc users 17K May 5 16:58 CTLCardinality.txt
-rw-r--r-- 1 mcc users 126K May 5 16:58 CTLCardinality.xml
-rw-r--r-- 1 mcc users 18K May 5 16:58 CTLFireability.txt
-rw-r--r-- 1 mcc users 113K May 5 16:58 CTLFireability.xml
-rw-r--r-- 1 mcc users 4.2K May 6 14:48 GenericPropertiesDefinition.xml
-rw-r--r-- 1 mcc users 6.4K May 6 14:48 GenericPropertiesVerdict.xml
-rw-r--r-- 1 mcc users 3.9K Mar 28 15:47 LTLCardinality.txt
-rw-r--r-- 1 mcc users 24K Mar 28 15:47 LTLCardinality.xml
-rw-r--r-- 1 mcc users 2.7K Mar 28 15:47 LTLFireability.txt
-rw-r--r-- 1 mcc users 17K Mar 28 15:47 LTLFireability.xml
-rw-r--r-- 1 mcc users 4.3K Mar 23 02:54 ReachabilityCardinality.txt
-rw-r--r-- 1 mcc users 19K Mar 23 02:54 ReachabilityCardinality.xml
-rw-r--r-- 1 mcc users 3.7K Mar 22 11:35 ReachabilityFireability.txt
-rw-r--r-- 1 mcc users 19K Mar 22 11:35 ReachabilityFireability.xml
-rw-r--r-- 1 mcc users 1.9K Mar 22 09:10 UpperBounds.txt
-rw-r--r-- 1 mcc users 4.0K Mar 22 09:10 UpperBounds.xml
-rw-r--r-- 1 mcc users 5 May 5 16:51 equiv_pt
-rw-r--r-- 1 mcc users 10 May 5 16:51 instance
-rw-r--r-- 1 mcc users 5 May 5 16:51 iscolored
-rw-r--r-- 1 mcc users 45K May 5 16:51 model.pnml

--------------------
content from stdout:

=== Data for post analysis generated by BenchKit (invocation template)

The expected result is a vector of booleans
BOOL_VECTOR

here is the order used to build the result vector(from text file)
FORMULA_NAME BridgeAndVehicles-COL-V80P20N10-00
FORMULA_NAME BridgeAndVehicles-COL-V80P20N10-01
FORMULA_NAME BridgeAndVehicles-COL-V80P20N10-02
FORMULA_NAME BridgeAndVehicles-COL-V80P20N10-03
FORMULA_NAME BridgeAndVehicles-COL-V80P20N10-04
FORMULA_NAME BridgeAndVehicles-COL-V80P20N10-05
FORMULA_NAME BridgeAndVehicles-COL-V80P20N10-06
FORMULA_NAME BridgeAndVehicles-COL-V80P20N10-07
FORMULA_NAME BridgeAndVehicles-COL-V80P20N10-08
FORMULA_NAME BridgeAndVehicles-COL-V80P20N10-09
FORMULA_NAME BridgeAndVehicles-COL-V80P20N10-10
FORMULA_NAME BridgeAndVehicles-COL-V80P20N10-11
FORMULA_NAME BridgeAndVehicles-COL-V80P20N10-12
FORMULA_NAME BridgeAndVehicles-COL-V80P20N10-13
FORMULA_NAME BridgeAndVehicles-COL-V80P20N10-14
FORMULA_NAME BridgeAndVehicles-COL-V80P20N10-15

=== Now, execution of the tool begins

BK_START 1620519677318

Running Version 0
[2021-05-09 00:21:18] [INFO ] Running its-tools with arguments : [-pnfolder, /home/mcc/execution, -examination, LTLFireability, -spotpath, /home/mcc/BenchKit/bin//..//ltlfilt, -z3path, /home/mcc/BenchKit/bin//..//z3/bin/z3, -yices2path, /home/mcc/BenchKit/bin//..//yices/bin/yices, -its, -ltsmin, -greatspnpath, /home/mcc/BenchKit/bin//..//greatspn/, -order, META, -manyOrder, -smt, -timeout, 3600]
[2021-05-09 00:21:18] [INFO ] Parsing pnml file : /home/mcc/execution/model.pnml
[2021-05-09 00:21:18] [INFO ] Detected file is not PT type :http://www.pnml.org/version-2009/grammar/symmetricnet
log4j:WARN No appenders could be found for logger (org.apache.axiom.locator.DefaultOMMetaFactoryLocator).
log4j:WARN Please initialize the log4j system properly.
[2021-05-09 00:21:19] [WARNING] Using fallBack plugin, rng conformance not checked
[2021-05-09 00:21:19] [INFO ] Load time of PNML (colored model parsed with PNMLFW) : 517 ms
[2021-05-09 00:21:19] [INFO ] Imported 15 HL places and 11 HL transitions for a total of 188 PT places and 289218.0 transition bindings in 17 ms.
Parsed 16 properties from file /home/mcc/execution/LTLFireability.xml in 7 ms.
Working with output stream class java.io.PrintStream
[2021-05-09 00:21:19] [INFO ] Built PT skeleton of HLPN with 15 places and 11 transitions in 3 ms.
[2021-05-09 00:21:19] [INFO ] Skeletonized HLPN properties in 0 ms.
Successfully produced net in file /tmp/petri1000_7830481264078346094.dot
Reduce places removed 3 places and 0 transitions.
Ensure Unique test removed 1 transitions
Reduce redundant transitions removed 1 transitions.
Finished random walk after 0 steps, including 0 resets, run visited all 0 properties in 2 ms. (steps per millisecond=0 )
[2021-05-09 00:21:19] [INFO ] Flatten gal took : 17 ms
[2021-05-09 00:21:19] [INFO ] Flatten gal took : 3 ms
[2021-05-09 00:21:19] [INFO ] Unfolded HLPN to a Petri net with 188 places and 2108 transitions in 56 ms.
[2021-05-09 00:21:19] [INFO ] Unfolded HLPN properties in 3 ms.
Successfully produced net in file /tmp/petri1001_50172306071522802.dot
[2021-05-09 00:21:19] [INFO ] Initial state test concluded for 4 properties.
FORMULA BridgeAndVehicles-COL-V80P20N10-02 TRUE TECHNIQUES TOPOLOGICAL INITIAL_STATE
FORMULA BridgeAndVehicles-COL-V80P20N10-11 TRUE TECHNIQUES TOPOLOGICAL INITIAL_STATE
Support contains 185 out of 188 places. Attempting structural reductions.
Starting structural reductions, iteration 0 : 188/188 places, 2108/2108 transitions.
Reduce places removed 2 places and 0 transitions.
Iterating post reduction 0 with 2 rules applied. Total rules applied 2 place count 186 transition count 2108
Applied a total of 2 rules in 54 ms. Remains 186 /188 variables (removed 2) and now considering 2108/2108 (removed 0) transitions.
[2021-05-09 00:21:20] [INFO ] Flow matrix only has 370 transitions (discarded 1738 similar events)
// Phase 1: matrix 370 rows 186 cols
[2021-05-09 00:21:20] [INFO ] Computed 5 place invariants in 23 ms
[2021-05-09 00:21:20] [INFO ] Dead Transitions using invariants and state equation in 856 ms returned []
[2021-05-09 00:21:20] [INFO ] Flow matrix only has 370 transitions (discarded 1738 similar events)
// Phase 1: matrix 370 rows 186 cols
[2021-05-09 00:21:20] [INFO ] Computed 5 place invariants in 4 ms
[2021-05-09 00:21:20] [INFO ] Implicit Places using invariants in 33 ms returned []
[2021-05-09 00:21:20] [INFO ] Flow matrix only has 370 transitions (discarded 1738 similar events)
// Phase 1: matrix 370 rows 186 cols
[2021-05-09 00:21:20] [INFO ] Computed 5 place invariants in 3 ms
[2021-05-09 00:21:21] [INFO ] State equation strengthened by 22 read => feed constraints.
[2021-05-09 00:21:21] [INFO ] Implicit Places using invariants and state equation in 288 ms returned [83]
Discarding 1 places :
Implicit Place search using SMT with State Equation took 329 ms to find 1 implicit places.
[2021-05-09 00:21:21] [INFO ] Flow matrix only has 370 transitions (discarded 1738 similar events)
// Phase 1: matrix 370 rows 185 cols
[2021-05-09 00:21:21] [INFO ] Computed 5 place invariants in 3 ms
[2021-05-09 00:21:22] [INFO ] Dead Transitions using invariants and state equation in 915 ms returned []
Starting structural reductions, iteration 1 : 185/188 places, 2108/2108 transitions.
Applied a total of 0 rules in 31 ms. Remains 185 /185 variables (removed 0) and now considering 2108/2108 (removed 0) transitions.
[2021-05-09 00:21:22] [INFO ] Flow matrix only has 370 transitions (discarded 1738 similar events)
// Phase 1: matrix 370 rows 185 cols
[2021-05-09 00:21:22] [INFO ] Computed 5 place invariants in 2 ms
[2021-05-09 00:21:23] [INFO ] Dead Transitions using invariants and state equation in 848 ms returned []
Finished structural reductions, in 2 iterations. Remains : 185/188 places, 2108/2108 transitions.
[2021-05-09 00:21:23] [INFO ] Flatten gal took : 310 ms
[2021-05-09 00:21:24] [INFO ] Flatten gal took : 212 ms
[2021-05-09 00:21:25] [INFO ] Input system was already deterministic with 2108 transitions.
Incomplete random walk after 100000 steps, including 142 resets, run finished after 2044 ms. (steps per millisecond=48 ) properties (out of 13) seen :12
Running SMT prover for 1 properties.
[2021-05-09 00:21:27] [INFO ] Flow matrix only has 370 transitions (discarded 1738 similar events)
// Phase 1: matrix 370 rows 185 cols
[2021-05-09 00:21:27] [INFO ] Computed 5 place invariants in 2 ms
[2021-05-09 00:21:27] [INFO ] [Real]Absence check using 5 positive place invariants in 4 ms returned unsat
Successfully simplified 1 atomic propositions for a total of 14 simplifications.
Running Spot : CommandLine [args=[/home/mcc/BenchKit/bin//..//ltl2tgba, --hoaf=tv, -f, !(X(X(p0)))], workingDir=/home/mcc/execution]
Support contains 82 out of 185 places. Attempting structural reductions.
Starting structural reductions, iteration 0 : 185/185 places, 2108/2108 transitions.
Discarding 1 places :
Symmetric choice reduction at 0 with 1 rule applications. Total rules 1 place count 184 transition count 2107
Iterating global reduction 0 with 1 rules applied. Total rules applied 2 place count 184 transition count 2107
Applied a total of 2 rules in 46 ms. Remains 184 /185 variables (removed 1) and now considering 2107/2108 (removed 1) transitions.
[2021-05-09 00:21:27] [INFO ] Flow matrix only has 369 transitions (discarded 1738 similar events)
// Phase 1: matrix 369 rows 184 cols
[2021-05-09 00:21:27] [INFO ] Computed 5 place invariants in 3 ms
[2021-05-09 00:21:28] [INFO ] Dead Transitions using invariants and state equation in 623 ms returned []
[2021-05-09 00:21:28] [INFO ] Flow matrix only has 369 transitions (discarded 1738 similar events)
// Phase 1: matrix 369 rows 184 cols
[2021-05-09 00:21:28] [INFO ] Computed 5 place invariants in 2 ms
[2021-05-09 00:21:28] [INFO ] Implicit Places using invariants in 283 ms returned []
[2021-05-09 00:21:28] [INFO ] Flow matrix only has 369 transitions (discarded 1738 similar events)
// Phase 1: matrix 369 rows 184 cols
[2021-05-09 00:21:28] [INFO ] Computed 5 place invariants in 2 ms
[2021-05-09 00:21:28] [INFO ] State equation strengthened by 22 read => feed constraints.
[2021-05-09 00:21:29] [INFO ] Implicit Places using invariants and state equation in 1120 ms returned [165]
Discarding 1 places :
Implicit Place search using SMT with State Equation took 1409 ms to find 1 implicit places.
[2021-05-09 00:21:29] [INFO ] Flow matrix only has 369 transitions (discarded 1738 similar events)
// Phase 1: matrix 369 rows 183 cols
[2021-05-09 00:21:29] [INFO ] Computed 5 place invariants in 6 ms
[2021-05-09 00:21:30] [INFO ] Dead Transitions using invariants and state equation in 636 ms returned []
Starting structural reductions, iteration 1 : 183/185 places, 2107/2108 transitions.
Applied a total of 0 rules in 24 ms. Remains 183 /183 variables (removed 0) and now considering 2107/2107 (removed 0) transitions.
[2021-05-09 00:21:30] [INFO ] Flow matrix only has 369 transitions (discarded 1738 similar events)
// Phase 1: matrix 369 rows 183 cols
[2021-05-09 00:21:30] [INFO ] Computed 5 place invariants in 3 ms
[2021-05-09 00:21:31] [INFO ] Dead Transitions using invariants and state equation in 548 ms returned []
Finished structural reductions, in 2 iterations. Remains : 183/185 places, 2107/2108 transitions.
Stuttering acceptance computed with spot in 200 ms :[(NOT p0), (NOT p0), true, (NOT p0)]
Running random walk in product with property : BridgeAndVehicles-COL-V80P20N10-00 automaton TGBA [mat=[[{ cond=(NOT p0), acceptance={} source=0 dest: 2}], [{ cond=true, acceptance={} source=1 dest: 0}], [{ cond=true, acceptance={0} source=2 dest: 2}], [{ cond=true, acceptance={} source=3 dest: 1}]], initial=3, aps=[p0:(AND (OR (LT s146 1) (LT s165 1)) (OR (LT s107 1) (LT s165 1)) (OR (LT s136 1) (LT s165 1)) (OR (LT s117 1) (LT s165 1)) (OR (LT s97 1) (LT s165 1)) (OR (LT s116 1) (LT s165 1)) (OR (LT s126 1) (LT s165 1)) (OR (LT s137 1) (LT s165 1)) (OR (LT s156 1) (LT s165 1)) (OR (LT s88 1) (LT s165 1)) (OR (LT s135 1) (LT s165 1)) (OR (LT s157 1) (LT s165 1)) (OR (LT s118 1) (LT s165 1)) (OR (LT s145 1) (LT s165 1)) (OR (LT s128 1) (LT s165 1)) (OR (LT s98 1) (LT s165 1)) (OR (LT s115 1) (LT s165 1)) (OR (LT s144 1) (LT s165 1)) (OR (LT s127 1) (LT s165 1)) (OR (LT s106 1) (LT s165 1)) (OR (LT s89 1) (LT s165 1)) (OR (LT s85 1) (LT s165 1)) (OR (LT s129 1) (LT s165 1)) (OR (LT s119 1) (LT s165 1)) (OR (LT s163 1) (LT s165 1)) (OR (LT s95 1) (LT s165 1)) (OR (LT s153 1) (LT s165 1)) (OR (LT s148 1) (LT s165 1)) (OR (LT s114 1) (LT s165 1)) (OR (LT s94 1) (LT s165 1)) (OR (LT s154 1) (LT s165 1)) (OR (LT s139 1) (LT s165 1)) (OR (LT s120 1) (LT s165 1)) (OR (LT s86 1) (LT s165 1)) (OR (LT s105 1) (LT s165 1)) (OR (LT s113 1) (LT s165 1)) (OR (LT s164 1) (LT s165 1)) (OR (LT s147 1) (LT s165 1)) (OR (LT s130 1) (LT s165 1)) (OR (LT s96 1) (LT s165 1)) (OR (LT s121 1) (LT s165 1)) (OR (LT s138 1) (LT s165 1)) (OR (LT s155 1) (LT s165 1)) (OR (LT s104 1) (LT s165 1)) (OR (LT s87 1) (LT s165 1)) (OR (LT s102 1) (LT s165 1)) (OR (LT s151 1) (LT s165 1)) (OR (LT s92 1) (LT s165 1)) (OR (LT s131 1) (LT s165 1)) (OR (LT s112 1) (LT s165 1)) (OR (LT s160 1) (LT s165 1)) (OR (LT s111 1) (LT s165 1)) (OR (LT s103 1) (LT s165 1)) (OR (LT s122 1) (LT s165 1)) (OR (LT s141 1) (LT s165 1)) (OR (LT s152 1) (LT s165 1)) (OR (LT s101 1) (LT s165 1)) (OR (LT s162 1) (LT s165 1)) (OR (LT s132 1) (LT s165 1)) (OR (LT s93 1) (LT s165 1)) (OR (LT s110 1) (LT s165 1)) (OR (LT s161 1) (LT s165 1)) (OR (LT s123 1) (LT s165 1)) (OR (LT s140 1) (LT s165 1)) (OR (LT s124 1) (LT s165 1)) (OR (LT s134 1) (LT s165 1)) (OR (LT s149 1) (LT s165 1)) (OR (LT s99 1) (LT s165 1)) (OR (LT s143 1) (LT s165 1)) (OR (LT s109 1) (LT s165 1)) (OR (LT s84 1) (LT s165 1)) (OR (LT s90 1) (LT s165 1)) (OR (LT s158 1) (LT s165 1)) (OR (LT s91 1) (LT s165 1)) (OR (LT s108 1) (LT s165 1)) (OR (LT s150 1) (LT s165 1)) (OR (LT s133 1) (LT s165 1)) (OR (LT s100 1) (LT s165 1)) (OR (LT s142 1) (LT s165 1)) (OR (LT s125 1) (LT s165 1)) (OR (LT s159 1) (LT s165 1)))], nbAcceptance=1, properties=[trans-labels, explicit-labels, trans-acc, deterministic, no-univ-branch, unambiguous, semi-deterministic, terminal, very-weak, weak, inherently-weak], stateDesc=[null, null, null, null][false, false, false, false]]
Entered a terminal (fully accepting) state of product in 2 steps with 0 reset in 2 ms.
FORMULA BridgeAndVehicles-COL-V80P20N10-00 FALSE TECHNIQUES STUTTER_TEST
Treatment of property BridgeAndVehicles-COL-V80P20N10-00 finished in 3559 ms.
Running Spot : CommandLine [args=[/home/mcc/BenchKit/bin//..//ltl2tgba, --hoaf=tv, -f, !(X((((p0&&X(G(p1))) U !p2) U (p0&&((p0&&X(G(p1))) U !p2)))))], workingDir=/home/mcc/execution]
Support contains 88 out of 185 places. Attempting structural reductions.
Starting structural reductions, iteration 0 : 185/185 places, 2108/2108 transitions.
Discarding 1 places :
Symmetric choice reduction at 0 with 1 rule applications. Total rules 1 place count 184 transition count 2107
Iterating global reduction 0 with 1 rules applied. Total rules applied 2 place count 184 transition count 2107
Applied a total of 2 rules in 27 ms. Remains 184 /185 variables (removed 1) and now considering 2107/2108 (removed 1) transitions.
[2021-05-09 00:21:31] [INFO ] Flow matrix only has 369 transitions (discarded 1738 similar events)
// Phase 1: matrix 369 rows 184 cols
[2021-05-09 00:21:31] [INFO ] Computed 5 place invariants in 2 ms
[2021-05-09 00:21:31] [INFO ] Dead Transitions using invariants and state equation in 705 ms returned []
[2021-05-09 00:21:31] [INFO ] Flow matrix only has 369 transitions (discarded 1738 similar events)
// Phase 1: matrix 369 rows 184 cols
[2021-05-09 00:21:31] [INFO ] Computed 5 place invariants in 2 ms
[2021-05-09 00:21:32] [INFO ] Implicit Places using invariants in 272 ms returned []
[2021-05-09 00:21:32] [INFO ] Flow matrix only has 369 transitions (discarded 1738 similar events)
// Phase 1: matrix 369 rows 184 cols
[2021-05-09 00:21:32] [INFO ] Computed 5 place invariants in 3 ms
[2021-05-09 00:21:32] [INFO ] State equation strengthened by 22 read => feed constraints.
[2021-05-09 00:21:33] [INFO ] Implicit Places using invariants and state equation in 1009 ms returned [165]
Discarding 1 places :
Implicit Place search using SMT with State Equation took 1294 ms to find 1 implicit places.
[2021-05-09 00:21:33] [INFO ] Flow matrix only has 369 transitions (discarded 1738 similar events)
// Phase 1: matrix 369 rows 183 cols
[2021-05-09 00:21:33] [INFO ] Computed 5 place invariants in 2 ms
[2021-05-09 00:21:33] [INFO ] Dead Transitions using invariants and state equation in 687 ms returned []
Starting structural reductions, iteration 1 : 183/185 places, 2107/2108 transitions.
Applied a total of 0 rules in 22 ms. Remains 183 /183 variables (removed 0) and now considering 2107/2107 (removed 0) transitions.
[2021-05-09 00:21:33] [INFO ] Flow matrix only has 369 transitions (discarded 1738 similar events)
// Phase 1: matrix 369 rows 183 cols
[2021-05-09 00:21:33] [INFO ] Computed 5 place invariants in 1 ms
[2021-05-09 00:21:34] [INFO ] Dead Transitions using invariants and state equation in 599 ms returned []
Finished structural reductions, in 2 iterations. Remains : 183/185 places, 2107/2108 transitions.
Stuttering acceptance computed with spot in 166 ms :[(NOT p1), (OR (NOT p0) p2), (OR (NOT p1) p2), (OR (NOT p0) p2), true]
Running random walk in product with property : BridgeAndVehicles-COL-V80P20N10-01 automaton TGBA [mat=[[{ cond=p1, acceptance={} source=0 dest: 0}, { cond=(NOT p1), acceptance={} source=0 dest: 4}], [{ cond=(AND (NOT p0) (NOT p2)), acceptance={0} source=1 dest: 1}, { cond=(AND p0 p2), acceptance={0} source=1 dest: 2}, { cond=(AND (NOT p0) p2), acceptance={0} source=1 dest: 4}], [{ cond=(AND (NOT p2) p1), acceptance={0} source=2 dest: 0}, { cond=(AND p0 p2 p1), acceptance={0} source=2 dest: 2}, { cond=(OR (AND (NOT p0) p2) (NOT p1)), acceptance={0} source=2 dest: 4}], [{ cond=true, acceptance={} source=3 dest: 1}], [{ cond=true, acceptance={0} source=4 dest: 4}]], initial=3, aps=[p1:(OR (AND (GEQ s0 20) (GEQ s181 1)) (AND (GEQ s0 20) (GEQ s182 1))), p0:(OR (AND (GEQ s168 1) (GEQ s180 1)) (AND (GEQ s169 1) (GEQ s180 1))), p2:(AND (OR (LT s146 1) (LT s165 1)) (OR (LT s107 1) (LT s165 1)) (OR (LT s136 1) (LT s165 1)) (OR (LT s117 1) (LT s165 1)) (OR (LT s97 1) (LT s165 1)) (OR (LT s116 1) (LT s165 1)) (OR (LT s126 1) (LT s165 1)) (OR (LT s137 1) (LT s165 1)) (OR (LT s156 1) (LT s165 1)) (OR (LT s88 1) (LT s165 1)) (OR (LT s135 1) (LT s165 1)) (OR (LT s157 1) (LT s165 1)) (OR (LT s118 1) (LT s165 1)) (OR (LT s145 1) (LT s165 1)) (OR (LT s128 1) (LT s165 1)) (OR (LT s98 1) (LT s165 1)) (OR (LT s115 1) (LT s165 1)) (OR (LT s144 1) (LT s165 1)) (OR (LT s127 1) (LT s165 1)) (OR (LT s106 1) (LT s165 1)) (OR (LT s89 1) (LT s165 1)) (OR (LT s85 1) (LT s165 1)) (OR (LT s129 1) (LT s165 1)) (OR (LT s119 1) (LT s165 1)) (OR (LT s163 1) (LT s165 1)) (OR (LT s95 1) (LT s165 1)) (OR (LT s153 1) (LT s165 1)) (OR (LT s148 1) (LT s165 1)) (OR (LT s114 1) (LT s165 1)) (OR (LT s94 1) (LT s165 1)) (OR (LT s154 1) (LT s165 1)) (OR (LT s139 1) (LT s165 1)) (OR (LT s120 1) (LT s165 1)) (OR (LT s86 1) (LT s165 1)) (OR (LT s105 1) (LT s165 1)) (OR (LT s113 1) (LT s165 1)) (OR (LT s164 1) (LT s165 1)) (OR (LT s147 1) (LT s165 1)) (OR (LT s130 1) (LT s165 1)) (OR (LT s96 1) (LT s165 1)) (OR (LT s121 1) (LT s165 1)) (OR (LT s138 1) (LT s165 1)) (OR (LT s155 1) (LT s165 1)) (OR (LT s104 1) (LT s165 1)) (OR (LT s87 1) (LT s165 1)) (OR (LT s102 1) (LT s165 1)) (OR (LT s151 1) (LT s165 1)) (OR (LT s92 1) (LT s165 1)) (OR (LT s131 1) (LT s165 1)) (OR (LT s112 1) (LT s165 1)) (OR (LT s160 1) (LT s165 1)) (OR (LT s111 1) (LT s165 1)) (OR (LT s103 1) (LT s165 1)) (OR (LT s122 1) (LT s165 1)) (OR (LT s141 1) (LT s165 1)) (OR (LT s152 1) (LT s165 1)) (OR (LT s101 1) (LT s165 1)) (OR (LT s162 1) (LT s165 1)) (OR (LT s132 1) (LT s165 1)) (OR (LT s93 1) (LT s165 1)) (OR (LT s110 1) (LT s165 1)) (OR (LT s161 1) (LT s165 1)) (OR (LT s123 1) (LT s165 1)) (OR (LT s140 1) (LT s165 1)) (OR (LT s124 1) (LT s165 1)) (OR (LT s134 1) (LT s165 1)) (OR (LT s149 1) (LT s165 1)) (OR (LT s99 1) (LT s165 1)) (OR (LT s143 1) (LT s165 1)) (OR (LT s109 1) (LT s165 1)) (OR (LT s84 1) (LT s165 1)) (OR (LT s90 1) (LT s165 1)) (OR (LT s158 1) (LT s165 1)) (OR (LT s91 1) (LT s165 1)) (OR (LT s108 1) (LT s165 1)) (OR (LT s150 1) (LT s165 1)) (OR (LT s133 1) (LT s165 1)) (OR (LT s100 1) (LT s165 1)) (OR (LT s142 1) (LT s165 1)) (OR (LT s125 1) (LT s165 1)) (OR (LT s159 1) (LT s165 1)))], nbAcceptance=1, properties=[trans-labels, explicit-labels, trans-acc, deterministic, no-univ-branch, unambiguous, semi-deterministic, very-weak, weak, inherently-weak], stateDesc=[null, null, null, null, null][false, false, false, false, false]]
Product exploration explored 100000 steps with 1333 reset in 881 ms.
Product exploration explored 100000 steps with 1332 reset in 762 ms.
Knowledge obtained : [(NOT p1), (NOT p0), (NOT p2)]
Stuttering acceptance computed with spot in 144 ms :[(NOT p1), (OR (NOT p0) p2), (OR (NOT p1) p2), (OR (NOT p0) p2), true]
Product exploration explored 100000 steps with 1329 reset in 694 ms.
Product exploration explored 100000 steps with 1333 reset in 702 ms.
Applying partial POR strategy [true, false, true, false, true]
Stuttering acceptance computed with spot in 148 ms :[(NOT p1), (OR (NOT p0) p2), (OR (NOT p1) p2), (OR (NOT p0) p2), true]
Support contains 88 out of 183 places. Attempting structural reductions.
Property had overlarge support with respect to TGBA, discarding it for now.
Starting structural reductions, iteration 0 : 183/183 places, 2107/2107 transitions.
Applied a total of 0 rules in 60 ms. Remains 183 /183 variables (removed 0) and now considering 2107/2107 (removed 0) transitions.
[2021-05-09 00:21:38] [INFO ] Flow matrix only has 369 transitions (discarded 1738 similar events)
// Phase 1: matrix 369 rows 183 cols
[2021-05-09 00:21:38] [INFO ] Computed 5 place invariants in 3 ms
[2021-05-09 00:21:39] [INFO ] Dead Transitions using invariants and state equation in 730 ms returned []
[2021-05-09 00:21:39] [INFO ] Redundant transitions in 143 ms returned []
[2021-05-09 00:21:39] [INFO ] Flow matrix only has 369 transitions (discarded 1738 similar events)
// Phase 1: matrix 369 rows 183 cols
[2021-05-09 00:21:39] [INFO ] Computed 5 place invariants in 6 ms
[2021-05-09 00:21:40] [INFO ] Dead Transitions using invariants and state equation in 730 ms returned []
Finished structural reductions, in 1 iterations. Remains : 183/183 places, 2107/2107 transitions.
Product exploration explored 100000 steps with 1335 reset in 846 ms.
Product exploration explored 100000 steps with 1330 reset in 821 ms.
[2021-05-09 00:21:42] [INFO ] Flatten gal took : 85 ms
[2021-05-09 00:21:42] [INFO ] Flatten gal took : 89 ms
[2021-05-09 00:21:42] [INFO ] Time to serialize gal into /tmp/LTL2016608824904826073.gal : 26 ms
[2021-05-09 00:21:42] [INFO ] Time to serialize properties into /tmp/LTL188765077994653111.ltl : 2 ms
Invoking ITS tools like this :CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64, --gc-threshold, 2000000, -i, /tmp/LTL2016608824904826073.gal, -t, CGAL, -LTL, /tmp/LTL188765077994653111.ltl, -c, -stutter-deadlock, --gen-order, FOLLOW], workingDir=/home/mcc/execution]

its-ltl command run as :

/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64 --gc-threshold 2000000 -i /tmp/LTL2016608824904826073.gal -t CGAL -LTL /tmp/LTL188765077994653111.ltl -c -stutter-deadlock --gen-order FOLLOW
Read 1 LTL properties
Checking formula 0 : !((X((((X(G("(((CAPACITE_0>=20)&&(VIDANGE_0>=1))||((CAPACITE_0>=20)&&(VIDANGE_1>=1)))")))&&("(((CHOIX_0>=1)&&(COMPTEUR_10>=1))||((CHOIX_1>=1)&&(COMPTEUR_10>=1)))"))U("((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((NB_ATTENTE_B_62>=1)&&(ROUTE_B_0>=1))||((NB_ATTENTE_B_23>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_52>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_33>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_13>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_32>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_42>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_53>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_72>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_4>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_51>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_73>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_34>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_61>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_44>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_14>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_31>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_60>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_43>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_22>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_5>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_1>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_45>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_35>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_79>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_11>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_69>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_64>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_30>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_10>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_70>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_55>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_36>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_2>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_21>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_29>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_80>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_63>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_46>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_12>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_37>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_54>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_71>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_20>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_3>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_18>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_67>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_8>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_47>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_28>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_76>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_27>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_19>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_38>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_57>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_68>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_17>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_78>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_48>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_9>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_26>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_77>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_39>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_56>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_40>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_50>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_65>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_15>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_59>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_25>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_0>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_6>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_74>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_7>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_24>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_66>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_49>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_16>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_58>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_41>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_75>=1)&&(ROUTE_B_0>=1)))"))U(((("(((CHOIX_0>=1)&&(COMPTEUR_10>=1))||((CHOIX_1>=1)&&(COMPTEUR_10>=1)))")&&(X(G("(((CAPACITE_0>=20)&&(VIDANGE_0>=1))||((CAPACITE_0>=20)&&(VIDANGE_1>=1)))"))))U("((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((NB_ATTENTE_B_62>=1)&&(ROUTE_B_0>=1))||((NB_ATTENTE_B_23>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_52>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_33>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_13>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_32>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_42>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_53>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_72>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_4>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_51>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_73>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_34>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_61>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_44>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_14>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_31>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_60>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_43>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_22>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_5>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_1>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_45>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_35>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_79>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_11>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_69>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_64>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_30>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_10>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_70>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_55>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_36>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_2>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_21>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_29>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_80>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_63>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_46>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_12>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_37>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_54>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_71>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_20>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_3>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_18>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_67>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_8>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_47>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_28>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_76>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_27>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_19>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_38>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_57>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_68>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_17>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_78>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_48>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_9>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_26>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_77>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_39>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_56>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_40>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_50>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_65>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_15>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_59>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_25>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_0>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_6>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_74>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_7>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_24>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_66>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_49>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_16>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_58>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_41>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_75>=1)&&(ROUTE_B_0>=1)))"))&&("(((CHOIX_0>=1)&&(COMPTEUR_10>=1))||((CHOIX_1>=1)&&(COMPTEUR_10>=1)))")))))
Formula 0 simplified : !X((("(((CHOIX_0>=1)&&(COMPTEUR_10>=1))||((CHOIX_1>=1)&&(COMPTEUR_10>=1)))" & XG"(((CAPACITE_0>=20)&&(VIDANGE_0>=1))||((CAPACITE_0>=20)&&(VIDANGE_1>=1)))") U "((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((NB_ATTENTE_B_62>=1)&&(ROUTE_B_0>=1))||((NB_ATTENTE_B_23>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_52>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_33>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_13>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_32>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_42>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_53>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_72>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_4>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_51>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_73>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_34>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_61>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_44>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_14>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_31>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_60>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_43>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_22>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_5>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_1>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_45>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_35>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_79>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_11>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_69>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_64>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_30>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_10>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_70>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_55>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_36>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_2>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_21>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_29>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_80>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_63>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_46>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_12>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_37>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_54>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_71>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_20>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_3>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_18>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_67>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_8>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_47>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_28>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_76>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_27>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_19>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_38>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_57>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_68>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_17>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_78>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_48>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_9>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_26>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_77>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_39>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_56>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_40>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_50>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_65>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_15>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_59>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_25>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_0>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_6>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_74>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_7>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_24>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_66>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_49>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_16>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_58>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_41>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_75>=1)&&(ROUTE_B_0>=1)))") U ("(((CHOIX_0>=1)&&(COMPTEUR_10>=1))||((CHOIX_1>=1)&&(COMPTEUR_10>=1)))" & (("(((CHOIX_0>=1)&&(COMPTEUR_10>=1))||((CHOIX_1>=1)&&(COMPTEUR_10>=1)))" & XG"(((CAPACITE_0>=20)&&(VIDANGE_0>=1))||((CAPACITE_0>=20)&&(VIDANGE_1>=1)))") U "((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((NB_ATTENTE_B_62>=1)&&(ROUTE_B_0>=1))||((NB_ATTENTE_B_23>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_52>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_33>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_13>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_32>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_42>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_53>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_72>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_4>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_51>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_73>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_34>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_61>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_44>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_14>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_31>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_60>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_43>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_22>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_5>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_1>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_45>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_35>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_79>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_11>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_69>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_64>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_30>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_10>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_70>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_55>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_36>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_2>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_21>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_29>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_80>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_63>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_46>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_12>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_37>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_54>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_71>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_20>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_3>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_18>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_67>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_8>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_47>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_28>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_76>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_27>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_19>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_38>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_57>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_68>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_17>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_78>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_48>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_9>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_26>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_77>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_39>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_56>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_40>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_50>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_65>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_15>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_59>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_25>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_0>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_6>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_74>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_7>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_24>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_66>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_49>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_16>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_58>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_41>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_75>=1)&&(ROUTE_B_0>=1)))")))
Detected timeout of ITS tools.
[2021-05-09 00:21:57] [INFO ] Flatten gal took : 78 ms
[2021-05-09 00:21:57] [INFO ] Applying decomposition
[2021-05-09 00:21:57] [INFO ] Flatten gal took : 131 ms
Converted graph to binary with : CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.louvain.binaries_1.0.0.202104292328/bin/convert-linux64, -i, /tmp/graph16176579982092866160.txt, -o, /tmp/graph16176579982092866160.bin, -w, /tmp/graph16176579982092866160.weights], workingDir=null]
Built communities with : CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.louvain.binaries_1.0.0.202104292328/bin/louvain-linux64, /tmp/graph16176579982092866160.bin, -l, -1, -v, -w, /tmp/graph16176579982092866160.weights, -q, 0, -e, 0.001], workingDir=null]
[2021-05-09 00:21:57] [INFO ] Decomposing Gal with order
[2021-05-09 00:21:57] [INFO ] Rewriting arrays to variables to allow decomposition.
[2021-05-09 00:21:58] [INFO ] Removed a total of 4248 redundant transitions.
[2021-05-09 00:21:58] [INFO ] Flatten gal took : 845 ms
[2021-05-09 00:21:59] [INFO ] Fuse similar labels procedure discarded/fused a total of 533 labels/synchronizations in 44 ms.
[2021-05-09 00:21:59] [INFO ] Time to serialize gal into /tmp/LTL4250467635968081420.gal : 4 ms
[2021-05-09 00:21:59] [INFO ] Time to serialize properties into /tmp/LTL7714996150492652679.ltl : 2 ms
Invoking ITS tools like this :CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64, --gc-threshold, 2000000, -i, /tmp/LTL4250467635968081420.gal, -t, CGAL, -LTL, /tmp/LTL7714996150492652679.ltl, -c, -stutter-deadlock], workingDir=/home/mcc/execution]

its-ltl command run as :

/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64 --gc-threshold 2000000 -i /tmp/LTL4250467635968081420.gal -t CGAL -LTL /tmp/LTL7714996150492652679.ltl -c -stutter-deadlock
Read 1 LTL properties
Checking formula 0 : !((X((((X(G("(((i0.u0.CAPACITE_0>=20)&&(i1.u1.VIDANGE_0>=1))||((i0.u0.CAPACITE_0>=20)&&(u4.VIDANGE_1>=1)))")))&&("(((i0.u0.CHOIX_0>=1)&&(i1.u2.COMPTEUR_10>=1))||((i0.u0.CHOIX_1>=1)&&(i1.u2.COMPTEUR_10>=1)))"))U("((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((u4.NB_ATTENTE_B_62>=1)&&(u4.ROUTE_B_0>=1))||((u4.NB_ATTENTE_B_23>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_52>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_33>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_13>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_32>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_42>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_53>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_72>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_4>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_51>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_73>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_34>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_61>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_44>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_14>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_31>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_60>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_43>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_22>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_5>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_1>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_45>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_35>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_79>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_11>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_69>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_64>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_30>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_10>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_70>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_55>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_36>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_2>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_21>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_29>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_80>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_63>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_46>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_12>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_37>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_54>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_71>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_20>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_3>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_18>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_67>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_8>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_47>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_28>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_76>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_27>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_19>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_38>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_57>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_68>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_17>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_78>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_48>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_9>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_26>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_77>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_39>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_56>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_40>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_50>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_65>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_15>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_59>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_25>=1)&&(u4.ROUTE_B_0>=1)))||((i1.u1.NB_ATTENTE_B_0>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_6>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_74>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_7>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_24>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_66>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_49>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_16>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_58>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_41>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_75>=1)&&(u4.ROUTE_B_0>=1)))"))U(((("(((i0.u0.CHOIX_0>=1)&&(i1.u2.COMPTEUR_10>=1))||((i0.u0.CHOIX_1>=1)&&(i1.u2.COMPTEUR_10>=1)))")&&(X(G("(((i0.u0.CAPACITE_0>=20)&&(i1.u1.VIDANGE_0>=1))||((i0.u0.CAPACITE_0>=20)&&(u4.VIDANGE_1>=1)))"))))U("((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((u4.NB_ATTENTE_B_62>=1)&&(u4.ROUTE_B_0>=1))||((u4.NB_ATTENTE_B_23>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_52>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_33>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_13>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_32>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_42>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_53>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_72>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_4>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_51>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_73>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_34>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_61>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_44>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_14>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_31>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_60>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_43>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_22>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_5>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_1>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_45>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_35>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_79>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_11>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_69>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_64>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_30>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_10>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_70>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_55>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_36>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_2>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_21>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_29>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_80>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_63>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_46>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_12>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_37>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_54>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_71>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_20>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_3>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_18>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_67>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_8>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_47>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_28>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_76>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_27>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_19>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_38>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_57>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_68>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_17>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_78>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_48>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_9>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_26>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_77>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_39>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_56>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_40>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_50>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_65>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_15>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_59>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_25>=1)&&(u4.ROUTE_B_0>=1)))||((i1.u1.NB_ATTENTE_B_0>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_6>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_74>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_7>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_24>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_66>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_49>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_16>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_58>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_41>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_75>=1)&&(u4.ROUTE_B_0>=1)))"))&&("(((i0.u0.CHOIX_0>=1)&&(i1.u2.COMPTEUR_10>=1))||((i0.u0.CHOIX_1>=1)&&(i1.u2.COMPTEUR_10>=1)))")))))
Formula 0 simplified : !X((("(((i0.u0.CHOIX_0>=1)&&(i1.u2.COMPTEUR_10>=1))||((i0.u0.CHOIX_1>=1)&&(i1.u2.COMPTEUR_10>=1)))" & XG"(((i0.u0.CAPACITE_0>=20)&&(i1.u1.VIDANGE_0>=1))||((i0.u0.CAPACITE_0>=20)&&(u4.VIDANGE_1>=1)))") U "((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((u4.NB_ATTENTE_B_62>=1)&&(u4.ROUTE_B_0>=1))||((u4.NB_ATTENTE_B_23>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_52>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_33>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_13>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_32>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_42>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_53>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_72>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_4>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_51>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_73>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_34>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_61>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_44>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_14>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_31>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_60>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_43>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_22>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_5>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_1>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_45>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_35>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_79>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_11>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_69>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_64>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_30>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_10>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_70>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_55>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_36>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_2>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_21>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_29>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_80>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_63>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_46>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_12>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_37>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_54>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_71>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_20>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_3>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_18>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_67>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_8>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_47>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_28>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_76>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_27>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_19>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_38>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_57>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_68>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_17>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_78>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_48>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_9>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_26>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_77>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_39>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_56>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_40>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_50>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_65>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_15>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_59>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_25>=1)&&(u4.ROUTE_B_0>=1)))||((i1.u1.NB_ATTENTE_B_0>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_6>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_74>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_7>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_24>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_66>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_49>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_16>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_58>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_41>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_75>=1)&&(u4.ROUTE_B_0>=1)))") U ("(((i0.u0.CHOIX_0>=1)&&(i1.u2.COMPTEUR_10>=1))||((i0.u0.CHOIX_1>=1)&&(i1.u2.COMPTEUR_10>=1)))" & (("(((i0.u0.CHOIX_0>=1)&&(i1.u2.COMPTEUR_10>=1))||((i0.u0.CHOIX_1>=1)&&(i1.u2.COMPTEUR_10>=1)))" & XG"(((i0.u0.CAPACITE_0>=20)&&(i1.u1.VIDANGE_0>=1))||((i0.u0.CAPACITE_0>=20)&&(u4.VIDANGE_1>=1)))") U "((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((u4.NB_ATTENTE_B_62>=1)&&(u4.ROUTE_B_0>=1))||((u4.NB_ATTENTE_B_23>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_52>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_33>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_13>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_32>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_42>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_53>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_72>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_4>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_51>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_73>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_34>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_61>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_44>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_14>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_31>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_60>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_43>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_22>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_5>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_1>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_45>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_35>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_79>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_11>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_69>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_64>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_30>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_10>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_70>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_55>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_36>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_2>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_21>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_29>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_80>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_63>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_46>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_12>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_37>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_54>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_71>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_20>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_3>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_18>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_67>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_8>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_47>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_28>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_76>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_27>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_19>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_38>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_57>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_68>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_17>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_78>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_48>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_9>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_26>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_77>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_39>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_56>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_40>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_50>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_65>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_15>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_59>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_25>=1)&&(u4.ROUTE_B_0>=1)))||((i1.u1.NB_ATTENTE_B_0>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_6>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_74>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_7>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_24>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_66>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_49>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_16>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_58>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_41>=1)&&(u4.ROUTE_B_0>=1)))||((u4.NB_ATTENTE_B_75>=1)&&(u4.ROUTE_B_0>=1)))")))
Detected timeout of ITS tools.
Built C files in :
/tmp/ltsmin16492661735137083283
[2021-05-09 00:22:14] [INFO ] Built C files in 72ms conformant to PINS (ltsmin variant)in folder :/tmp/ltsmin16492661735137083283
Running compilation step : CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/include/, -I., -std=c99, -fPIC, -O2, model.c], workingDir=/tmp/ltsmin16492661735137083283]
WARNING : LTS min runner thread failed on error :java.lang.RuntimeException: Compilation or link of executable timed out.java.util.concurrent.TimeoutException: Subprocess running CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/include/, -I., -std=c99, -fPIC, -O2, model.c], workingDir=/tmp/ltsmin16492661735137083283] killed by timeout after 1 SECONDS
java.lang.RuntimeException: Compilation or link of executable timed out.java.util.concurrent.TimeoutException: Subprocess running CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/include/, -I., -std=c99, -fPIC, -O2, model.c], workingDir=/tmp/ltsmin16492661735137083283] killed by timeout after 1 SECONDS
at fr.lip6.move.gal.application.LTSminRunner$1.run(LTSminRunner.java:79)
at java.base/java.lang.Thread.run(Thread.java:834)
Treatment of property BridgeAndVehicles-COL-V80P20N10-01 finished in 43936 ms.
Running Spot : CommandLine [args=[/home/mcc/BenchKit/bin//..//ltl2tgba, --hoaf=tv, -f, !(X(F((X((p1&&X(!p2)))||p0))))], workingDir=/home/mcc/execution]
Support contains 98 out of 185 places. Attempting structural reductions.
Starting structural reductions, iteration 0 : 185/185 places, 2108/2108 transitions.
Applied a total of 0 rules in 10 ms. Remains 185 /185 variables (removed 0) and now considering 2108/2108 (removed 0) transitions.
[2021-05-09 00:22:15] [INFO ] Flow matrix only has 370 transitions (discarded 1738 similar events)
// Phase 1: matrix 370 rows 185 cols
[2021-05-09 00:22:15] [INFO ] Computed 5 place invariants in 2 ms
[2021-05-09 00:22:16] [INFO ] Dead Transitions using invariants and state equation in 903 ms returned []
[2021-05-09 00:22:16] [INFO ] Flow matrix only has 370 transitions (discarded 1738 similar events)
// Phase 1: matrix 370 rows 185 cols
[2021-05-09 00:22:16] [INFO ] Computed 5 place invariants in 2 ms
[2021-05-09 00:22:16] [INFO ] Implicit Places using invariants in 109 ms returned []
[2021-05-09 00:22:16] [INFO ] Flow matrix only has 370 transitions (discarded 1738 similar events)
// Phase 1: matrix 370 rows 185 cols
[2021-05-09 00:22:16] [INFO ] Computed 5 place invariants in 1 ms
[2021-05-09 00:22:16] [INFO ] State equation strengthened by 22 read => feed constraints.
[2021-05-09 00:22:16] [INFO ] Implicit Places using invariants and state equation in 569 ms returned [166]
Discarding 1 places :
Implicit Place search using SMT with State Equation took 695 ms to find 1 implicit places.
[2021-05-09 00:22:16] [INFO ] Flow matrix only has 370 transitions (discarded 1738 similar events)
// Phase 1: matrix 370 rows 184 cols
[2021-05-09 00:22:16] [INFO ] Computed 5 place invariants in 2 ms
[2021-05-09 00:22:17] [INFO ] Dead Transitions using invariants and state equation in 717 ms returned []
Starting structural reductions, iteration 1 : 184/185 places, 2108/2108 transitions.
Applied a total of 0 rules in 15 ms. Remains 184 /184 variables (removed 0) and now considering 2108/2108 (removed 0) transitions.
[2021-05-09 00:22:17] [INFO ] Flow matrix only has 370 transitions (discarded 1738 similar events)
// Phase 1: matrix 370 rows 184 cols
[2021-05-09 00:22:17] [INFO ] Computed 5 place invariants in 1 ms
[2021-05-09 00:22:18] [INFO ] Dead Transitions using invariants and state equation in 607 ms returned []
Finished structural reductions, in 2 iterations. Remains : 184/185 places, 2108/2108 transitions.
Stuttering acceptance computed with spot in 133 ms :[(OR (AND (NOT p0) (NOT p1)) (AND (NOT p0) p2)), (AND (NOT p0) p2), (OR (AND (NOT p0) (NOT p1)) (AND (NOT p0) p2)), (OR (AND (NOT p0) (NOT p1)) (AND (NOT p0) p2))]
Running random walk in product with property : BridgeAndVehicles-COL-V80P20N10-03 automaton TGBA [mat=[[{ cond=true, acceptance={} source=0 dest: 2}], [{ cond=(AND (NOT p0) p1 p2), acceptance={0} source=1 dest: 1}, { cond=(AND (NOT p0) (NOT p1) p2), acceptance={0} source=1 dest: 3}], [{ cond=(NOT p0), acceptance={} source=2 dest: 3}], [{ cond=(AND (NOT p0) p1), acceptance={0} source=3 dest: 1}, { cond=(AND (NOT p0) (NOT p1)), acceptance={0} source=3 dest: 3}]], initial=0, aps=[p0:(OR (GEQ s83 1) (AND (GEQ s169 1) (GEQ s172 1)) (AND (GEQ s169 1) (GEQ s171 1)) (AND (GEQ s169 1) (GEQ s174 1)) (AND (GEQ s169 1) (GEQ s173 1)) (AND (GEQ s170 1) (GEQ s177 1)) (AND (GEQ s170 1) (GEQ s176 1)) (AND (GEQ s170 1) (GEQ s175 1)) (AND (GEQ s170 1) (GEQ s174 1)) (AND (GEQ s170 1) (GEQ s180 1)) (AND (GEQ s170 1) (GEQ s179 1)) (AND (GEQ s170 1) (GEQ s178 1)) (AND (GEQ s170 1) (GEQ s173 1)) (AND (GEQ s170 1) (GEQ s172 1)) (AND (GEQ s170 1) (GEQ s171 1)) (AND (GEQ s169 1) (GEQ s180 1)) (AND (GEQ s169 1) (GEQ s179 1)) (AND (GEQ s169 1) (GEQ s176 1)) (AND (GEQ s169 1) (GEQ s175 1)) (AND (GEQ s169 1) (GEQ s178 1)) (AND (GEQ s169 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s104 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s1 1) (GEQ s154 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s130 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s142 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s128 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s144 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s140 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s152 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s156 1) (GEQ s167 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s118 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s96 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s108 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s122 1) (GEQ s167 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s150 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s1 1) (GEQ s98 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s132 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s106 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s116 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s1 1) (GEQ s93 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s105 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s117 1) (GEQ s167 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s162 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s86 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s143 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s1 1) (GEQ s125 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s94 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s133 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s164 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s115 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s99 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s147 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s149 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s123 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s113 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s125 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s109 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s1 1) (GEQ s161 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s135 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s127 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s157 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s103 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s145 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s101 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s155 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s1 1) (GEQ s88 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s111 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s1 1) (GEQ s137 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s112 1) (GEQ s167 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s148 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s1 1) (GEQ s91 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s122 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s138 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s120 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s151 1) (GEQ s167 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s128 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s89 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s110 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s159 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s104 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s130 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s128 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s1 1) (GEQ s152 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s118 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s114 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s1 1) (GEQ s140 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s1 1) (GEQ s162 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s1 1) (GEQ s126 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s1 1) (GEQ s108 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s132 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s96 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s98 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s116 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s142 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s106 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s153 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s1 1) (GEQ s93 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s86 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s115 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s164 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s143 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s94 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s154 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s133 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s149 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s123 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s99 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s1 1) (GEQ s147 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s113 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s125 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s161 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s135 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s87 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s1 1) (GEQ s139 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s101 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s1 1) (GEQ s157 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s103 1) (GEQ s167 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s127 1) (GEQ s167 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s145 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s155 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s85 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s1 1) (GEQ s137 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s88 1) (GEQ s167 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s112 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s100 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s122 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s91 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s120 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s102 1) (GEQ s167 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s151 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s110 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s159 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s138 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s1 1) (GEQ s89 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s141 1) (GEQ s167 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s152 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s1 1) (GEQ s116 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s130 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s1 1) (GEQ s94 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s118 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s142 1) (GEQ s167 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s144 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s114 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s163 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s1 1) (GEQ s108 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s140 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s96 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s132 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s119 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s160 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s86 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s124 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s143 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s162 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s131 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s117 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s95 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s97 1) (GEQ s167 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s164 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s1 1) (GEQ s115 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s123 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s102 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s1 1) (GEQ s146 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s111 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s135 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s1 1) (GEQ s159 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s147 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s109 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s161 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s87 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s113 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s139 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s145 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s91 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s88 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s85 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s137 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s129 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s1 1) (GEQ s134 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s1 1) (GEQ s112 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s158 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s136 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s1 1) (GEQ s90 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s138 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s89 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s141 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s92 1) (GEQ s167 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s110 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s142 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s118 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s94 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s116 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s144 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s140 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s132 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s114 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s163 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s98 1) (GEQ s167 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s108 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s1 1) (GEQ s106 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s1 1) (GEQ s119 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s160 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s1 1) (GEQ s93 1) (GEQ s167 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s95 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s143 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s131 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s1 1) (GEQ s117 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s162 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s86 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s148 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s146 1) (GEQ s167 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s123 1) (GEQ s167 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s133 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s1 1) (GEQ s115 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s164 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s135 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s87 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s159 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s1 1) (GEQ s109 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s161 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s147 1) (GEQ s167 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s113 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s90 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s139 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s145 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s157 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s1 1) (GEQ s85 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s137 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s88 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s111 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s110 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s136 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s134 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s112 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s91 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s105 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s1 1) (GEQ s158 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s1 1) (GEQ s121 1) (GEQ s167 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s138 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s120 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s89 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s141 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s92 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s107 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s1 1) (GEQ s111 1) (GEQ s167 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s104 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s149 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s1 1) (GEQ s163 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s132 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s1 1) (GEQ s139 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s90 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s114 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s85 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s93 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s1 1) (GEQ s119 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s98 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s1 1) (GEQ s155 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s134 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s112 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s162 1) (GEQ s167 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s138 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s153 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s131 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s122 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s1 1) (GEQ s100 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s156 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s161 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s1 1) (GEQ s146 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s107 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s97 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s87 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s106 1) (GEQ s167 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s92 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s116 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s154 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s1 1) (GEQ s99 1) (GEQ s167 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s144 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s121 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s88 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s1 1) (GEQ s158 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s109 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s103 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s1 1) (GEQ s150 1) (GEQ s167 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s124 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s129 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s105 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s117 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s1 1) (GEQ s141 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s110 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s1 1) (GEQ s136 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s160 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s157 1) (GEQ s167 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s126 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s95 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s143 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s148 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s151 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s102 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s118 1) (GEQ s167 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s111 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s104 1) (GEQ s167 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s152 1) (GEQ s167 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s163 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s90 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s114 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s119 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s139 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s1 1) (GEQ s85 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s140 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s101 1) (GEQ s167 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s129 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s1 1) (GEQ s124 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s155 1) (GEQ s167 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s131 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s134 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s153 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s162 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s100 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s1 1) (GEQ s156 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s138 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s115 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s1 1) (GEQ s89 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s112 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s1 1) (GEQ s107 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s128 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s146 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s97 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s154 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s87 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s92 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s116 1) (GEQ s167 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s99 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s144 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s137 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s1 1) (GEQ s121 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s109 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s88 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s103 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s150 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s148 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s136 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s129 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s105 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s160 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s117 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s86 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s1 1) (GEQ s141 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s127 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s1 1) (GEQ s158 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s126 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s95 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s102 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s151 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s1 1) (GEQ s149 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s104 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s152 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s108 1) (GEQ s167 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s130 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s126 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s127 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s85 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s119 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s1 1) (GEQ s101 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s90 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s1 1) (GEQ s114 1) (GEQ s167 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s142 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s98 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s163 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s93 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s155 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s146 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s1 1) (GEQ s107 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s122 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s134 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s100 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s91 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s153 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s125 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s1 1) (GEQ s156 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s97 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s159 1) (GEQ s167 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s128 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s99 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s147 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s1 1) (GEQ s92 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s113 1) (GEQ s167 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s154 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s118 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s1 1) (GEQ s135 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s140 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s1 1) (GEQ s158 1) (GEQ s167 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s95 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s1 1) (GEQ s121 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s103 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s150 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s124 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s1 1) (GEQ s106 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s141 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s1 1) (GEQ s148 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s136 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s129 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s105 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s127 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s96 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s1 1) (GEQ s157 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s120 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s151 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s133 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s164 1) (GEQ s167 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s89 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s1 1) (GEQ s102 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s130 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s123 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s1 1) (GEQ s156 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s149 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s145 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s1 1) (GEQ s152 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s104 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s108 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s127 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s142 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s1 1) (GEQ s101 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s93 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s155 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s98 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s163 1) (GEQ s167 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s131 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s100 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s107 1) (GEQ s167 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s91 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s1 1) (GEQ s153 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s134 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s122 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s125 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s128 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s159 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s146 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s97 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s94 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s1 1) (GEQ s110 1) (GEQ s167 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s161 1) (GEQ s167 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s154 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s99 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s92 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s1 1) (GEQ s147 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s109 1) (GEQ s167 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s144 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s1 1) (GEQ s95 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s150 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s103 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s158 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s121 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s1 1) (GEQ s132 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s124 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s106 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s148 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s129 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s105 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s160 1) (GEQ s167 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s96 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s157 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s126 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s102 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s133 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s151 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s120 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s1 1) (GEQ s123 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s147 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s99 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s1 1) (GEQ s149 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s125 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s139 1) (GEQ s167 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s135 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s113 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s87 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s1 1) (GEQ s161 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s127 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s157 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s145 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s101 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s103 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s121 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s1 1) (GEQ s155 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s1 1) (GEQ s111 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s137 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s88 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s100 1) (GEQ s167 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s91 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s138 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s1 1) (GEQ s120 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s159 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s110 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s128 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s89 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s142 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s130 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s104 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s1 1) (GEQ s154 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s118 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s152 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s140 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s144 1) (GEQ s167 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s156 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s108 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s96 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s122 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s150 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s98 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s106 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s132 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s116 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s1 1) (GEQ s160 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s1 1) (GEQ s93 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s86 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s117 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s162 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s1 1) (GEQ s115 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s143 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s1 1) (GEQ s94 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s105 1) (GEQ s167 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s133 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s164 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s149 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s123 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s147 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s97 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s1 1) (GEQ s113 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s125 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s135 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s87 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s161 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s139 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s157 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s103 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s101 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s145 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s155 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s111 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s1 1) (GEQ s137 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s112 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s100 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s91 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s136 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s1 1) (GEQ s129 1) (GEQ s167 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s122 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s90 1) (GEQ s167 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s89 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s1 1) (GEQ s120 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s151 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s159 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s110 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s128 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s1 1) (GEQ s130 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s152 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s1 1) (GEQ s94 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s118 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s104 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s140 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s150 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s1 1) (GEQ s108 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s96 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s114 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s1 1) (GEQ s126 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s1 1) (GEQ s132 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s98 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s106 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s116 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s142 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s93 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s153 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s1 1) (GEQ s86 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s164 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s115 1) (GEQ s167 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s143 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s154 1) (GEQ s167 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s133 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s135 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s1 1) (GEQ s111 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s87 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s123 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s159 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s147 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s109 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s161 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s125 1) (GEQ s167 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s101 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s1 1) (GEQ s145 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s139 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s90 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s113 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s1 1) (GEQ s91 1) (GEQ s167 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s153 1) (GEQ s167 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s137 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s88 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s85 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s1 1) (GEQ s112 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s110 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s158 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s1 1) (GEQ s136 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s138 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s120 1) (GEQ s167 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s130 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s1 1) (GEQ s141 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s89 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s107 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s1 1) (GEQ s118 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s94 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s116 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s130 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s142 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s144 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s114 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s108 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s140 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s163 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s1 1) (GEQ s96 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s132 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s119 1) (GEQ s167 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s86 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s117 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s124 1) (GEQ s167 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s160 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s143 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s95 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s162 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s131 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s164 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s123 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s102 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s1 1) (GEQ s146 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s115 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s97 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s135 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s111 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s87 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s149 1) (GEQ s167 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s159 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s1 1) (GEQ s161 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s113 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s109 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s1 1) (GEQ s145 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s139 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s90 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s157 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s1 1) (GEQ s91 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s137 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s85 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s88 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s134 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s1 1) (GEQ s136 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s112 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s110 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s156 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s1 1) (GEQ s138 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s120 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s92 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s141 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s89 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s107 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s142 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s94 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s118 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s116 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s140 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s144 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s121 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s132 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s1 1) (GEQ s108 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s1 1) (GEQ s96 1) (GEQ s167 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s106 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s1 1) (GEQ s163 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s114 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s160 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s119 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s86 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s93 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s143 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s95 1) (GEQ s167 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s162 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s148 1) (GEQ s167 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s131 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s1 1) (GEQ s117 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s146 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s133 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s1 1) (GEQ s164 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s115 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s94 1) (GEQ s167 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s87 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s116 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s99 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s144 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s1 1) (GEQ s121 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s95 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s158 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s109 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s88 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s1 1) (GEQ s124 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s145 1) (GEQ s167 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s150 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s110 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s1 1) (GEQ s117 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s129 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s141 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s160 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s136 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s127 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s1 1) (GEQ s105 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s1 1) (GEQ s143 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s126 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s148 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s102 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s133 1) (GEQ s167 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s92 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s151 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s111 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s104 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s149 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s1 1) (GEQ s163 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s90 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s139 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s114 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s85 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s119 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s98 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s1 1) (GEQ s122 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s1 1) (GEQ s155 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s162 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s134 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s100 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s138 1) (GEQ s167 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s131 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s153 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s156 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s1 1) (GEQ s161 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s1 1) (GEQ s112 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s146 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s115 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s1 1) (GEQ s97 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s107 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s99 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s154 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s1 1) (GEQ s92 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s87 1) (GEQ s167 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s109 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s144 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s121 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s137 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s1 1) (GEQ s88 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s150 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s103 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s1 1) (GEQ s124 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s129 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s117 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s160 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s86 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s1 1) (GEQ s148 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s136 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s105 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s141 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s127 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s158 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s126 1) (GEQ s167 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s95 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s143 1) (GEQ s167 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s102 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s151 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s1 1) (GEQ s111 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s89 1) (GEQ s167 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s152 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s113 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s1 1) (GEQ s163 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s85 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s139 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s1 1) (GEQ s140 1) (GEQ s167 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s90 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s101 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s119 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s114 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s124 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s162 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s131 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s134 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s153 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s100 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s1 1) (GEQ s125 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s1 1) (GEQ s156 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s138 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s112 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s1 1) (GEQ s164 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s1 1) (GEQ s107 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s115 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s97 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s128 1) (GEQ s167 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s146 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s147 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s1 1) (GEQ s99 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s92 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s154 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s135 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s113 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s118 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s1 1) (GEQ s158 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s121 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s124 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s1 1) (GEQ s103 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s150 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s106 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s141 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s1 1) (GEQ s105 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s148 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s136 1) (GEQ s167 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s129 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s96 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s1 1) (GEQ s157 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s133 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s151 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s164 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s102 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s120 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s137 1) (GEQ s167 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s123 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s1 1) (GEQ s97 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s1 1) (GEQ s149 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s152 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s130 1) (GEQ s167 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s104 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s85 1) (GEQ s167 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s126 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s119 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s127 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s90 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s1 1) (GEQ s98 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s101 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s142 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s1 1) (GEQ s93 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s163 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s155 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s100 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s131 1) (GEQ s167 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s86 1) (GEQ s167 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s134 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s91 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s1 1) (GEQ s122 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s153 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s125 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s156 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s107 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s128 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s92 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s1 1) (GEQ s154 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s106 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s147 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s99 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s135 1) (GEQ s167 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s95 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s1 1) (GEQ s96 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s150 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s103 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s121 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s158 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s109 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s132 1) (GEQ s167 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s124 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s117 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s1 1) (GEQ s160 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s148 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s1 1) (GEQ s129 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s105 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s157 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s126 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s102 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s151 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s133 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s120 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s1 1) (GEQ s130 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s123 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s149 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s104 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s156 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s152 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s145 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s1 1) (GEQ s108 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s126 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s101 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s119 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s1 1) (GEQ s127 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s93 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s1 1) (GEQ s155 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s1 1) (GEQ s98 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s131 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s1 1) (GEQ s107 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s100 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s122 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s1 1) (GEQ s153 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s1 1) (GEQ s134 1) (GEQ s167 1) (GEQ s181 1)) (AND (GEQ s1 1) (GEQ s125 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s1 1) (GEQ s97 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s1 1) (GEQ s128 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s1 1) (GEQ s159 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s1 1) (GEQ s94 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s1 1) (GEQ s146 1) (GEQ s167 1) (GEQ s172 1))), p1:(OR (AND (GEQ s169 1) (GEQ s172 1)) (AND (GEQ s169 1) (GEQ s171 1)) (AND (GEQ s169 1) (GEQ s174 1)) (AND (GEQ s169 1) (GEQ s173 1)) (AND (GEQ s170 1) (GEQ s177 1)) (AND (GEQ s170 1) (GEQ s176 1)) (AND (GEQ s170 1) (GEQ s175 1)) (AND (GEQ s170 1) (GEQ s174 1)) (AND (GEQ s170 1) (GEQ s180 1)) (AND (GEQ s170 1) (GEQ s179 1)) (AND (GEQ s170 1) (GEQ s178 1)) (AND (GEQ s170 1) (GEQ s173 1)) (AND (GEQ s170 1) (GEQ s172 1)) (AND (GEQ s170 1) (GEQ s171 1)) (AND (GEQ s169 1) (GEQ s180 1)) (AND (GEQ s169 1) (GEQ s179 1)) (AND (GEQ s169 1) (GEQ s176 1)) (AND (GEQ s169 1) (GEQ s175 1)) (AND (GEQ s169 1) (GEQ s178 1)) (AND (GEQ s169 1) (GEQ s177 1))), p2:(AND (OR (LT s146 1) (LT s166 1)) (OR (LT s107 1) (LT s166 1)) (OR (LT s136 1) (LT s166 1)) (OR (LT s117 1) (LT s166 1)) (OR (LT s97 1) (LT s166 1)) (OR (LT s116 1) (LT s166 1)) (OR (LT s126 1) (LT s166 1)) (OR (LT s137 1) (LT s166 1)) (OR (LT s156 1) (LT s166 1)) (OR (LT s88 1) (LT s166 1)) (OR (LT s135 1) (LT s166 1)) (OR (LT s157 1) (LT s166 1)) (OR (LT s118 1) (LT s166 1)) (OR (LT s145 1) (LT s166 1)) (OR (LT s128 1) (LT s166 1)) (OR (LT s98 1) (LT s166 1)) (OR (LT s115 1) (LT s166 1)) (OR (LT s144 1) (LT s166 1)) (OR (LT s127 1) (LT s166 1)) (OR (LT s106 1) (LT s166 1)) (OR (LT s89 1) (LT s166 1)) (OR (LT s85 1) (LT s166 1)) (OR (LT s129 1) (LT s166 1)) (OR (LT s119 1) (LT s166 1)) (OR (LT s163 1) (LT s166 1)) (OR (LT s95 1) (LT s166 1)) (OR (LT s153 1) (LT s166 1)) (OR (LT s148 1) (LT s166 1)) (OR (LT s114 1) (LT s166 1)) (OR (LT s94 1) (LT s166 1)) (OR (LT s154 1) (LT s166 1)) (OR (LT s139 1) (LT s166 1)) (OR (LT s120 1) (LT s166 1)) (OR (LT s86 1) (LT s166 1)) (OR (LT s105 1) (LT s166 1)) (OR (LT s113 1) (LT s166 1)) (OR (LT s164 1) (LT s166 1)) (OR (LT s147 1) (LT s166 1)) (OR (LT s130 1) (LT s166 1)) (OR (LT s96 1) (LT s166 1)) (OR (LT s121 1) (LT s166 1)) (OR (LT s138 1) (LT s166 1)) (OR (LT s155 1) (LT s166 1)) (OR (LT s104 1) (LT s166 1)) (OR (LT s87 1) (LT s166 1)) (OR (LT s102 1) (LT s166 1)) (OR (LT s151 1) (LT s166 1)) (OR (LT s92 1) (LT s166 1)) (OR (LT s131 1) (LT s166 1)) (OR (LT s112 1) (LT s166 1)) (OR (LT s160 1) (LT s166 1)) (OR (LT s111 1) (LT s166 1)) (OR (LT s103 1) (LT s166 1)) (OR (LT s122 1) (LT s166 1)) (OR (LT s141 1) (LT s166 1)) (OR (LT s152 1) (LT s166 1)) (OR (LT s101 1) (LT s166 1)) (OR (LT s162 1) (LT s166 1)) (OR (LT s132 1) (LT s166 1)) (OR (LT s93 1) (LT s166 1)) (OR (LT s110 1) (LT s166 1)) (OR (LT s161 1) (LT s166 1)) (OR (LT s123 1) (LT s166 1)) (OR (LT s140 1) (LT s166 1)) (OR (LT s124 1) (LT s166 1)) (OR (LT s134 1) (LT s166 1)) (OR (LT s149 1) (LT s166 1)) (OR (LT s99 1) (LT s166 1)) (OR (LT s143 1) (LT s166 1)) (OR (LT s109 1) (LT s166 1)) (OR (LT s84 1) (LT s166 1)) (OR (LT s90 1) (LT s166 1)) (OR (LT s158 1) (LT s166 1)) (OR (LT s91 1) (LT s166 1)) (OR (LT s108 1) (LT s166 1)) (OR (LT s150 1) (LT s166 1)) (OR (LT s133 1) (LT s166 1)) (OR (LT s100 1) (LT s166 1)) (OR (LT s142 1) (LT s166 1)) (OR (LT s125 1) (LT s166 1)) (OR (LT s159 1) (LT s166 1)))], nbAcceptance=1, properties=[trans-labels, explicit-labels, trans-acc, deterministic, no-univ-branch, unambiguous, semi-deterministic, weak, inherently-weak], stateDesc=[null, null, null, null][false, false, false, false]]
Product exploration explored 100000 steps with 35254 reset in 2351 ms.
Product exploration explored 100000 steps with 35157 reset in 2348 ms.
Knowledge obtained : [p0, p1, (NOT p2)]
Stuttering acceptance computed with spot in 137 ms :[(OR (AND (NOT p0) (NOT p1)) (AND (NOT p0) p2)), (AND (NOT p0) p2), (OR (AND (NOT p0) (NOT p1)) (AND (NOT p0) p2)), (OR (AND (NOT p0) (NOT p1)) (AND (NOT p0) p2))]
Product exploration explored 100000 steps with 35403 reset in 2262 ms.
Product exploration explored 100000 steps with 35135 reset in 2605 ms.
[2021-05-09 00:22:28] [INFO ] Flatten gal took : 69 ms
[2021-05-09 00:22:28] [INFO ] Flatten gal took : 69 ms
[2021-05-09 00:22:28] [INFO ] Time to serialize gal into /tmp/LTL10103877223553274529.gal : 9 ms
[2021-05-09 00:22:28] [INFO ] Time to serialize properties into /tmp/LTL3941193080514085120.ltl : 95 ms
Invoking ITS tools like this :CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64, --gc-threshold, 2000000, -i, /tmp/LTL10103877223553274529.gal, -t, CGAL, -LTL, /tmp/LTL3941193080514085120.ltl, -c, -stutter-deadlock, --gen-order, FOLLOW], workingDir=/home/mcc/execution]

its-ltl command run as :

/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64 --gc-threshold 2000000 -i /tmp/LTL10103877223553274529.gal -t CGAL -LTL /tmp/LTL3941193080514085120.ltl -c -stutter-deadlock --gen-order FOLLOW
Read 1 LTL properties
Checking formula 0 : !((X(F(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((("(SUR_PONT_A_0>=1)")||(X(("(((((((((((((((((((((CHOIX_0>=1)&&(COMPTEUR_1>=1))||((CHOIX_0>=1)&&(COMPTEUR_0>=1)))||((CHOIX_0>=1)&&(COMPTEUR_3>=1)))||((CHOIX_0>=1)&&(COMPTEUR_2>=1)))||((CHOIX_1>=1)&&(COMPTEUR_6>=1)))||((CHOIX_1>=1)&&(COMPTEUR_5>=1)))||((CHOIX_1>=1)&&(COMPTEUR_4>=1)))||((CHOIX_1>=1)&&(COMPTEUR_3>=1)))||((CHOIX_1>=1)&&(COMPTEUR_9>=1)))||((CHOIX_1>=1)&&(COMPTEUR_8>=1)))||((CHOIX_1>=1)&&(COMPTEUR_7>=1)))||((CHOIX_1>=1)&&(COMPTEUR_2>=1)))||((CHOIX_1>=1)&&(COMPTEUR_1>=1)))||((CHOIX_1>=1)&&(COMPTEUR_0>=1)))||((CHOIX_0>=1)&&(COMPTEUR_9>=1)))||((CHOIX_0>=1)&&(COMPTEUR_8>=1)))||((CHOIX_0>=1)&&(COMPTEUR_5>=1)))||((CHOIX_0>=1)&&(COMPTEUR_4>=1)))||((CHOIX_0>=1)&&(COMPTEUR_7>=1)))||((CHOIX_0>=1)&&(COMPTEUR_6>=1)))")&&(X("((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((NB_ATTENTE_B_62>=1)&&(ROUTE_B_0>=1))||((NB_ATTENTE_B_23>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_52>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_33>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_13>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_32>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_42>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_53>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_72>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_4>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_51>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_73>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_34>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_61>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_44>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_14>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_31>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_60>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_43>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_22>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_5>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_1>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_45>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_35>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_79>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_11>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_69>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_64>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_30>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_10>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_70>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_55>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_36>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_2>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_21>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_29>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_80>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_63>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_46>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_12>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_37>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_54>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_71>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_20>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_3>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_18>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_67>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_8>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_47>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_28>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_76>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_27>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_19>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_38>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_57>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_68>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_17>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_78>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_48>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_9>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_26>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_77>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_39>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_56>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_40>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_50>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_65>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_15>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_59>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_25>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_0>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_6>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_74>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_7>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_24>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_66>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_49>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_16>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_58>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_41>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_75>=1)&&(ROUTE_B_0>=1)))")))))||("((CHOIX_0>=1)&&(COMPTEUR_1>=1))"))||("((CHOIX_0>=1)&&(COMPTEUR_0>=1))"))||("((CHOIX_0>=1)&&(COMPTEUR_3>=1))"))||("((CHOIX_0>=1)&&(COMPTEUR_2>=1))"))||("((CHOIX_1>=1)&&(COMPTEUR_6>=1))"))||("((CHOIX_1>=1)&&(COMPTEUR_5>=1))"))||("((CHOIX_1>=1)&&(COMPTEUR_4>=1))"))||("((CHOIX_1>=1)&&(COMPTEUR_3>=1))"))||("((CHOIX_1>=1)&&(COMPTEUR_9>=1))"))||("((CHOIX_1>=1)&&(COMPTEUR_8>=1))"))||("((CHOIX_1>=1)&&(COMPTEUR_7>=1))"))||("((CHOIX_1>=1)&&(COMPTEUR_2>=1))"))||("((CHOIX_1>=1)&&(COMPTEUR_1>=1))"))||("((CHOIX_1>=1)&&(COMPTEUR_0>=1))"))||("((CHOIX_0>=1)&&(COMPTEUR_9>=1))"))||("((CHOIX_0>=1)&&(COMPTEUR_8>=1))"))||("((CHOIX_0>=1)&&(COMPTEUR_5>=1))"))||("((CHOIX_0>=1)&&(COMPTEUR_4>=1))"))||("((CHOIX_0>=1)&&(COMPTEUR_7>=1))"))||("((CHOIX_0>=1)&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_20>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_70>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_46>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_58>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_44>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_60>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_56>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_68>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_72>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_34>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_12>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_24>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_38>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_66>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_14>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_48>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_22>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_32>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_9>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_21>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_33>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_78>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_2>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_59>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_41>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_10>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_49>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_80>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_31>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_15>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_63>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_65>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_39>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_29>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_41>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_25>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_77>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_51>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_43>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_73>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_19>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_61>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_17>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_71>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_4>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_27>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_53>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_28>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_64>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_7>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_38>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_54>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_36>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_67>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_44>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_5>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_26>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_75>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_20>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_46>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_44>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_68>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_34>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_30>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_56>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_78>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_42>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_24>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_48>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_12>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_14>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_32>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_58>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_22>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_69>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_9>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_2>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_31>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_80>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_59>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_10>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_70>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_49>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_65>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_39>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_15>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_63>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_29>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_41>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_77>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_51>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_3>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_55>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_17>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_73>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_19>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_43>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_61>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_71>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_1>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_53>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_4>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_28>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_16>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_38>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_7>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_36>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_18>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_67>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_26>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_75>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_54>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_5>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_57>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_68>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_32>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_46>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_10>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_34>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_58>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_60>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_30>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_79>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_24>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_56>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_12>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_48>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_35>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_76>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_2>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_40>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_59>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_78>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_47>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_33>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_11>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_13>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_80>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_31>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_39>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_18>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_62>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_27>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_51>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_75>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_63>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_25>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_77>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_3>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_29>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_55>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_61>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_7>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_4>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_1>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_53>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_45>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_50>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_28>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_74>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_52>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_6>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_54>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_5>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_57>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_8>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_26>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_58>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_34>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_10>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_32>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_60>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_56>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_48>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_30>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_79>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_14>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_24>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_22>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_35>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_76>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_9>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_11>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_59>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_47>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_33>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_78>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_2>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_64>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_62>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_39>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_49>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_31>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_80>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_51>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_3>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_75>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_25>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_77>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_63>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_29>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_6>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_55>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_61>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_73>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_1>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_53>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_4>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_27>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_26>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_52>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_50>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_28>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_7>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_21>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_74>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_37>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_54>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_36>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_5>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_57>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_8>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_23>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_27>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_20>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_65>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_79>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_48>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_55>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_6>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_30>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_1>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_9>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_35>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_14>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_71>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_50>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_28>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_78>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_54>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_69>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_47>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_38>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_16>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_72>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_77>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_62>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_23>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_13>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_3>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_22>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_8>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_32>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_70>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_15>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_60>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_37>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_4>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_74>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_25>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_19>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_66>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_40>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_45>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_21>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_33>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_57>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_26>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_52>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_76>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_73>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_42>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_11>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_59>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_64>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_67>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_18>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_34>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_27>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_20>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_68>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_79>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_6>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_30>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_35>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_55>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_1>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_56>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_17>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_45>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_40>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_71>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_47>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_50>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_69>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_78>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_16>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_72>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_54>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_31>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_5>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_28>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_23>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_44>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_62>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_13>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_70>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_3>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_8>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_32>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_15>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_60>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_53>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_37>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_25>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_4>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_19>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_66>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_64>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_52>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_45>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_21>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_76>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_33>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_2>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_57>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_43>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_74>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_42>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_11>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_18>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_67>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_65>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_20>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_68>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_24>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_46>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_42>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_43>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_1>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_35>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_17>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_6>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_30>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_58>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_14>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_79>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_9>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_71>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_62>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_23>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_38>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_50>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_16>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_7>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_69>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_41>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_72>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_13>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_75>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_44>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_15>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_63>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_8>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_29>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_70>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_34>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_51>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_56>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_74>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_11>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_37>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_19>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_66>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_40>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_22>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_57>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_64>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_52>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_45>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_21>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_43>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_12>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_73>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_36>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_67>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_49>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_80>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_5>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_18>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_46>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_39>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_72>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_65>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_61>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_68>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_20>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_24>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_43>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_58>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_17>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_9>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_71>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_14>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_79>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_47>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_16>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_23>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_7>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_69>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_50>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_38>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_41>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_44>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_75>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_62>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_13>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_10>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_26>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_77>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_70>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_15>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_8>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_63>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_25>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_60>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_11>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_66>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_19>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_74>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_37>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_48>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_40>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_22>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_64>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_45>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_21>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_76>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_12>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_73>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_42>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_18>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_49>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_67>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_36>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_39>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_63>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_15>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_65>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_41>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_55>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_51>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_29>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_3>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_77>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_43>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_73>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_61>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_17>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_19>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_37>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_71>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_27>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_53>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_4>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_16>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_7>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_54>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_36>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_75>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_26>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_44>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_5>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_58>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_46>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_20>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_70>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_34>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_68>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_56>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_60>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_72>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_24>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_12>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_38>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_66>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_14>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_22>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_48>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_32>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_76>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_9>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_2>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_33>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_78>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_31>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_59>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_10>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_21>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_49>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_80>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_65>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_39>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_63>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_13>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_29>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_41>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_51>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_3>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_77>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_55>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_73>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_19>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_17>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_61>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_71>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_27>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_53>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_28>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_16>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_7>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_52>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_45>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_38>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_6>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_5>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_36>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_67>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_75>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_26>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_44>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_46>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_68>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_10>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_34>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_20>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_56>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_66>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_24>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_12>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_30>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_42>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_48>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_14>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_22>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_32>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_58>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_9>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_69>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_2>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_80>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_31>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_59>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_70>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_49>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_51>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_27>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_3>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_39>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_75>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_63>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_25>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_77>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_41>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_17>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_61>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_55>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_6>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_29>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_7>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_69>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_53>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_4>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_1>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_28>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_26>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_74>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_52>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_54>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_36>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_46>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_57>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_5>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_23>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_34>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_10>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_32>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_46>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_58>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_60>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_30>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_24>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_56>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_79>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_12>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_48>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_35>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_2>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_33>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_40>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_76>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_59>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_11>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_78>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_47>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_80>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_39>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_18>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_62>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_31>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_13>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_51>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_27>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_3>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_65>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_75>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_77>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_29>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_25>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_61>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_55>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_6>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_73>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_7>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_53>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_1>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_4>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_50>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_52>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_28>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_26>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_72>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_54>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_36>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_8>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_57>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_5>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_23>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_58>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_10>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_34>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_32>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_56>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_60>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_37>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_48>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_24>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_12>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_22>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_79>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_30>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_76>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_35>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_2>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_9>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_59>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_11>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_78>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_64>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_47>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_33>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_62>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_49>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_80>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_31>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_10>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_3>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_32>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_15>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_60>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_37>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_11>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_74>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_25>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_4>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_40>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_61>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_66>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_26>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_33>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_45>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_57>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_76>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_52>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_43>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_21>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_59>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_42>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_64>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_18>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_49>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_8>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_67>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_27>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_20>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_65>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_79>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_6>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_55>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_30>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_1>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_35>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_14>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_38>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_71>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_78>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_50>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_16>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_54>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_47>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_69>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_72>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_77>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_28>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_62>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_31>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_13>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_23>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_15>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_70>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_8>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_3>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_25>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_60>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_37>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_53>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_4>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_66>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_19>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_40>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_45>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_33>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_76>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_2>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_64>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_52>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_21>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_57>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_43>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_74>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_42>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_11>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_59>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_18>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_67>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_27>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_5>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_68>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_29>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_79>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_1>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_55>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_56>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_6>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_17>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_35>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_30>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_40>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_78>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_47>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_50>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_69>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_16>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_41>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_72>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_54>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_28>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_80>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_23>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_31>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_13>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_44>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_62>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_63>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_15>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_8>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_70>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_51>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_29>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_34>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_74>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_37>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_40>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_19>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_66>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_22>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_57>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_21>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_64>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_52>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_45>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_12>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_73>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_49>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_67>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_80>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_18>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_36>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_53>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_39>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_13>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_65>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_68>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_46>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_20>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_1>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_42>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_35>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_43>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_6>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_14>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_17>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_58>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_9>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_79>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_71>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_16>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_47>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_2>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_50>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_7>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_38>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_69>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_41>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_72>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_23>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_44>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_8>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_70>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_22>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_63>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_15>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_51>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_11>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_12>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_66>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_19>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_37>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_74>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_25>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_48>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_40>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_33>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_76>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_64>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_45>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_21>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_73>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_42>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_18>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_67>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_49>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_36>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_46>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_39>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_65>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_20>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_72>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_68>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_61>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_24>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_42>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_17>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_35>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_43>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_9>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_71>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_14>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_47>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_23>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_16>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_38>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_69>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_50>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_41>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_13>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_44>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_75>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_10>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_62>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))")))))
Formula 0 simplified : !XF("((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_1>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_1>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_1>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_1>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_1>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_1>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_1>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_1>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_1>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_1>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_1>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_2>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_2>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_2>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_2>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_2>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_2>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_2>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_2>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_2>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_2>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_2>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_3>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_3>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_3>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_3>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_3>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_3>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_3>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_3>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_3>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_3>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_3>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_4>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_4>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_4>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_4>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_4>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_4>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_4>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_4>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_4>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_4>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_4>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_5>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_5>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_5>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_5>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_5>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_5>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_5>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_5>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_5>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_5>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_5>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_6>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_6>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_6>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_6>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_6>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_6>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_6>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_6>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_6>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_6>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_6>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_7>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_7>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_7>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_7>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_7>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_7>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_7>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_7>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_7>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_7>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_7>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_8>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_8>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_8>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_8>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_8>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_8>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_8>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_8>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_8>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_8>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_8>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_9>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_9>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_9>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_9>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_9>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_9>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_9>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_9>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_9>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_9>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_9>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_10>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_10>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_10>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_10>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_10>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_10>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_10>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_10>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_10>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_10>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_10>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_11>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_11>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_11>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_11>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_11>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_11>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_11>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_11>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_11>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_11>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_11>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_12>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_12>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_12>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_12>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_12>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_12>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_12>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_12>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_12>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_12>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_12>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_13>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_13>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_13>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_13>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_13>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_13>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_13>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_13>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_13>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_13>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_13>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_14>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_14>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_14>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_14>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_14>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_14>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_14>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_14>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_14>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_14>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_14>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_15>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_15>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_15>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_15>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_15>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_15>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_15>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_15>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_15>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_15>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_15>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_16>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_16>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_16>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_16>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_16>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_16>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_16>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_16>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_16>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_16>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_16>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_17>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_17>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_17>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_17>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_17>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_17>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_17>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_17>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_17>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_17>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_17>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_18>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_18>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_18>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_18>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_18>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_18>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_18>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_18>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_18>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_18>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_18>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_19>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_19>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_19>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_19>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_19>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_19>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_19>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_19>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_19>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_19>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_19>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_20>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_20>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_20>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_20>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_20>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_20>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_20>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_20>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_20>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_20>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_20>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_21>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_21>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_21>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_21>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_21>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_21>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_21>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_21>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_21>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_21>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_21>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_22>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_22>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_22>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_22>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_22>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_22>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_22>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_22>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_22>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_22>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_22>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_23>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_23>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_23>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_23>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_23>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_23>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_23>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_23>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_23>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_23>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_23>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_24>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_24>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_24>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_24>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_24>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_24>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_24>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_24>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_24>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_24>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_24>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_25>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_25>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_25>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_25>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_25>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_25>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_25>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_25>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_25>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_25>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_25>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_26>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_26>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_26>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_26>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_26>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_26>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_26>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_26>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_26>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_26>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_26>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_27>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_27>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_27>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_27>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_27>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_27>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_27>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_27>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_27>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_27>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_27>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_28>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_28>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_28>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_28>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_28>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_28>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_28>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_28>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_28>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_28>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_28>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_29>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_29>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_29>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_29>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_29>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_29>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_29>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_29>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_29>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_29>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_29>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_30>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_30>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_30>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_30>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_30>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_30>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_30>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_30>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_30>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_30>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_30>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_31>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_31>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_31>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_31>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_31>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_31>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_31>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_31>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_31>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_31>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_31>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_32>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_32>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_32>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_32>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_32>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_32>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_32>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_32>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_32>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_32>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_32>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_33>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_33>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_33>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_33>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_33>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_33>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_33>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_33>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_33>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_33>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_33>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_34>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_34>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_34>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_34>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_34>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_34>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_34>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_34>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_34>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_34>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_34>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_35>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_35>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_35>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_35>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_35>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_35>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_35>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_35>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_35>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_35>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_35>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_36>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_36>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_36>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_36>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_36>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_36>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_36>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_36>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_36>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_36>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_36>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_37>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_37>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_37>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_37>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_37>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_37>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_37>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_37>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_37>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_37>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_37>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_38>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_38>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_38>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_38>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_38>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_38>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_38>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_38>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_38>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_38>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_38>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_39>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_39>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_39>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_39>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_39>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_39>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_39>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_39>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_39>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_39>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_39>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_40>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_40>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_40>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_40>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_40>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_40>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_40>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_40>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_40>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_40>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_40>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_41>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_41>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_41>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_41>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_41>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_41>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_41>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_41>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_41>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_41>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_41>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_42>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_42>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_42>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_42>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_42>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_42>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_42>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_42>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_42>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_42>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_42>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_43>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_43>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_43>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_43>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_43>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_43>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_43>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_43>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_43>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_43>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_43>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_44>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_44>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_44>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_44>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_44>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_44>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_44>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_44>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_44>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_44>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_44>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_45>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_45>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_45>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_45>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_45>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_45>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_45>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_45>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_45>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_45>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_45>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_46>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_46>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_46>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_46>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_46>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_46>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_46>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_46>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_46>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_46>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_46>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_47>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_47>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_47>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_47>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_47>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_47>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_47>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_47>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_47>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_47>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_47>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_48>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_48>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_48>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_48>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_48>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_48>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_48>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_48>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_48>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_48>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_48>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_49>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_49>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_49>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_49>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_49>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_49>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_49>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_49>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_49>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_49>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_49>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_50>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_50>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_50>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_50>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_50>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_50>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_50>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_50>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_50>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_50>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_50>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_51>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_51>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_51>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_51>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_51>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_51>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_51>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_51>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_51>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_51>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_51>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_52>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_52>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_52>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_52>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_52>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_52>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_52>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_52>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_52>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_52>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_52>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_53>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_53>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_53>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_53>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_53>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_53>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_53>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_53>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_53>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_53>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_53>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_54>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_54>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_54>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_54>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_54>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_54>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_54>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_54>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_54>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_54>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_54>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_55>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_55>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_55>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_55>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_55>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_55>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_55>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_55>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_55>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_55>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_55>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_56>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_56>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_56>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_56>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_56>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_56>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_56>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_56>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_56>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_56>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_56>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_57>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_57>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_57>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_57>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_57>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_57>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_57>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_57>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_57>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_57>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_57>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_58>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_58>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_58>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_58>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_58>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_58>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_58>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_58>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_58>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_58>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_58>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_59>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_59>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_59>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_59>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_59>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_59>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_59>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_59>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_59>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_59>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_59>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_60>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_60>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_60>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_60>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_60>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_60>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_60>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_60>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_60>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_60>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_60>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_61>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_61>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_61>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_61>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_61>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_61>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_61>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_61>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_61>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_61>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_61>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_62>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_62>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_62>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_62>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_62>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_62>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_62>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_62>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_62>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_62>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_62>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_63>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_63>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_63>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_63>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_63>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_63>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_63>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_63>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_63>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_63>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_63>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_64>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_64>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_64>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_64>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_64>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_64>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_64>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_64>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_64>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_64>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_64>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_65>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_65>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_65>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_65>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_65>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_65>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_65>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_65>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_65>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_65>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_65>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_66>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_66>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_66>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_66>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_66>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_66>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_66>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_66>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_66>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_66>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_66>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_67>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_67>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_67>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_67>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_67>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_67>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_67>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_67>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_67>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_67>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_67>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_68>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_68>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_68>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_68>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_68>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_68>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_68>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_68>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_68>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_68>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_68>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_69>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_69>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_69>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_69>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_69>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_69>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_69>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_69>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_69>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_69>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_69>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_70>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_70>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_70>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_70>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_70>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_70>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_70>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_70>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_70>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_70>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_70>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_71>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_71>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_71>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_71>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_71>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_71>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_71>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_71>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_71>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_71>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_71>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_72>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_72>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_72>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_72>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_72>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_72>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_72>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_72>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_72>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_72>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_72>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_73>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_73>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_73>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_73>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_73>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_73>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_73>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_73>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_73>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_73>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_73>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_74>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_74>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_74>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_74>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_74>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_74>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_74>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_74>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_74>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_74>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_74>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_75>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_75>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_75>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_75>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_75>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_75>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_75>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_75>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_75>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_75>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_75>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_76>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_76>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_76>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_76>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_76>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_76>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_76>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_76>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_76>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_76>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_76>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_77>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_77>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_77>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_77>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_77>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_77>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_77>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_77>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_77>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_77>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_77>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_78>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_78>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_78>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_78>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_78>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_78>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_78>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_78>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_78>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_78>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_78>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_79>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_79>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_79>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_79>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_79>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_79>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_79>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_79>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_79>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_79>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_79>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_80>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_80>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_80>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_80>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_80>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_80>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_80>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_80>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_80>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_80>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_0>=1)&&(NB_ATTENTE_B_80>=1))&&(CONTROLEUR_0>=1))&&(COMPTEUR_10>=1))" | "((CHOIX_0>=1)&&(COMPTEUR_0>=1))" | "((CHOIX_0>=1)&&(COMPTEUR_1>=1))" | "((CHOIX_0>=1)&&(COMPTEUR_2>=1))" | "((CHOIX_0>=1)&&(COMPTEUR_3>=1))" | "((CHOIX_0>=1)&&(COMPTEUR_4>=1))" | "((CHOIX_0>=1)&&(COMPTEUR_5>=1))" | "((CHOIX_0>=1)&&(COMPTEUR_6>=1))" | "((CHOIX_0>=1)&&(COMPTEUR_7>=1))" | "((CHOIX_0>=1)&&(COMPTEUR_8>=1))" | "((CHOIX_0>=1)&&(COMPTEUR_9>=1))" | "((CHOIX_1>=1)&&(COMPTEUR_0>=1))" | "((CHOIX_1>=1)&&(COMPTEUR_1>=1))" | "((CHOIX_1>=1)&&(COMPTEUR_2>=1))" | "((CHOIX_1>=1)&&(COMPTEUR_3>=1))" | "((CHOIX_1>=1)&&(COMPTEUR_4>=1))" | "((CHOIX_1>=1)&&(COMPTEUR_5>=1))" | "((CHOIX_1>=1)&&(COMPTEUR_6>=1))" | "((CHOIX_1>=1)&&(COMPTEUR_7>=1))" | "((CHOIX_1>=1)&&(COMPTEUR_8>=1))" | "((CHOIX_1>=1)&&(COMPTEUR_9>=1))" | "(SUR_PONT_A_0>=1)" | X("(((((((((((((((((((((CHOIX_0>=1)&&(COMPTEUR_1>=1))||((CHOIX_0>=1)&&(COMPTEUR_0>=1)))||((CHOIX_0>=1)&&(COMPTEUR_3>=1)))||((CHOIX_0>=1)&&(COMPTEUR_2>=1)))||((CHOIX_1>=1)&&(COMPTEUR_6>=1)))||((CHOIX_1>=1)&&(COMPTEUR_5>=1)))||((CHOIX_1>=1)&&(COMPTEUR_4>=1)))||((CHOIX_1>=1)&&(COMPTEUR_3>=1)))||((CHOIX_1>=1)&&(COMPTEUR_9>=1)))||((CHOIX_1>=1)&&(COMPTEUR_8>=1)))||((CHOIX_1>=1)&&(COMPTEUR_7>=1)))||((CHOIX_1>=1)&&(COMPTEUR_2>=1)))||((CHOIX_1>=1)&&(COMPTEUR_1>=1)))||((CHOIX_1>=1)&&(COMPTEUR_0>=1)))||((CHOIX_0>=1)&&(COMPTEUR_9>=1)))||((CHOIX_0>=1)&&(COMPTEUR_8>=1)))||((CHOIX_0>=1)&&(COMPTEUR_5>=1)))||((CHOIX_0>=1)&&(COMPTEUR_4>=1)))||((CHOIX_0>=1)&&(COMPTEUR_7>=1)))||((CHOIX_0>=1)&&(COMPTEUR_6>=1)))" & X"((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((NB_ATTENTE_B_62>=1)&&(ROUTE_B_0>=1))||((NB_ATTENTE_B_23>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_52>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_33>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_13>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_32>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_42>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_53>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_72>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_4>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_51>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_73>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_34>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_61>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_44>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_14>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_31>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_60>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_43>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_22>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_5>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_1>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_45>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_35>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_79>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_11>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_69>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_64>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_30>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_10>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_70>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_55>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_36>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_2>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_21>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_29>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_80>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_63>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_46>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_12>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_37>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_54>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_71>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_20>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_3>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_18>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_67>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_8>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_47>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_28>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_76>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_27>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_19>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_38>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_57>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_68>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_17>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_78>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_48>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_9>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_26>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_77>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_39>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_56>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_40>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_50>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_65>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_15>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_59>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_25>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_0>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_6>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_74>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_7>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_24>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_66>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_49>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_16>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_58>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_41>=1)&&(ROUTE_B_0>=1)))||((NB_ATTENTE_B_75>=1)&&(ROUTE_B_0>=1)))"))
Detected timeout of ITS tools.
[2021-05-09 00:22:43] [INFO ] Flatten gal took : 66 ms
[2021-05-09 00:22:43] [INFO ] Applying decomposition
[2021-05-09 00:22:43] [INFO ] Flatten gal took : 67 ms
Converted graph to binary with : CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.louvain.binaries_1.0.0.202104292328/bin/convert-linux64, -i, /tmp/graph18384420893163526414.txt, -o, /tmp/graph18384420893163526414.bin, -w, /tmp/graph18384420893163526414.weights], workingDir=null]
Built communities with : CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.louvain.binaries_1.0.0.202104292328/bin/louvain-linux64, /tmp/graph18384420893163526414.bin, -l, -1, -v, -w, /tmp/graph18384420893163526414.weights, -q, 0, -e, 0.001], workingDir=null]
[2021-05-09 00:22:44] [INFO ] Decomposing Gal with order
[2021-05-09 00:22:44] [INFO ] Rewriting arrays to variables to allow decomposition.
[2021-05-09 00:22:44] [INFO ] Removed a total of 4247 redundant transitions.
[2021-05-09 00:22:44] [INFO ] Flatten gal took : 457 ms
[2021-05-09 00:22:44] [INFO ] Fuse similar labels procedure discarded/fused a total of 523 labels/synchronizations in 29 ms.
[2021-05-09 00:22:44] [INFO ] Time to serialize gal into /tmp/LTL7779887029334809278.gal : 2 ms
[2021-05-09 00:22:44] [INFO ] Time to serialize properties into /tmp/LTL8263523598102125135.ltl : 84 ms
Invoking ITS tools like this :CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64, --gc-threshold, 2000000, -i, /tmp/LTL7779887029334809278.gal, -t, CGAL, -LTL, /tmp/LTL8263523598102125135.ltl, -c, -stutter-deadlock], workingDir=/home/mcc/execution]

its-ltl command run as :

/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64 --gc-threshold 2000000 -i /tmp/LTL7779887029334809278.gal -t CGAL -LTL /tmp/LTL8263523598102125135.ltl -c -stutter-deadlock
Read 1 LTL properties
Checking formula 0 : !((X(F(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((("(i2.u0.SUR_PONT_A_0>=1)")||(X(("(((((((((((((((((((((i2.u0.CHOIX_0>=1)&&(i0.u3.COMPTEUR_1>=1))||((i2.u0.CHOIX_0>=1)&&(i0.u3.COMPTEUR_0>=1)))||((i2.u0.CHOIX_0>=1)&&(i0.u3.COMPTEUR_3>=1)))||((i2.u0.CHOIX_0>=1)&&(i0.u3.COMPTEUR_2>=1)))||((i2.u0.CHOIX_1>=1)&&(i0.u3.COMPTEUR_6>=1)))||((i2.u0.CHOIX_1>=1)&&(i0.u3.COMPTEUR_5>=1)))||((i2.u0.CHOIX_1>=1)&&(i0.u3.COMPTEUR_4>=1)))||((i2.u0.CHOIX_1>=1)&&(i0.u3.COMPTEUR_3>=1)))||((i2.u0.CHOIX_1>=1)&&(i0.u3.COMPTEUR_9>=1)))||((i2.u0.CHOIX_1>=1)&&(i0.u3.COMPTEUR_8>=1)))||((i2.u0.CHOIX_1>=1)&&(i0.u3.COMPTEUR_7>=1)))||((i2.u0.CHOIX_1>=1)&&(i0.u3.COMPTEUR_2>=1)))||((i2.u0.CHOIX_1>=1)&&(i0.u3.COMPTEUR_1>=1)))||((i2.u0.CHOIX_1>=1)&&(i0.u3.COMPTEUR_0>=1)))||((i2.u0.CHOIX_0>=1)&&(i0.u3.COMPTEUR_9>=1)))||((i2.u0.CHOIX_0>=1)&&(i0.u3.COMPTEUR_8>=1)))||((i2.u0.CHOIX_0>=1)&&(i0.u3.COMPTEUR_5>=1)))||((i2.u0.CHOIX_0>=1)&&(i0.u3.COMPTEUR_4>=1)))||((i2.u0.CHOIX_0>=1)&&(i0.u3.COMPTEUR_7>=1)))||((i2.u0.CHOIX_0>=1)&&(i0.u3.COMPTEUR_6>=1)))")&&(X("((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((i0.u1.NB_ATTENTE_B_62>=1)&&(i2.u4.ROUTE_B_0>=1))||((i2.u4.NB_ATTENTE_B_23>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i2.u4.NB_ATTENTE_B_52>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i2.u4.NB_ATTENTE_B_33>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i2.u4.NB_ATTENTE_B_13>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i2.u4.NB_ATTENTE_B_32>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i2.u4.NB_ATTENTE_B_42>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i2.u4.NB_ATTENTE_B_53>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i0.u1.NB_ATTENTE_B_72>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i0.u1.NB_ATTENTE_B_4>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i2.u4.NB_ATTENTE_B_51>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i0.u1.NB_ATTENTE_B_73>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i2.u4.NB_ATTENTE_B_34>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i2.u4.NB_ATTENTE_B_61>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i2.u4.NB_ATTENTE_B_44>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i2.u4.NB_ATTENTE_B_14>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i2.u4.NB_ATTENTE_B_31>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i2.u4.NB_ATTENTE_B_60>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i2.u4.NB_ATTENTE_B_43>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i2.u4.NB_ATTENTE_B_22>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i0.u1.NB_ATTENTE_B_5>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i0.u1.NB_ATTENTE_B_1>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i2.u4.NB_ATTENTE_B_45>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i2.u4.NB_ATTENTE_B_35>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i2.u4.NB_ATTENTE_B_79>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i2.u4.NB_ATTENTE_B_11>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i0.u1.NB_ATTENTE_B_69>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i0.u1.NB_ATTENTE_B_64>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i2.u4.NB_ATTENTE_B_30>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i2.u4.NB_ATTENTE_B_10>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i0.u1.NB_ATTENTE_B_70>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i0.u1.NB_ATTENTE_B_55>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i2.u4.NB_ATTENTE_B_36>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i0.u1.NB_ATTENTE_B_2>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i2.u4.NB_ATTENTE_B_21>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i2.u4.NB_ATTENTE_B_29>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i2.u4.NB_ATTENTE_B_80>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i0.u1.NB_ATTENTE_B_63>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i2.u4.NB_ATTENTE_B_46>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i2.u4.NB_ATTENTE_B_12>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i2.u4.NB_ATTENTE_B_37>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i2.u4.NB_ATTENTE_B_54>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i0.u1.NB_ATTENTE_B_71>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i2.u4.NB_ATTENTE_B_20>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i0.u1.NB_ATTENTE_B_3>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i2.u4.NB_ATTENTE_B_18>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i0.u1.NB_ATTENTE_B_67>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i0.u1.NB_ATTENTE_B_8>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i0.u1.NB_ATTENTE_B_47>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i2.u4.NB_ATTENTE_B_28>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i0.u1.NB_ATTENTE_B_76>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i0.u1.NB_ATTENTE_B_27>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i2.u4.NB_ATTENTE_B_19>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i2.u4.NB_ATTENTE_B_38>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i0.u1.NB_ATTENTE_B_57>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i0.u1.NB_ATTENTE_B_68>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i2.u4.NB_ATTENTE_B_17>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i2.u4.NB_ATTENTE_B_78>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i0.u1.NB_ATTENTE_B_48>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i2.u4.NB_ATTENTE_B_9>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i0.u1.NB_ATTENTE_B_26>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i2.u4.NB_ATTENTE_B_77>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i2.u4.NB_ATTENTE_B_39>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i0.u1.NB_ATTENTE_B_56>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i2.u4.NB_ATTENTE_B_40>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i0.u1.NB_ATTENTE_B_50>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i0.u1.NB_ATTENTE_B_65>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i2.u4.NB_ATTENTE_B_15>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i2.u4.NB_ATTENTE_B_59>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i0.u1.NB_ATTENTE_B_25>=1)&&(i2.u4.ROUTE_B_0>=1)))||((u2.NB_ATTENTE_B_0>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i0.u1.NB_ATTENTE_B_6>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i0.u1.NB_ATTENTE_B_74>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i0.u1.NB_ATTENTE_B_7>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i2.u4.NB_ATTENTE_B_24>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i0.u1.NB_ATTENTE_B_66>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i0.u1.NB_ATTENTE_B_49>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i2.u4.NB_ATTENTE_B_16>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i0.u1.NB_ATTENTE_B_58>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i2.u4.NB_ATTENTE_B_41>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i0.u1.NB_ATTENTE_B_75>=1)&&(i2.u4.ROUTE_B_0>=1)))")))))||("((i2.u0.CHOIX_0>=1)&&(i0.u3.COMPTEUR_1>=1))"))||("((i2.u0.CHOIX_0>=1)&&(i0.u3.COMPTEUR_0>=1))"))||("((i2.u0.CHOIX_0>=1)&&(i0.u3.COMPTEUR_3>=1))"))||("((i2.u0.CHOIX_0>=1)&&(i0.u3.COMPTEUR_2>=1))"))||("((i2.u0.CHOIX_1>=1)&&(i0.u3.COMPTEUR_6>=1))"))||("((i2.u0.CHOIX_1>=1)&&(i0.u3.COMPTEUR_5>=1))"))||("((i2.u0.CHOIX_1>=1)&&(i0.u3.COMPTEUR_4>=1))"))||("((i2.u0.CHOIX_1>=1)&&(i0.u3.COMPTEUR_3>=1))"))||("((i2.u0.CHOIX_1>=1)&&(i0.u3.COMPTEUR_9>=1))"))||("((i2.u0.CHOIX_1>=1)&&(i0.u3.COMPTEUR_8>=1))"))||("((i2.u0.CHOIX_1>=1)&&(i0.u3.COMPTEUR_7>=1))"))||("((i2.u0.CHOIX_1>=1)&&(i0.u3.COMPTEUR_2>=1))"))||("((i2.u0.CHOIX_1>=1)&&(i0.u3.COMPTEUR_1>=1))"))||("((i2.u0.CHOIX_1>=1)&&(i0.u3.COMPTEUR_0>=1))"))||("((i2.u0.CHOIX_0>=1)&&(i0.u3.COMPTEUR_9>=1))"))||("((i2.u0.CHOIX_0>=1)&&(i0.u3.COMPTEUR_8>=1))"))||("((i2.u0.CHOIX_0>=1)&&(i0.u3.COMPTEUR_5>=1))"))||("((i2.u0.CHOIX_0>=1)&&(i0.u3.COMPTEUR_4>=1))"))||("((i2.u0.CHOIX_0>=1)&&(i0.u3.COMPTEUR_7>=1))"))||("((i2.u0.CHOIX_0>=1)&&(i0.u3.COMPTEUR_6>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_20>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_70>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_46>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_58>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_44>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_60>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_56>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_68>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_72>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_34>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_12>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_24>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_38>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_66>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_14>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_48>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_22>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_32>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_9>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_21>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_33>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_78>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_2>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_59>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_41>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_10>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_49>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_80>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_31>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_15>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_63>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_65>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_39>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_29>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_41>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_25>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_77>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_51>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_43>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_73>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_19>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_61>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_17>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_71>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_4>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_27>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_53>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_28>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_64>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_7>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_38>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_54>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_36>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_67>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_44>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_5>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_26>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_75>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_20>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_46>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_44>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_68>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_34>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_30>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_56>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_78>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_42>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_24>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_48>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_12>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_14>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_32>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_58>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_22>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_69>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_9>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_2>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_31>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_80>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_59>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_10>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_70>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_49>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_65>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_39>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_15>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_63>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_29>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_41>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_77>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_51>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_3>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_55>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_17>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_73>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_19>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_43>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_61>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_71>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_1>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_53>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_4>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_28>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_16>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_38>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_7>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_36>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_18>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_67>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_26>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_75>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_54>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_5>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_57>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_68>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_32>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_46>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_10>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_34>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_58>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_60>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_30>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_79>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_24>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_56>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_12>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_48>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_35>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_76>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_2>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_40>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_59>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_78>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_47>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_33>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_11>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_13>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_80>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_31>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_39>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_18>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_62>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_27>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_51>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_75>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_63>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_25>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_77>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_3>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_29>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_55>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_61>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_7>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_4>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_1>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_53>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_45>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_50>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_28>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_74>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_52>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_6>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_54>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_5>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_57>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_8>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_26>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_58>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_34>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_10>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_32>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_60>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_56>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_48>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_30>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_79>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_14>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_24>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_22>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_35>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_76>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_9>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_11>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_59>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_47>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_33>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_78>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_2>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_64>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_62>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_39>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_49>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_31>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_80>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_51>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_3>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_75>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_25>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_77>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_63>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_29>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_6>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_55>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_61>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_73>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_1>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_53>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_4>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_27>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_26>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_52>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_50>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_28>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_7>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_21>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_74>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_37>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_54>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_36>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_5>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_57>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_8>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_23>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_27>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_20>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_65>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_79>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_48>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_55>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_6>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_30>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_1>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_9>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_35>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_14>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_71>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_50>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_28>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_78>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_54>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_69>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_47>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_38>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_16>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_72>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_77>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_62>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_23>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_13>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_3>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_22>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_8>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_32>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_70>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_15>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_60>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_37>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_4>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_74>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_25>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_19>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_66>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_40>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_45>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_21>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_33>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_57>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_26>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_52>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_76>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_73>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_42>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_11>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_59>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_64>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_67>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_18>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_34>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_27>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_20>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_68>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_79>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_6>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_30>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_35>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_55>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_1>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_56>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_17>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_45>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_40>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_71>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_47>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_50>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_69>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_78>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_16>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_72>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_54>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_31>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_5>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_28>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_23>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_44>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_62>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_13>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_70>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_3>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_8>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_32>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_15>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_60>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_53>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_37>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_25>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_4>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_19>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_66>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_64>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_52>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_45>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_21>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_76>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_33>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_2>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_57>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_43>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_74>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_42>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_11>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_18>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_67>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_65>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_20>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_68>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_24>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_46>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_42>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_43>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_1>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_35>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_17>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_6>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_30>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_58>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_14>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_79>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_9>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_71>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_62>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_23>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_38>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_50>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_16>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_7>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_69>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_41>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_72>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_13>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_75>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_44>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_15>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_63>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_8>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_29>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_70>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_34>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_51>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_56>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_74>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_11>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_37>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_19>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_66>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_40>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_22>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_57>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_64>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_52>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_45>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_21>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_43>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_12>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_73>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_36>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_67>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_49>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_80>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_5>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_18>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_46>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_39>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_72>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_65>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_61>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_68>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_20>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_24>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_43>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_58>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_17>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_9>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_71>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_14>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_79>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_47>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_16>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_23>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_7>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_69>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_50>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_38>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_41>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_44>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_75>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_62>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_13>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_10>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_26>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_77>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_70>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_15>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_8>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_63>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_25>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_60>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_11>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_66>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_19>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_74>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_37>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_48>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_40>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_22>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_64>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_45>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_21>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_76>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_12>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_73>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_42>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_18>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_49>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_67>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_36>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_39>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_63>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_15>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_65>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_41>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_55>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_51>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_29>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_3>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_77>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_43>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_73>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_61>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_17>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_19>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_37>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_71>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_27>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_53>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_4>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_16>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_7>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_54>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_36>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_75>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_26>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_44>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_5>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_58>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_46>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_20>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_70>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_34>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_68>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_56>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_60>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_72>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_24>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_12>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_38>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_66>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_14>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_22>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_48>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_32>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_76>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_9>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_2>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_33>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_78>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_31>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_59>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_10>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_21>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_49>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_80>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_65>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_39>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_63>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_13>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_29>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_41>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_51>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_3>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_77>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_55>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_73>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_19>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_17>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_61>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_71>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_27>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_53>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_28>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_16>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_7>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_52>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_45>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_38>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_6>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_5>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_36>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_67>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_75>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_26>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_44>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_46>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_68>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_10>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_34>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_20>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_56>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_66>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_24>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_12>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_30>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_42>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_48>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_14>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_22>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_32>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_58>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_9>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_69>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_2>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_80>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_31>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_59>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_70>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_49>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_51>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_27>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_3>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_39>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_75>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_63>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_25>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_77>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_41>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_17>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_61>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_55>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_6>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_29>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_7>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_69>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_53>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_4>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_1>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_28>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_26>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_74>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_52>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_54>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_36>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_46>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_57>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_5>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_23>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_34>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_10>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_32>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_46>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_58>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_60>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_30>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_24>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_56>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_79>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_12>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_48>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_35>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_2>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_33>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_40>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_76>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_59>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_11>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_78>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_47>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_80>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_39>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_18>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_62>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_31>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_13>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_51>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_27>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_3>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_65>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_75>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_77>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_29>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_25>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_61>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_55>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_6>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_73>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_7>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_53>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_1>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_4>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_50>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_52>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_28>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_26>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_72>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_54>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_36>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_8>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_57>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_5>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_23>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_58>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_10>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_34>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_32>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_56>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_60>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_37>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_48>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_24>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_12>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_22>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_79>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_30>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_76>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_35>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_2>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_9>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_59>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_11>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_78>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_64>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_47>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_33>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_62>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_49>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_80>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_31>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_10>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_3>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_32>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_15>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_60>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_37>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_11>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_74>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_25>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_4>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_40>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_61>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_66>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_26>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_33>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_45>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_57>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_76>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_52>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_43>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_21>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_59>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_42>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_64>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_18>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_49>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_8>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_67>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_27>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_20>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_65>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_79>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_6>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_55>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_30>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_1>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_35>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_14>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_38>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_71>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_78>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_50>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_16>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_54>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_47>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_69>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_72>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_77>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_28>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_62>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_31>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_13>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_23>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_15>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_70>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_8>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_3>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_25>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_60>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_37>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_53>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_4>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_66>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_19>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_40>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_45>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_33>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_76>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_2>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_64>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_52>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_21>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_57>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_43>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_74>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_42>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_11>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_59>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_18>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_67>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_27>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_5>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_68>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_29>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_79>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_1>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_55>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_56>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_6>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_17>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_35>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_30>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_40>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_78>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_47>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_50>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_69>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_16>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_41>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_72>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_54>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_28>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_80>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_23>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_31>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_13>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_44>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_62>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_63>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_15>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_8>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_70>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_51>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_29>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_34>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_74>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_37>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_40>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_19>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_66>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_22>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_57>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_21>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_64>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_52>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_45>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_12>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_73>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_49>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_67>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_80>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_18>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_36>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_53>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_39>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_13>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_65>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_68>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_46>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_20>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_1>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_42>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_35>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_43>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_6>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_14>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_17>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_58>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_9>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_79>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_71>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_16>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_47>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_2>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_50>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_7>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_38>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_69>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_41>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_72>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_23>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_44>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_8>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_70>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_22>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_63>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_15>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_51>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_11>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_12>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_66>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_19>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_37>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_74>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_25>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_48>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_40>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_33>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_76>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_64>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_45>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_21>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_73>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_42>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_18>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_67>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_49>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_36>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_46>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_39>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_65>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_20>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_72>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_68>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_61>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_24>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_42>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_17>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_35>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_43>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_9>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_71>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_14>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_47>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_23>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_16>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_38>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_69>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_50>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_41>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_13>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_44>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_75>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_10>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))"))||("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_62>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))")))))
Formula 0 simplified : !XF("((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_1>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_1>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_1>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_1>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_1>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_1>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_1>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_1>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_1>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_1>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_1>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_2>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_2>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_2>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_2>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_2>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_2>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_2>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_2>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_2>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_2>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_2>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_3>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_3>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_3>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_3>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_3>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_3>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_3>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_3>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_3>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_3>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_3>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_4>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_4>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_4>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_4>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_4>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_4>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_4>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_4>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_4>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_4>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_4>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_5>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_5>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_5>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_5>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_5>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_5>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_5>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_5>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_5>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_5>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_5>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_6>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_6>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_6>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_6>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_6>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_6>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_6>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_6>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_6>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_6>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_6>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_7>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_7>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_7>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_7>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_7>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_7>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_7>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_7>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_7>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_7>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_7>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_8>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_8>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_8>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_8>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_8>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_8>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_8>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_8>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_8>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_8>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_8>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_25>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_25>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_25>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_25>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_25>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_25>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_25>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_25>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_25>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_25>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_25>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_26>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_26>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_26>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_26>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_26>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_26>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_26>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_26>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_26>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_26>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_26>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_27>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_27>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_27>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_27>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_27>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_27>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_27>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_27>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_27>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_27>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_27>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_47>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_47>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_47>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_47>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_47>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_47>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_47>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_47>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_47>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_47>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_47>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_48>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_48>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_48>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_48>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_48>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_48>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_48>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_48>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_48>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_48>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_48>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_49>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_49>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_49>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_49>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_49>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_49>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_49>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_49>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_49>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_49>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_49>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_50>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_50>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_50>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_50>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_50>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_50>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_50>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_50>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_50>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_50>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_50>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_55>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_55>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_55>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_55>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_55>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_55>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_55>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_55>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_55>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_55>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_55>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_56>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_56>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_56>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_56>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_56>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_56>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_56>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_56>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_56>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_56>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_56>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_57>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_57>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_57>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_57>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_57>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_57>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_57>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_57>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_57>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_57>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_57>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_58>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_58>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_58>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_58>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_58>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_58>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_58>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_58>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_58>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_58>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_58>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_62>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_62>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_62>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_62>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_62>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_62>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_62>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_62>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_62>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_62>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_62>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_63>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_63>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_63>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_63>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_63>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_63>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_63>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_63>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_63>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_63>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_63>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_64>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_64>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_64>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_64>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_64>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_64>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_64>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_64>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_64>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_64>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_64>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_65>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_65>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_65>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_65>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_65>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_65>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_65>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_65>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_65>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_65>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_65>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_66>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_66>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_66>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_66>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_66>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_66>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_66>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_66>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_66>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_66>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_66>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_67>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_67>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_67>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_67>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_67>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_67>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_67>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_67>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_67>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_67>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_67>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_68>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_68>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_68>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_68>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_68>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_68>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_68>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_68>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_68>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_68>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_68>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_69>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_69>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_69>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_69>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_69>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_69>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_69>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_69>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_69>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_69>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_69>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_70>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_70>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_70>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_70>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_70>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_70>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_70>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_70>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_70>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_70>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_70>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_71>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_71>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_71>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_71>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_71>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_71>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_71>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_71>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_71>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_71>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_71>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_72>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_72>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_72>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_72>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_72>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_72>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_72>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_72>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_72>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_72>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_72>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_73>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_73>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_73>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_73>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_73>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_73>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_73>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_73>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_73>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_73>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_73>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_74>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_74>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_74>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_74>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_74>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_74>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_74>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_74>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_74>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_74>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_74>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_75>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_75>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_75>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_75>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_75>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_75>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_75>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_75>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_75>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_75>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_75>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_76>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_76>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_76>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_76>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_76>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_76>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_76>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_76>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_76>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_76>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i0.u1.NB_ATTENTE_B_76>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_9>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_9>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_9>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_9>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_9>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_9>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_9>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_9>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_9>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_9>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_9>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_10>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_10>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_10>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_10>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_10>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_10>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_10>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_10>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_10>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_10>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_10>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_11>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_11>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_11>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_11>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_11>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_11>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_11>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_11>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_11>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_11>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_11>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_12>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_12>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_12>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_12>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_12>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_12>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_12>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_12>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_12>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_12>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_12>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_13>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_13>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_13>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_13>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_13>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_13>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_13>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_13>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_13>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_13>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_13>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_14>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_14>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_14>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_14>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_14>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_14>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_14>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_14>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_14>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_14>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_14>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_15>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_15>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_15>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_15>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_15>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_15>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_15>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_15>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_15>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_15>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_15>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_16>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_16>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_16>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_16>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_16>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_16>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_16>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_16>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_16>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_16>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_16>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_17>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_17>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_17>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_17>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_17>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_17>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_17>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_17>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_17>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_17>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_17>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_18>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_18>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_18>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_18>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_18>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_18>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_18>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_18>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_18>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_18>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_18>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_19>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_19>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_19>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_19>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_19>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_19>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_19>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_19>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_19>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_19>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_19>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_20>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_20>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_20>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_20>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_20>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_20>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_20>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_20>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_20>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_20>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_20>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_21>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_21>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_21>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_21>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_21>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_21>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_21>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_21>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_21>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_21>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_21>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_22>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_22>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_22>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_22>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_22>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_22>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_22>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_22>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_22>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_22>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_22>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_23>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_23>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_23>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_23>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_23>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_23>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_23>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_23>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_23>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_23>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_23>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_24>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_24>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_24>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_24>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_24>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_24>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_24>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_24>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_24>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_24>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_24>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_28>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_28>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_28>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_28>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_28>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_28>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_28>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_28>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_28>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_28>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_28>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_29>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_29>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_29>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_29>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_29>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_29>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_29>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_29>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_29>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_29>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_29>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_30>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_30>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_30>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_30>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_30>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_30>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_30>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_30>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_30>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_30>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_30>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_31>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_31>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_31>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_31>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_31>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_31>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_31>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_31>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_31>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_31>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_31>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_32>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_32>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_32>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_32>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_32>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_32>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_32>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_32>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_32>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_32>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_32>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_33>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_33>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_33>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_33>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_33>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_33>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_33>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_33>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_33>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_33>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_33>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_34>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_34>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_34>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_34>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_34>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_34>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_34>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_34>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_34>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_34>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_34>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_35>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_35>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_35>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_35>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_35>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_35>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_35>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_35>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_35>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_35>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_35>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_36>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_36>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_36>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_36>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_36>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_36>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_36>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_36>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_36>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_36>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_36>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_37>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_37>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_37>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_37>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_37>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_37>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_37>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_37>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_37>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_37>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_37>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_38>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_38>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_38>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_38>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_38>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_38>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_38>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_38>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_38>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_38>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_38>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_39>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_39>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_39>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_39>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_39>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_39>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_39>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_39>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_39>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_39>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_39>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_40>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_40>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_40>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_40>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_40>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_40>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_40>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_40>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_40>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_40>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_40>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_41>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_41>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_41>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_41>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_41>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_41>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_41>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_41>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_41>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_41>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_41>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_42>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_42>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_42>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_42>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_42>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_42>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_42>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_42>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_42>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_42>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_42>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_43>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_43>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_43>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_43>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_43>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_43>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_43>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_43>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_43>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_43>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_43>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_44>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_44>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_44>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_44>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_44>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_44>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_44>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_44>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_44>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_44>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_44>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_45>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_45>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_45>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_45>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_45>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_45>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_45>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_45>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_45>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_45>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_45>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_46>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_46>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_46>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_46>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_46>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_46>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_46>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_46>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_46>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_46>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_46>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_51>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_51>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_51>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_51>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_51>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_51>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_51>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_51>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_51>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_51>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_51>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_52>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_52>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_52>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_52>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_52>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_52>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_52>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_52>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_52>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_52>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_52>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_53>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_53>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_53>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_53>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_53>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_53>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_53>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_53>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_53>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_53>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_53>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_54>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_54>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_54>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_54>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_54>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_54>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_54>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_54>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_54>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_54>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_54>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_59>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_59>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_59>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_59>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_59>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_59>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_59>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_59>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_59>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_59>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_59>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_60>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_60>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_60>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_60>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_60>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_60>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_60>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_60>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_60>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_60>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_60>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_61>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_61>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_61>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_61>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_61>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_61>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_61>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_61>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_61>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_61>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_61>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_77>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_77>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_77>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_77>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_77>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_77>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_77>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_77>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_77>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_77>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_77>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_78>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_78>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_78>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_78>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_78>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_78>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_78>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_78>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_78>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_78>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_78>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_79>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_79>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_79>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_79>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_79>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_79>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_79>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_79>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_79>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_79>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_79>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_80>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_0>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_80>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_1>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_80>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_2>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_80>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_3>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_80>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_4>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_80>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_5>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_80>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_6>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_80>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_7>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_80>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_8>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_80>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_9>=1))" | "((((i0.u1.NB_ATTENTE_A_0>=1)&&(i2.u4.NB_ATTENTE_B_80>=1))&&(i0.u1.CONTROLEUR_0>=1))&&(i0.u3.COMPTEUR_10>=1))" | "((i2.u0.CHOIX_0>=1)&&(i0.u3.COMPTEUR_0>=1))" | "((i2.u0.CHOIX_0>=1)&&(i0.u3.COMPTEUR_1>=1))" | "((i2.u0.CHOIX_0>=1)&&(i0.u3.COMPTEUR_2>=1))" | "((i2.u0.CHOIX_0>=1)&&(i0.u3.COMPTEUR_3>=1))" | "((i2.u0.CHOIX_0>=1)&&(i0.u3.COMPTEUR_4>=1))" | "((i2.u0.CHOIX_0>=1)&&(i0.u3.COMPTEUR_5>=1))" | "((i2.u0.CHOIX_0>=1)&&(i0.u3.COMPTEUR_6>=1))" | "((i2.u0.CHOIX_0>=1)&&(i0.u3.COMPTEUR_7>=1))" | "((i2.u0.CHOIX_0>=1)&&(i0.u3.COMPTEUR_8>=1))" | "((i2.u0.CHOIX_0>=1)&&(i0.u3.COMPTEUR_9>=1))" | "((i2.u0.CHOIX_1>=1)&&(i0.u3.COMPTEUR_0>=1))" | "((i2.u0.CHOIX_1>=1)&&(i0.u3.COMPTEUR_1>=1))" | "((i2.u0.CHOIX_1>=1)&&(i0.u3.COMPTEUR_2>=1))" | "((i2.u0.CHOIX_1>=1)&&(i0.u3.COMPTEUR_3>=1))" | "((i2.u0.CHOIX_1>=1)&&(i0.u3.COMPTEUR_4>=1))" | "((i2.u0.CHOIX_1>=1)&&(i0.u3.COMPTEUR_5>=1))" | "((i2.u0.CHOIX_1>=1)&&(i0.u3.COMPTEUR_6>=1))" | "((i2.u0.CHOIX_1>=1)&&(i0.u3.COMPTEUR_7>=1))" | "((i2.u0.CHOIX_1>=1)&&(i0.u3.COMPTEUR_8>=1))" | "((i2.u0.CHOIX_1>=1)&&(i0.u3.COMPTEUR_9>=1))" | "(i2.u0.SUR_PONT_A_0>=1)" | X("(((((((((((((((((((((i2.u0.CHOIX_0>=1)&&(i0.u3.COMPTEUR_1>=1))||((i2.u0.CHOIX_0>=1)&&(i0.u3.COMPTEUR_0>=1)))||((i2.u0.CHOIX_0>=1)&&(i0.u3.COMPTEUR_3>=1)))||((i2.u0.CHOIX_0>=1)&&(i0.u3.COMPTEUR_2>=1)))||((i2.u0.CHOIX_1>=1)&&(i0.u3.COMPTEUR_6>=1)))||((i2.u0.CHOIX_1>=1)&&(i0.u3.COMPTEUR_5>=1)))||((i2.u0.CHOIX_1>=1)&&(i0.u3.COMPTEUR_4>=1)))||((i2.u0.CHOIX_1>=1)&&(i0.u3.COMPTEUR_3>=1)))||((i2.u0.CHOIX_1>=1)&&(i0.u3.COMPTEUR_9>=1)))||((i2.u0.CHOIX_1>=1)&&(i0.u3.COMPTEUR_8>=1)))||((i2.u0.CHOIX_1>=1)&&(i0.u3.COMPTEUR_7>=1)))||((i2.u0.CHOIX_1>=1)&&(i0.u3.COMPTEUR_2>=1)))||((i2.u0.CHOIX_1>=1)&&(i0.u3.COMPTEUR_1>=1)))||((i2.u0.CHOIX_1>=1)&&(i0.u3.COMPTEUR_0>=1)))||((i2.u0.CHOIX_0>=1)&&(i0.u3.COMPTEUR_9>=1)))||((i2.u0.CHOIX_0>=1)&&(i0.u3.COMPTEUR_8>=1)))||((i2.u0.CHOIX_0>=1)&&(i0.u3.COMPTEUR_5>=1)))||((i2.u0.CHOIX_0>=1)&&(i0.u3.COMPTEUR_4>=1)))||((i2.u0.CHOIX_0>=1)&&(i0.u3.COMPTEUR_7>=1)))||((i2.u0.CHOIX_0>=1)&&(i0.u3.COMPTEUR_6>=1)))" & X"((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((i0.u1.NB_ATTENTE_B_62>=1)&&(i2.u4.ROUTE_B_0>=1))||((i2.u4.NB_ATTENTE_B_23>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i2.u4.NB_ATTENTE_B_52>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i2.u4.NB_ATTENTE_B_33>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i2.u4.NB_ATTENTE_B_13>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i2.u4.NB_ATTENTE_B_32>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i2.u4.NB_ATTENTE_B_42>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i2.u4.NB_ATTENTE_B_53>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i0.u1.NB_ATTENTE_B_72>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i0.u1.NB_ATTENTE_B_4>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i2.u4.NB_ATTENTE_B_51>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i0.u1.NB_ATTENTE_B_73>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i2.u4.NB_ATTENTE_B_34>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i2.u4.NB_ATTENTE_B_61>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i2.u4.NB_ATTENTE_B_44>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i2.u4.NB_ATTENTE_B_14>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i2.u4.NB_ATTENTE_B_31>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i2.u4.NB_ATTENTE_B_60>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i2.u4.NB_ATTENTE_B_43>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i2.u4.NB_ATTENTE_B_22>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i0.u1.NB_ATTENTE_B_5>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i0.u1.NB_ATTENTE_B_1>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i2.u4.NB_ATTENTE_B_45>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i2.u4.NB_ATTENTE_B_35>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i2.u4.NB_ATTENTE_B_79>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i2.u4.NB_ATTENTE_B_11>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i0.u1.NB_ATTENTE_B_69>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i0.u1.NB_ATTENTE_B_64>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i2.u4.NB_ATTENTE_B_30>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i2.u4.NB_ATTENTE_B_10>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i0.u1.NB_ATTENTE_B_70>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i0.u1.NB_ATTENTE_B_55>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i2.u4.NB_ATTENTE_B_36>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i0.u1.NB_ATTENTE_B_2>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i2.u4.NB_ATTENTE_B_21>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i2.u4.NB_ATTENTE_B_29>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i2.u4.NB_ATTENTE_B_80>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i0.u1.NB_ATTENTE_B_63>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i2.u4.NB_ATTENTE_B_46>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i2.u4.NB_ATTENTE_B_12>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i2.u4.NB_ATTENTE_B_37>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i2.u4.NB_ATTENTE_B_54>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i0.u1.NB_ATTENTE_B_71>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i2.u4.NB_ATTENTE_B_20>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i0.u1.NB_ATTENTE_B_3>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i2.u4.NB_ATTENTE_B_18>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i0.u1.NB_ATTENTE_B_67>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i0.u1.NB_ATTENTE_B_8>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i0.u1.NB_ATTENTE_B_47>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i2.u4.NB_ATTENTE_B_28>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i0.u1.NB_ATTENTE_B_76>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i0.u1.NB_ATTENTE_B_27>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i2.u4.NB_ATTENTE_B_19>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i2.u4.NB_ATTENTE_B_38>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i0.u1.NB_ATTENTE_B_57>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i0.u1.NB_ATTENTE_B_68>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i2.u4.NB_ATTENTE_B_17>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i2.u4.NB_ATTENTE_B_78>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i0.u1.NB_ATTENTE_B_48>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i2.u4.NB_ATTENTE_B_9>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i0.u1.NB_ATTENTE_B_26>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i2.u4.NB_ATTENTE_B_77>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i2.u4.NB_ATTENTE_B_39>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i0.u1.NB_ATTENTE_B_56>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i2.u4.NB_ATTENTE_B_40>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i0.u1.NB_ATTENTE_B_50>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i0.u1.NB_ATTENTE_B_65>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i2.u4.NB_ATTENTE_B_15>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i2.u4.NB_ATTENTE_B_59>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i0.u1.NB_ATTENTE_B_25>=1)&&(i2.u4.ROUTE_B_0>=1)))||((u2.NB_ATTENTE_B_0>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i0.u1.NB_ATTENTE_B_6>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i0.u1.NB_ATTENTE_B_74>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i0.u1.NB_ATTENTE_B_7>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i2.u4.NB_ATTENTE_B_24>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i0.u1.NB_ATTENTE_B_66>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i0.u1.NB_ATTENTE_B_49>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i2.u4.NB_ATTENTE_B_16>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i0.u1.NB_ATTENTE_B_58>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i2.u4.NB_ATTENTE_B_41>=1)&&(i2.u4.ROUTE_B_0>=1)))||((i0.u1.NB_ATTENTE_B_75>=1)&&(i2.u4.ROUTE_B_0>=1)))"))
Detected timeout of ITS tools.
Built C files in :
/tmp/ltsmin18261579443429802677
[2021-05-09 00:22:59] [INFO ] Built C files in 41ms conformant to PINS (ltsmin variant)in folder :/tmp/ltsmin18261579443429802677
Running compilation step : CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/include/, -I., -std=c99, -fPIC, -O2, model.c], workingDir=/tmp/ltsmin18261579443429802677]
WARNING : LTS min runner thread failed on error :java.lang.RuntimeException: Compilation or link of executable timed out.java.util.concurrent.TimeoutException: Subprocess running CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/include/, -I., -std=c99, -fPIC, -O2, model.c], workingDir=/tmp/ltsmin18261579443429802677] killed by timeout after 1 SECONDS
java.lang.RuntimeException: Compilation or link of executable timed out.java.util.concurrent.TimeoutException: Subprocess running CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/include/, -I., -std=c99, -fPIC, -O2, model.c], workingDir=/tmp/ltsmin18261579443429802677] killed by timeout after 1 SECONDS
at fr.lip6.move.gal.application.LTSminRunner$1.run(LTSminRunner.java:79)
at java.base/java.lang.Thread.run(Thread.java:834)
Treatment of property BridgeAndVehicles-COL-V80P20N10-03 finished in 45819 ms.
Running Spot : CommandLine [args=[/home/mcc/BenchKit/bin//..//ltl2tgba, --hoaf=tv, -f, !(G((F(G(p0))&&F(p1))))], workingDir=/home/mcc/execution]
Support contains 175 out of 185 places. Attempting structural reductions.
Starting structural reductions, iteration 0 : 185/185 places, 2108/2108 transitions.
Discarding 1 places :
Symmetric choice reduction at 0 with 1 rule applications. Total rules 1 place count 184 transition count 2107
Iterating global reduction 0 with 1 rules applied. Total rules applied 2 place count 184 transition count 2107
Applied a total of 2 rules in 97 ms. Remains 184 /185 variables (removed 1) and now considering 2107/2108 (removed 1) transitions.
[2021-05-09 00:23:01] [INFO ] Flow matrix only has 369 transitions (discarded 1738 similar events)
// Phase 1: matrix 369 rows 184 cols
[2021-05-09 00:23:01] [INFO ] Computed 5 place invariants in 10 ms
[2021-05-09 00:23:01] [INFO ] Dead Transitions using invariants and state equation in 734 ms returned []
[2021-05-09 00:23:01] [INFO ] Flow matrix only has 369 transitions (discarded 1738 similar events)
// Phase 1: matrix 369 rows 184 cols
[2021-05-09 00:23:01] [INFO ] Computed 5 place invariants in 1 ms
[2021-05-09 00:23:01] [INFO ] Implicit Places using invariants in 88 ms returned []
[2021-05-09 00:23:01] [INFO ] Flow matrix only has 369 transitions (discarded 1738 similar events)
// Phase 1: matrix 369 rows 184 cols
[2021-05-09 00:23:01] [INFO ] Computed 5 place invariants in 2 ms
[2021-05-09 00:23:02] [INFO ] State equation strengthened by 22 read => feed constraints.
[2021-05-09 00:23:02] [INFO ] Implicit Places using invariants and state equation in 206 ms returned []
Implicit Place search using SMT with State Equation took 296 ms to find 0 implicit places.
[2021-05-09 00:23:02] [INFO ] Redundant transitions in 110 ms returned []
[2021-05-09 00:23:02] [INFO ] Flow matrix only has 369 transitions (discarded 1738 similar events)
// Phase 1: matrix 369 rows 184 cols
[2021-05-09 00:23:02] [INFO ] Computed 5 place invariants in 4 ms
[2021-05-09 00:23:02] [INFO ] Dead Transitions using invariants and state equation in 565 ms returned []
Finished structural reductions, in 1 iterations. Remains : 184/185 places, 2107/2108 transitions.
Stuttering acceptance computed with spot in 90 ms :[(OR (NOT p0) (NOT p1)), (NOT p0), (NOT p1)]
Running random walk in product with property : BridgeAndVehicles-COL-V80P20N10-04 automaton TGBA [mat=[[{ cond=true, acceptance={} source=0 dest: 0}, { cond=(NOT p0), acceptance={} source=0 dest: 1}, { cond=(NOT p1), acceptance={} source=0 dest: 2}], [{ cond=(NOT p0), acceptance={0} source=1 dest: 1}, { cond=p0, acceptance={} source=1 dest: 1}], [{ cond=(NOT p1), acceptance={0} source=2 dest: 2}]], initial=0, aps=[p0:(OR (AND (GEQ s0 1) (GEQ s105 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s136 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s129 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s160 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s153 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s98 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s95 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s126 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s143 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s112 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s91 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s140 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s109 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s122 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s101 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s150 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s88 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s119 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s132 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s163 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s118 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s111 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s144 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s125 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s135 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s85 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s147 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s108 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s99 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s161 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s121 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s115 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s157 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s102 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s151 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s164 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s89 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s92 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s128 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s154 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s141 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s124 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s93 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s148 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s155 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s100 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s131 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s162 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s107 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s86 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s138 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s117 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s103 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s134 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s90 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s127 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s96 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s145 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s158 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s137 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s114 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s130 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s87 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s106 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s149 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s142 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s104 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s156 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s113 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s116 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s94 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s152 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s133 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s139 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s120 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s146 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s159 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s97 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s123 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s110 1) (GEQ s165 1) (GEQ s168 1))), p1:(OR (AND (GEQ s10 1) (GEQ s84 1) (GEQ s168 1) (GEQ s175 1)) (AND (GEQ s31 1) (GEQ s84 1) (GEQ s168 1) (GEQ s181 1)) (AND (GEQ s48 1) (GEQ s84 1) (GEQ s168 1) (GEQ s175 1)) (AND (GEQ s69 1) (GEQ s84 1) (GEQ s168 1) (GEQ s181 1)) (AND (GEQ s26 1) (GEQ s84 1) (GEQ s168 1) (GEQ s174 1)) (AND (GEQ s47 1) (GEQ s84 1) (GEQ s168 1) (GEQ s180 1)) (AND (GEQ s42 1) (GEQ s84 1) (GEQ s168 1) (GEQ s173 1)) (AND (GEQ s63 1) (GEQ s84 1) (GEQ s168 1) (GEQ s179 1)) (AND (GEQ s25 1) (GEQ s84 1) (GEQ s168 1) (GEQ s179 1)) (AND (GEQ s4 1) (GEQ s84 1) (GEQ s168 1) (GEQ s173 1)) (AND (GEQ s64 1) (GEQ s84 1) (GEQ s168 1) (GEQ s174 1)) (AND (GEQ s17 1) (GEQ s84 1) (GEQ s168 1) (GEQ s172 1)) (AND (GEQ s16 1) (GEQ s84 1) (GEQ s168 1) (GEQ s177 1)) (AND (GEQ s36 1) (GEQ s84 1) (GEQ s168 1) (GEQ s171 1)) (AND (GEQ s60 1) (GEQ s84 1) (GEQ s168 1) (GEQ s179 1)) (AND (GEQ s20 1) (GEQ s84 1) (GEQ s168 1) (GEQ s172 1)) (AND (GEQ s19 1) (GEQ s84 1) (GEQ s168 1) (GEQ s177 1)) (AND (GEQ s54 1) (GEQ s84 1) (GEQ s168 1) (GEQ s177 1)) (AND (GEQ s55 1) (GEQ s84 1) (GEQ s168 1) (GEQ s172 1)) (AND (GEQ s44 1) (GEQ s84 1) (GEQ s168 1) (GEQ s180 1)) (AND (GEQ s71 1) (GEQ s84 1) (GEQ s168 1) (GEQ s171 1)) (AND (GEQ s35 1) (GEQ s84 1) (GEQ s168 1) (GEQ s176 1)) (AND (GEQ s70 1) (GEQ s84 1) (GEQ s168 1) (GEQ s176 1)) (AND (GEQ s34 1) (GEQ s84 1) (GEQ s168 1) (GEQ s181 1)) (AND (GEQ s80 1) (GEQ s84 1) (GEQ s168 1) (GEQ s173 1)) (AND (GEQ s79 1) (GEQ s84 1) (GEQ s168 1) (GEQ s178 1)) (AND (GEQ s9 1) (GEQ s84 1) (GEQ s168 1) (GEQ s180 1)) (AND (GEQ s45 1) (GEQ s84 1) (GEQ s168 1) (GEQ s175 1)) (AND (GEQ s29 1) (GEQ s84 1) (GEQ s168 1) (GEQ s176 1)) (AND (GEQ s13 1) (GEQ s84 1) (GEQ s168 1) (GEQ s175 1)) (AND (GEQ s68 1) (GEQ s84 1) (GEQ s168 1) (GEQ s171 1)) (AND (GEQ s7 1) (GEQ s84 1) (GEQ s168 1) (GEQ s173 1)) (AND (GEQ s66 1) (GEQ s84 1) (GEQ s168 1) (GEQ s181 1)) (AND (GEQ s67 1) (GEQ s84 1) (GEQ s168 1) (GEQ s174 1)) (AND (GEQ s61 1) (GEQ s84 1) (GEQ s168 1) (GEQ s172 1)) (AND (GEQ s14 1) (GEQ s84 1) (GEQ s168 1) (GEQ s172 1)) (AND (GEQ s28 1) (GEQ s84 1) (GEQ s168 1) (GEQ s179 1)) (AND (GEQ s50 1) (GEQ s84 1) (GEQ s168 1) (GEQ s180 1)) (AND (GEQ s51 1) (GEQ s84 1) (GEQ s168 1) (GEQ s177 1)) (AND (GEQ s76 1) (GEQ s84 1) (GEQ s168 1) (GEQ s176 1)) (AND (GEQ s3 1) (GEQ s84 1) (GEQ s168 1) (GEQ s180 1)) (AND (GEQ s38 1) (GEQ s84 1) (GEQ s168 1) (GEQ s178 1)) (AND (GEQ s39 1) (GEQ s84 1) (GEQ s168 1) (GEQ s171 1)) (AND (GEQ s74 1) (GEQ s84 1) (GEQ s168 1) (GEQ s173 1)) (AND (GEQ s77 1) (GEQ s84 1) (GEQ s168 1) (GEQ s173 1)) (AND (GEQ s41 1) (GEQ s84 1) (GEQ s168 1) (GEQ s178 1)) (AND (GEQ s73 1) (GEQ s84 1) (GEQ s168 1) (GEQ s176 1)) (AND (GEQ s6 1) (GEQ s84 1) (GEQ s168 1) (GEQ s180 1)) (AND (GEQ s23 1) (GEQ s84 1) (GEQ s168 1) (GEQ s174 1)) (AND (GEQ s32 1) (GEQ s84 1) (GEQ s168 1) (GEQ s176 1)) (AND (GEQ s57 1) (GEQ s84 1) (GEQ s168 1) (GEQ s179 1)) (AND (GEQ s22 1) (GEQ s84 1) (GEQ s168 1) (GEQ s177 1)) (AND (GEQ s58 1) (GEQ s84 1) (GEQ s168 1) (GEQ s172 1)) (AND (GEQ s48 1) (GEQ s84 1) (GEQ s168 1) (GEQ s177 1)) (AND (GEQ s10 1) (GEQ s84 1) (GEQ s168 1) (GEQ s173 1)) (AND (GEQ s27 1) (GEQ s84 1) (GEQ s168 1) (GEQ s171 1)) (AND (GEQ s47 1) (GEQ s84 1) (GEQ s168 1) (GEQ s178 1)) (AND (GEQ s49 1) (GEQ s84 1) (GEQ s168 1) (GEQ s172 1)) (AND (GEQ s16 1) (GEQ s84 1) (GEQ s168 1) (GEQ s179 1)) (AND (GEQ s25 1) (GEQ s84 1) (GEQ s168 1) (GEQ s177 1)) (AND (GEQ s42 1) (GEQ s84 1) (GEQ s168 1) (GEQ s175 1)) (AND (GEQ s63 1) (GEQ s84 1) (GEQ s168 1) (GEQ s181 1)) (AND (GEQ s26 1) (GEQ s84 1) (GEQ s168 1) (GEQ s176 1)) (AND (GEQ s64 1) (GEQ s84 1) (GEQ s168 1) (GEQ s176 1)) (AND (GEQ s4 1) (GEQ s84 1) (GEQ s168 1) (GEQ s175 1)) (AND (GEQ s38 1) (GEQ s84 1) (GEQ s168 1) (GEQ s180 1)) (AND (GEQ s54 1) (GEQ s84 1) (GEQ s168 1) (GEQ s175 1)) (AND (GEQ s19 1) (GEQ s84 1) (GEQ s168 1) (GEQ s179 1)) (AND (GEQ s60 1) (GEQ s84 1) (GEQ s168 1) (GEQ s181 1)) (AND (GEQ s44 1) (GEQ s84 1) (GEQ s168 1) (GEQ s178 1)) (AND (GEQ s70 1) (GEQ s84 1) (GEQ s168 1) (GEQ s174 1)) (AND (GEQ s80 1) (GEQ s84 1) (GEQ s168 1) (GEQ s171 1)) (AND (GEQ s71 1) (GEQ s84 1) (GEQ s168 1) (GEQ s173 1)) (AND (GEQ s79 1) (GEQ s84 1) (GEQ s168 1) (GEQ s180 1)) (AND (GEQ s35 1) (GEQ s84 1) (GEQ s168 1) (GEQ s174 1)) (AND (GEQ s69 1) (GEQ s84 1) (GEQ s168 1) (GEQ s179 1)) (AND (GEQ s9 1) (GEQ s84 1) (GEQ s168 1) (GEQ s178 1)) (AND (GEQ s45 1) (GEQ s84 1) (GEQ s168 1) (GEQ s177 1)) (AND (GEQ s46 1) (GEQ s84 1) (GEQ s168 1) (GEQ s172 1)) (AND (GEQ s11 1) (GEQ s84 1) (GEQ s168 1) (GEQ s172 1)) (AND (GEQ s67 1) (GEQ s84 1) (GEQ s168 1) (GEQ s176 1)) (AND (GEQ s29 1) (GEQ s84 1) (GEQ s168 1) (GEQ s174 1)) (AND (GEQ s50 1) (GEQ s84 1) (GEQ s168 1) (GEQ s178 1)) (AND (GEQ s68 1) (GEQ s84 1) (GEQ s168 1) (GEQ s173 1)) (AND (GEQ s13 1) (GEQ s84 1) (GEQ s168 1) (GEQ s173 1)) (AND (GEQ s7 1) (GEQ s84 1) (GEQ s168 1) (GEQ s175 1)) (AND (GEQ s66 1) (GEQ s84 1) (GEQ s168 1) (GEQ s179 1)) (AND (GEQ s8 1) (GEQ s84 1) (GEQ s168 1) (GEQ s172 1)) (AND (GEQ s6 1) (GEQ s84 1) (GEQ s168 1) (GEQ s178 1)) (AND (GEQ s30 1) (GEQ s84 1) (GEQ s168 1) (GEQ s171 1)) (AND (GEQ s77 1) (GEQ s84 1) (GEQ s168 1) (GEQ s171 1)) (AND (GEQ s51 1) (GEQ s84 1) (GEQ s168 1) (GEQ s175 1)) (AND (GEQ s28 1) (GEQ s84 1) (GEQ s168 1) (GEQ s177 1)) (AND (GEQ s52 1) (GEQ s84 1) (GEQ s168 1) (GEQ s172 1)) (AND (GEQ s74 1) (GEQ s84 1) (GEQ s168 1) (GEQ s171 1)) (AND (GEQ s76 1) (GEQ s84 1) (GEQ s168 1) (GEQ s174 1)) (AND (GEQ s3 1) (GEQ s84 1) (GEQ s168 1) (GEQ s178 1)) (AND (GEQ s2 1) (GEQ s84 1) (GEQ s168 1) (GEQ s181 1)) (AND (GEQ s73 1) (GEQ s84 1) (GEQ s168 1) (GEQ s174 1)) (AND (GEQ s5 1) (GEQ s84 1) (GEQ s168 1) (GEQ s181 1)) (AND (GEQ s41 1) (GEQ s84 1) (GEQ s168 1) (GEQ s180 1)) (AND (GEQ s42 1) (GEQ s84 1) (GEQ s168 1) (GEQ s177 1)) (AND (GEQ s32 1) (GEQ s84 1) (GEQ s168 1) (GEQ s174 1)) (AND (GEQ s23 1) (GEQ s84 1) (GEQ s168 1) (GEQ s176 1)) (AND (GEQ s33 1) (GEQ s84 1) (GEQ s168 1) (GEQ s171 1)) (AND (GEQ s57 1) (GEQ s84 1) (GEQ s168 1) (GEQ s181 1)) (AND (GEQ s22 1) (GEQ s84 1) (GEQ s168 1) (GEQ s179 1)) (AND (GEQ s27 1) (GEQ s84 1) (GEQ s168 1) (GEQ s173 1)) (AND (GEQ s70 1) (GEQ s84 1) (GEQ s168 1) (GEQ s180 1)) (AND (GEQ s31 1) (GEQ s84 1) (GEQ s168 1) (GEQ s177 1)) (AND (GEQ s49 1) (GEQ s84 1) (GEQ s168 1) (GEQ s174 1)) (AND (GEQ s48 1) (GEQ s84 1) (GEQ s168 1) (GEQ s179 1)) (AND (GEQ s53 1) (GEQ s84 1) (GEQ s168 1) (GEQ s178 1)) (AND (GEQ s15 1) (GEQ s84 1) (GEQ s168 1) (GEQ s178 1)) (AND (GEQ s64 1) (GEQ s84 1) (GEQ s168 1) (GEQ s178 1)) (AND (GEQ s26 1) (GEQ s84 1) (GEQ s168 1) (GEQ s178 1)) (AND (GEQ s16 1) (GEQ s84 1) (GEQ s168 1) (GEQ s173 1)) (AND (GEQ s17 1) (GEQ s84 1) (GEQ s168 1) (GEQ s176 1)) (AND (GEQ s75 1) (GEQ s84 1) (GEQ s168 1) (GEQ s179 1)) (AND (GEQ s4 1) (GEQ s84 1) (GEQ s168 1) (GEQ s177 1)) (AND (GEQ s59 1) (GEQ s84 1) (GEQ s168 1) (GEQ s180 1)) (AND (GEQ s56 1) (GEQ s84 1) (GEQ s168 1) (GEQ s171 1)) (AND (GEQ s19 1) (GEQ s84 1) (GEQ s168 1) (GEQ s181 1)) (AND (GEQ s55 1) (GEQ s84 1) (GEQ s168 1) (GEQ s176 1)) (AND (GEQ s60 1) (GEQ s84 1) (GEQ s168 1) (GEQ s175 1)) (AND (GEQ s2 1) (GEQ s84 1) (GEQ s168 1) (GEQ s172 1)) (AND (GEQ s54 1) (GEQ s84 1) (GEQ s168 1) (GEQ s181 1)) (AND (GEQ s71 1) (GEQ s84 1) (GEQ s168 1) (GEQ s175 1)) (AND (GEQ s8 1) (GEQ s84 1) (GEQ s168 1) (GEQ s181 1)) (AND (GEQ s43 1) (GEQ s84 1) (GEQ s168 1) (GEQ s181 1)) (AND (GEQ s44 1) (GEQ s84 1) (GEQ s168 1) (GEQ s176 1)) (AND (GEQ s35 1) (GEQ s84 1) (GEQ s168 1) (GEQ s172 1)) (AND (GEQ s11 1) (GEQ s84 1) (GEQ s168 1) (GEQ s174 1)) (AND (GEQ s10 1) (GEQ s84 1) (GEQ s168 1) (GEQ s179 1)) (AND (GEQ s50 1) (GEQ s84 1) (GEQ s168 1) (GEQ s176 1)) (AND (GEQ s65 1) (GEQ s84 1) (GEQ s168 1) (GEQ s180 1)) (AND (GEQ s66 1) (GEQ s84 1) (GEQ s168 1) (GEQ s177 1)) (AND (GEQ s6 1) (GEQ s84 1) (GEQ s168 1) (GEQ s176 1)) (AND (GEQ s51 1) (GEQ s84 1) (GEQ s168 1) (GEQ s173 1)) (AND (GEQ s61 1) (GEQ s84 1) (GEQ s168 1) (GEQ s176 1)) (AND (GEQ s62 1) (GEQ s84 1) (GEQ s168 1) (GEQ s173 1)) (AND (GEQ s5 1) (GEQ s84 1) (GEQ s168 1) (GEQ s179 1)) (AND (GEQ s40 1) (GEQ s84 1) (GEQ s168 1) (GEQ s172 1)) (AND (GEQ s29 1) (GEQ s84 1) (GEQ s168 1) (GEQ s172 1)) (AND (GEQ s28 1) (GEQ s84 1) (GEQ s168 1) (GEQ s175 1)) (AND (GEQ s38 1) (GEQ s84 1) (GEQ s168 1) (GEQ s174 1)) (AND (GEQ s21 1) (GEQ s84 1) (GEQ s168 1) (GEQ s180 1)) (AND (GEQ s39 1) (GEQ s84 1) (GEQ s168 1) (GEQ s175 1)) (AND (GEQ s77 1) (GEQ s84 1) (GEQ s168 1) (GEQ s177 1)) (AND (GEQ s76 1) (GEQ s84 1) (GEQ s168 1) (GEQ s180 1)) (AND (GEQ s37 1) (GEQ s84 1) (GEQ s168 1) (GEQ s177 1)) (AND (GEQ s73 1) (GEQ s84 1) (GEQ s168 1) (GEQ s172 1)) (AND (GEQ s42 1) (GEQ s84 1) (GEQ s168 1) (GEQ s179 1)) (AND (GEQ s33 1) (GEQ s84 1) (GEQ s168 1) (GEQ s173 1)) (AND (GEQ s13 1) (GEQ s84 1) (GEQ s168 1) (GEQ s171 1)) (AND (GEQ s24 1) (GEQ s84 1) (GEQ s168 1) (GEQ s171 1)) (AND (GEQ s81 1) (GEQ s84 1) (GEQ s168 1) (GEQ s181 1)) (AND (GEQ s22 1) (GEQ s84 1) (GEQ s168 1) (GEQ s173 1)) (AND (GEQ s32 1) (GEQ s84 1) (GEQ s168 1) (GEQ s180 1)) (AND (GEQ s11 1) (GEQ s84 1) (GEQ s168 1) (GEQ s176 1)) (AND (GEQ s31 1) (GEQ s84 1) (GEQ s168 1) (GEQ s179 1)) (AND (GEQ s49 1) (GEQ s84 1) (GEQ s168 1) (GEQ s176 1)) (AND (GEQ s48 1) (GEQ s84 1) (GEQ s168 1) (GEQ s181 1)) (AND (GEQ s26 1) (GEQ s84 1) (GEQ s168 1) (GEQ s180 1)) (AND (GEQ s53 1) (GEQ s84 1) (GEQ s168 1) (GEQ s180 1)) (AND (GEQ s64 1) (GEQ s84 1) (GEQ s168 1) (GEQ s180 1)) (AND (GEQ s25 1) (GEQ s84 1) (GEQ s168 1) (GEQ s181 1)) (AND (GEQ s37 1) (GEQ s84 1) (GEQ s168 1) (GEQ s181 1)) (AND (GEQ s17 1) (GEQ s84 1) (GEQ s168 1) (GEQ s174 1)) (AND (GEQ s75 1) (GEQ s84 1) (GEQ s168 1) (GEQ s181 1)) (AND (GEQ s27 1) (GEQ s84 1) (GEQ s168 1) (GEQ s175 1)) (AND (GEQ s4 1) (GEQ s84 1) (GEQ s168 1) (GEQ s179 1)) (AND (GEQ s14 1) (GEQ s84 1) (GEQ s168 1) (GEQ s181 1)) (AND (GEQ s16 1) (GEQ s84 1) (GEQ s168 1) (GEQ s175 1)) (AND (GEQ s15 1) (GEQ s84 1) (GEQ s168 1) (GEQ s180 1)) (AND (GEQ s56 1) (GEQ s84 1) (GEQ s168 1) (GEQ s173 1)) (AND (GEQ s59 1) (GEQ s84 1) (GEQ s168 1) (GEQ s178 1)) (AND (GEQ s72 1) (GEQ s84 1) (GEQ s168 1) (GEQ s172 1)) (AND (GEQ s55 1) (GEQ s84 1) (GEQ s168 1) (GEQ s174 1)) (AND (GEQ s54 1) (GEQ s84 1) (GEQ s168 1) (GEQ s179 1)) (AND (GEQ s60 1) (GEQ s84 1) (GEQ s168 1) (GEQ s177 1)) (AND (GEQ s71 1) (GEQ s84 1) (GEQ s168 1) (GEQ s177 1)) (AND (GEQ s43 1) (GEQ s84 1) (GEQ s168 1) (GEQ s179 1)) (AND (GEQ s44 1) (GEQ s84 1) (GEQ s168 1) (GEQ s174 1)) (AND (GEQ s70 1) (GEQ s84 1) (GEQ s168 1) (GEQ s178 1)) (AND (GEQ s45 1) (GEQ s84 1) (GEQ s168 1) (GEQ s173 1)) (AND (GEQ s12 1) (GEQ s84 1) (GEQ s168 1) (GEQ s171 1)) (AND (GEQ s10 1) (GEQ s84 1) (GEQ s168 1) (GEQ s177 1)) (AND (GEQ s28 1) (GEQ s84 1) (GEQ s168 1) (GEQ s173 1)) (AND (GEQ s66 1) (GEQ s84 1) (GEQ s168 1) (GEQ s175 1)) (AND (GEQ s45 1) (GEQ s84 1) (GEQ s168 1) (GEQ s171 1)) (AND (GEQ s7 1) (GEQ s84 1) (GEQ s168 1) (GEQ s171 1)) (AND (GEQ s50 1) (GEQ s84 1) (GEQ s168 1) (GEQ s174 1)) (AND (GEQ s62 1) (GEQ s84 1) (GEQ s168 1) (GEQ s171 1)) (AND (GEQ s65 1) (GEQ s84 1) (GEQ s168 1) (GEQ s178 1)) (AND (GEQ s67 1) (GEQ s84 1) (GEQ s168 1) (GEQ s172 1)) (AND (GEQ s51 1) (GEQ s84 1) (GEQ s168 1) (GEQ s171 1)) (AND (GEQ s61 1) (GEQ s84 1) (GEQ s168 1) (GEQ s174 1)) (AND (GEQ s5 1) (GEQ s84 1) (GEQ s168 1) (GEQ s177 1)) (AND (GEQ s6 1) (GEQ s84 1) (GEQ s168 1) (GEQ s174 1)) (AND (GEQ s18 1) (GEQ s84 1) (GEQ s168 1) (GEQ s171 1)) (AND (GEQ s21 1) (GEQ s84 1) (GEQ s168 1) (GEQ s178 1)) (AND (GEQ s20 1) (GEQ s84 1) (GEQ s168 1) (GEQ s181 1)) (AND (GEQ s39 1) (GEQ s84 1) (GEQ s168 1) (GEQ s173 1)) (AND (GEQ s77 1) (GEQ s84 1) (GEQ s168 1) (GEQ s175 1)) (AND (GEQ s38 1) (GEQ s84 1) (GEQ s168 1) (GEQ s176 1)) (AND (GEQ s76 1) (GEQ s84 1) (GEQ s168 1) (GEQ s178 1)) (AND (GEQ s37 1) (GEQ s84 1) (GEQ s168 1) (GEQ s179 1)) (AND (GEQ s42 1) (GEQ s84 1) (GEQ s168 1) (GEQ s181 1)) (AND (GEQ s78 1) (GEQ s84 1) (GEQ s168 1) (GEQ s172 1)) (AND (GEQ s23 1) (GEQ s84 1) (GEQ s168 1) (GEQ s172 1)) (AND (GEQ s33 1) (GEQ s84 1) (GEQ s168 1) (GEQ s175 1)) (AND (GEQ s81 1) (GEQ s84 1) (GEQ s168 1) (GEQ s179 1)) (AND (GEQ s22 1) (GEQ s84 1) (GEQ s168 1) (GEQ s175 1)) (AND (GEQ s32 1) (GEQ s84 1) (GEQ s168 1) (GEQ s178 1)) (AND (GEQ s34 1) (GEQ s84 1) (GEQ s168 1) (GEQ s172 1)) (AND (GEQ s11 1) (GEQ s84 1) (GEQ s168 1) (GEQ s178 1)) (AND (GEQ s30 1) (GEQ s84 1) (GEQ s168 1) (GEQ s178 1)) (AND (GEQ s66 1) (GEQ s84 1) (GEQ s168 1) (GEQ s172 1)) (AND (GEQ s47 1) (GEQ s84 1) (GEQ s168 1) (GEQ s172 1)) (AND (GEQ s31 1) (GEQ s84 1) (GEQ s168 1) (GEQ s173 1)) (AND (GEQ s50 1) (GEQ s84 1) (GEQ s168 1) (GEQ s173 1)) (AND (GEQ s65 1) (GEQ s84 1) (GEQ s168 1) (GEQ s177 1)) (AND (GEQ s71 1) (GEQ s84 1) (GEQ s168 1) (GEQ s179 1)) (AND (GEQ s62 1) (GEQ s84 1) (GEQ s168 1) (GEQ s176 1)) (AND (GEQ s5 1) (GEQ s84 1) (GEQ s168 1) (GEQ s176 1)) (AND (GEQ s15 1) (GEQ s84 1) (GEQ s168 1) (GEQ s174 1)) (AND (GEQ s14 1) (GEQ s84 1) (GEQ s168 1) (GEQ s179 1)) (AND (GEQ s61 1) (GEQ s84 1) (GEQ s168 1) (GEQ s181 1)) (AND (GEQ s63 1) (GEQ s84 1) (GEQ s168 1) (GEQ s171 1)) (AND (GEQ s6 1) (GEQ s84 1) (GEQ s168 1) (GEQ s171 1)) (AND (GEQ s27 1) (GEQ s84 1) (GEQ s168 1) (GEQ s177 1)) (AND (GEQ s39 1) (GEQ s84 1) (GEQ s168 1) (GEQ s181 1)) (AND (GEQ s75 1) (GEQ s84 1) (GEQ s168 1) (GEQ s175 1)) (AND (GEQ s74 1) (GEQ s84 1) (GEQ s168 1) (GEQ s180 1)) (AND (GEQ s77 1) (GEQ s84 1) (GEQ s168 1) (GEQ s180 1)) (AND (GEQ s18 1) (GEQ s84 1) (GEQ s168 1) (GEQ s174 1)) (AND (GEQ s21 1) (GEQ s84 1) (GEQ s168 1) (GEQ s175 1)) (AND (GEQ s20 1) (GEQ s84 1) (GEQ s168 1) (GEQ s180 1)) (AND (GEQ s59 1) (GEQ s84 1) (GEQ s168 1) (GEQ s176 1)) (AND (GEQ s58 1) (GEQ s84 1) (GEQ s168 1) (GEQ s181 1)) (AND (GEQ s56 1) (GEQ s84 1) (GEQ s168 1) (GEQ s175 1)) (AND (GEQ s36 1) (GEQ s84 1) (GEQ s168 1) (GEQ s179 1)) (AND (GEQ s72 1) (GEQ s84 1) (GEQ s168 1) (GEQ s174 1)) (AND (GEQ s37 1) (GEQ s84 1) (GEQ s168 1) (GEQ s174 1)) (AND (GEQ s78 1) (GEQ s84 1) (GEQ s168 1) (GEQ s175 1)) (AND (GEQ s60 1) (GEQ s84 1) (GEQ s168 1) (GEQ s171 1)) (AND (GEQ s43 1) (GEQ s84 1) (GEQ s168 1) (GEQ s177 1)) (AND (GEQ s55 1) (GEQ s84 1) (GEQ s168 1) (GEQ s180 1)) (AND (GEQ s12 1) (GEQ s84 1) (GEQ s168 1) (GEQ s173 1)) (AND (GEQ s34 1) (GEQ s84 1) (GEQ s168 1) (GEQ s173 1)) (AND (GEQ s33 1) (GEQ s84 1) (GEQ s168 1) (GEQ s178 1)) (AND (GEQ s81 1) (GEQ s84 1) (GEQ s168 1) (GEQ s176 1)) (AND (GEQ s80 1) (GEQ s84 1) (GEQ s168 1) (GEQ s181 1)) (AND (GEQ s9 1) (GEQ s84 1) (GEQ s168 1) (GEQ s171 1)) (AND (GEQ s49 1) (GEQ s84 1) (GEQ s168 1) (GEQ s179 1)) (AND (GEQ s68 1) (GEQ s84 1) (GEQ s168 1) (GEQ s179 1)) (AND (GEQ s28 1) (GEQ s84 1) (GEQ s168 1) (GEQ s171 1)) (AND (GEQ s25 1) (GEQ s84 1) (GEQ s168 1) (GEQ s172 1)) (AND (GEQ s44 1) (GEQ s84 1) (GEQ s168 1) (GEQ s172 1)) (AND (GEQ s52 1) (GEQ s84 1) (GEQ s168 1) (GEQ s178 1)) (AND (GEQ s53 1) (GEQ s84 1) (GEQ s168 1) (GEQ s175 1)) (AND (GEQ s17 1) (GEQ s84 1) (GEQ s168 1) (GEQ s179 1)) (AND (GEQ s40 1) (GEQ s84 1) (GEQ s168 1) (GEQ s176 1)) (AND (GEQ s3 1) (GEQ s84 1) (GEQ s168 1) (GEQ s172 1)) (AND (GEQ s2 1) (GEQ s84 1) (GEQ s168 1) (GEQ s175 1)) (AND (GEQ s24 1) (GEQ s84 1) (GEQ s168 1) (GEQ s175 1)) (AND (GEQ s69 1) (GEQ s84 1) (GEQ s168 1) (GEQ s172 1)) (AND (GEQ s7 1) (GEQ s84 1) (GEQ s168 1) (GEQ s181 1)) (AND (GEQ s8 1) (GEQ s84 1) (GEQ s168 1) (GEQ s178 1)) (AND (GEQ s46 1) (GEQ s84 1) (GEQ s168 1) (GEQ s179 1)) (AND (GEQ s65 1) (GEQ s84 1) (GEQ s168 1) (GEQ s175 1)) (AND (GEQ s12 1) (GEQ s84 1) (GEQ s168 1) (GEQ s175 1)) (AND (GEQ s11 1) (GEQ s84 1) (GEQ s168 1) (GEQ s180 1)) (AND (GEQ s29 1) (GEQ s84 1) (GEQ s168 1) (GEQ s181 1)) (AND (GEQ s50 1) (GEQ s84 1) (GEQ s168 1) (GEQ s171 1)) (AND (GEQ s31 1) (GEQ s84 1) (GEQ s168 1) (GEQ s175 1)) (AND (GEQ s30 1) (GEQ s84 1) (GEQ s168 1) (GEQ s180 1)) (AND (GEQ s71 1) (GEQ s84 1) (GEQ s168 1) (GEQ s181 1)) (AND (GEQ s5 1) (GEQ s84 1) (GEQ s168 1) (GEQ s174 1)) (AND (GEQ s15 1) (GEQ s84 1) (GEQ s168 1) (GEQ s176 1)) (AND (GEQ s14 1) (GEQ s84 1) (GEQ s168 1) (GEQ s177 1)) (AND (GEQ s61 1) (GEQ s84 1) (GEQ s168 1) (GEQ s179 1)) (AND (GEQ s62 1) (GEQ s84 1) (GEQ s168 1) (GEQ s174 1)) (AND (GEQ s16 1) (GEQ s84 1) (GEQ s168 1) (GEQ s171 1)) (AND (GEQ s74 1) (GEQ s84 1) (GEQ s168 1) (GEQ s178 1)) (AND (GEQ s63 1) (GEQ s84 1) (GEQ s168 1) (GEQ s173 1)) (AND (GEQ s75 1) (GEQ s84 1) (GEQ s168 1) (GEQ s177 1)) (AND (GEQ s27 1) (GEQ s84 1) (GEQ s168 1) (GEQ s179 1)) (AND (GEQ s39 1) (GEQ s84 1) (GEQ s168 1) (GEQ s179 1)) (AND (GEQ s58 1) (GEQ s84 1) (GEQ s168 1) (GEQ s179 1)) (AND (GEQ s19 1) (GEQ s84 1) (GEQ s168 1) (GEQ s171 1)) (AND (GEQ s77 1) (GEQ s84 1) (GEQ s168 1) (GEQ s178 1)) (AND (GEQ s57 1) (GEQ s84 1) (GEQ s168 1) (GEQ s172 1)) (AND (GEQ s56 1) (GEQ s84 1) (GEQ s168 1) (GEQ s177 1)) (AND (GEQ s20 1) (GEQ s84 1) (GEQ s168 1) (GEQ s178 1)) (AND (GEQ s21 1) (GEQ s84 1) (GEQ s168 1) (GEQ s173 1)) (AND (GEQ s78 1) (GEQ s84 1) (GEQ s168 1) (GEQ s177 1)) (AND (GEQ s55 1) (GEQ s84 1) (GEQ s168 1) (GEQ s178 1)) (AND (GEQ s18 1) (GEQ s84 1) (GEQ s168 1) (GEQ s176 1)) (AND (GEQ s36 1) (GEQ s84 1) (GEQ s168 1) (GEQ s177 1)) (AND (GEQ s38 1) (GEQ s84 1) (GEQ s168 1) (GEQ s171 1)) (AND (GEQ s37 1) (GEQ s84 1) (GEQ s168 1) (GEQ s176 1)) (AND (GEQ s72 1) (GEQ s84 1) (GEQ s168 1) (GEQ s176 1)) (AND (GEQ s43 1) (GEQ s84 1) (GEQ s168 1) (GEQ s175 1)) (AND (GEQ s79 1) (GEQ s84 1) (GEQ s168 1) (GEQ s172 1)) (AND (GEQ s60 1) (GEQ s84 1) (GEQ s168 1) (GEQ s173 1)) (AND (GEQ s59 1) (GEQ s84 1) (GEQ s168 1) (GEQ s174 1)) (AND (GEQ s22 1) (GEQ s84 1) (GEQ s168 1) (GEQ s172 1)) (AND (GEQ s10 1) (GEQ s84 1) (GEQ s168 1) (GEQ s181 1)) (AND (GEQ s32 1) (GEQ s84 1) (GEQ s168 1) (GEQ s181 1)) (AND (GEQ s81 1) (GEQ s84 1) (GEQ s168 1) (GEQ s174 1)) (AND (GEQ s80 1) (GEQ s84 1) (GEQ s168 1) (GEQ s179 1)) (AND (GEQ s34 1) (GEQ s84 1) (GEQ s168 1) (GEQ s175 1)) (AND (GEQ s33 1) (GEQ s84 1) (GEQ s168 1) (GEQ s180 1)) (AND (GEQ s68 1) (GEQ s84 1) (GEQ s168 1) (GEQ s181 1)) (AND (GEQ s49 1) (GEQ s84 1) (GEQ s168 1) (GEQ s181 1)) (AND (GEQ s43 1) (GEQ s84 1) (GEQ s168 1) (GEQ s173 1)) (AND (GEQ s53 1) (GEQ s84 1) (GEQ s168 1) (GEQ s177 1)) (AND (GEQ s17 1) (GEQ s84 1) (GEQ s168 1) (GEQ s177 1)) (AND (GEQ s52 1) (GEQ s84 1) (GEQ s168 1) (GEQ s180 1)) (AND (GEQ s41 1) (GEQ s84 1) (GEQ s168 1) (GEQ s171 1)) (AND (GEQ s40 1) (GEQ s84 1) (GEQ s168 1) (GEQ s174 1)) (AND (GEQ s39 1) (GEQ s84 1) (GEQ s168 1) (GEQ s177 1)) (AND (GEQ s2 1) (GEQ s84 1) (GEQ s168 1) (GEQ s173 1)) (AND (GEQ s24 1) (GEQ s84 1) (GEQ s168 1) (GEQ s173 1)) (AND (GEQ s8 1) (GEQ s84 1) (GEQ s168 1) (GEQ s180 1)) (AND (GEQ s46 1) (GEQ s84 1) (GEQ s168 1) (GEQ s181 1)) (AND (GEQ s12 1) (GEQ s84 1) (GEQ s168 1) (GEQ s177 1)) (AND (GEQ s29 1) (GEQ s84 1) (GEQ s168 1) (GEQ s179 1)) (AND (GEQ s30 1) (GEQ s84 1) (GEQ s168 1) (GEQ s174 1)) (AND (GEQ s48 1) (GEQ s84 1) (GEQ s168 1) (GEQ s171 1)) (AND (GEQ s65 1) (GEQ s84 1) (GEQ s168 1) (GEQ s173 1)) (AND (GEQ s47 1) (GEQ s84 1) (GEQ s168 1) (GEQ s176 1)) (AND (GEQ s13 1) (GEQ s84 1) (GEQ s168 1) (GEQ s180 1)) (AND (GEQ s72 1) (GEQ s84 1) (GEQ s168 1) (GEQ s178 1)) (AND (GEQ s73 1) (GEQ s84 1) (GEQ s168 1) (GEQ s181 1)) (AND (GEQ s14 1) (GEQ s84 1) (GEQ s168 1) (GEQ s175 1)) (AND (GEQ s74 1) (GEQ s84 1) (GEQ s168 1) (GEQ s176 1)) (AND (GEQ s27 1) (GEQ s84 1) (GEQ s168 1) (GEQ s181 1)) (AND (GEQ s63 1) (GEQ s84 1) (GEQ s168 1) (GEQ s175 1)) (AND (GEQ s62 1) (GEQ s84 1) (GEQ s168 1) (GEQ s180 1)) (AND (GEQ s5 1) (GEQ s84 1) (GEQ s168 1) (GEQ s172 1)) (AND (GEQ s19 1) (GEQ s84 1) (GEQ s168 1) (GEQ s173 1)) (AND (GEQ s20 1) (GEQ s84 1) (GEQ s168 1) (GEQ s176 1)) (AND (GEQ s40 1) (GEQ s84 1) (GEQ s168 1) (GEQ s180 1)) (AND (GEQ s75 1) (GEQ s84 1) (GEQ s168 1) (GEQ s171 1)) (AND (GEQ s58 1) (GEQ s84 1) (GEQ s168 1) (GEQ s177 1)) (AND (GEQ s57 1) (GEQ s84 1) (GEQ s168 1) (GEQ s174 1)) (AND (GEQ s36 1) (GEQ s84 1) (GEQ s168 1) (GEQ s175 1)) (AND (GEQ s18 1) (GEQ s84 1) (GEQ s168 1) (GEQ s178 1)) (AND (GEQ s21 1) (GEQ s84 1) (GEQ s168 1) (GEQ s171 1)) (AND (GEQ s78 1) (GEQ s84 1) (GEQ s168 1) (GEQ s179 1)) (AND (GEQ s34 1) (GEQ s84 1) (GEQ s168 1) (GEQ s177 1)) (AND (GEQ s35 1) (GEQ s84 1) (GEQ s168 1) (GEQ s180 1)) (AND (GEQ s79 1) (GEQ s84 1) (GEQ s168 1) (GEQ s174 1)) (AND (GEQ s80 1) (GEQ s84 1) (GEQ s168 1) (GEQ s177 1)) (AND (GEQ s59 1) (GEQ s84 1) (GEQ s168 1) (GEQ s172 1)) (AND (GEQ s81 1) (GEQ s84 1) (GEQ s168 1) (GEQ s172 1)) (AND (GEQ s56 1) (GEQ s84 1) (GEQ s168 1) (GEQ s179 1)) (AND (GEQ s67 1) (GEQ s84 1) (GEQ s168 1) (GEQ s178 1)) (AND (GEQ s10 1) (GEQ s84 1) (GEQ s168 1) (GEQ s172 1)) (AND (GEQ s9 1) (GEQ s84 1) (GEQ s168 1) (GEQ s175 1)) (AND (GEQ s68 1) (GEQ s84 1) (GEQ s168 1) (GEQ s175 1)) (AND (GEQ s8 1) (GEQ s84 1) (GEQ s168 1) (GEQ s174 1)) (AND (GEQ s43 1) (GEQ s84 1) (GEQ s168 1) (GEQ s171 1)) (AND (GEQ s25 1) (GEQ s84 1) (GEQ s168 1) (GEQ s176 1)) (AND (GEQ s7 1) (GEQ s84 1) (GEQ s168 1) (GEQ s177 1)) (AND (GEQ s52 1) (GEQ s84 1) (GEQ s168 1) (GEQ s174 1)) (AND (GEQ s41 1) (GEQ s84 1) (GEQ s168 1) (GEQ s173 1)) (AND (GEQ s51 1) (GEQ s84 1) (GEQ s168 1) (GEQ s181 1)) (AND (GEQ s53 1) (GEQ s84 1) (GEQ s168 1) (GEQ s171 1)) (AND (GEQ s76 1) (GEQ s84 1) (GEQ s168 1) (GEQ s172 1)) (AND (GEQ s2 1) (GEQ s84 1) (GEQ s168 1) (GEQ s179 1)) (AND (GEQ s22 1) (GEQ s84 1) (GEQ s168 1) (GEQ s181 1)) (AND (GEQ s3 1) (GEQ s84 1) (GEQ s168 1) (GEQ s176 1)) (AND (GEQ s54 1) (GEQ s84 1) (GEQ s168 1) (GEQ s172 1)) (AND (GEQ s24 1) (GEQ s84 1) (GEQ s168 1) (GEQ s179 1)) (AND (GEQ s70 1) (GEQ s84 1) (GEQ s168 1) (GEQ s173 1)) (AND (GEQ s32 1) (GEQ s84 1) (GEQ s168 1) (GEQ s172 1)) (AND (GEQ s23 1) (GEQ s84 1) (GEQ s168 1) (GEQ s178 1)) (AND (GEQ s45 1) (GEQ s84 1) (GEQ s168 1) (GEQ s178 1)) (AND (GEQ s46 1) (GEQ s84 1) (GEQ s168 1) (GEQ s175 1)) (AND (GEQ s69 1) (GEQ s84 1) (GEQ s168 1) (GEQ s176 1)) (AND (GEQ s65 1) (GEQ s84 1) (GEQ s168 1) (GEQ s171 1)) (AND (GEQ s47 1) (GEQ s84 1) (GEQ s168 1) (GEQ s174 1)) (AND (GEQ s12 1) (GEQ s84 1) (GEQ s168 1) (GEQ s179 1)) (AND (GEQ s30 1) (GEQ s84 1) (GEQ s168 1) (GEQ s176 1)) (AND (GEQ s48 1) (GEQ s84 1) (GEQ s168 1) (GEQ s173 1)) (AND (GEQ s29 1) (GEQ s84 1) (GEQ s168 1) (GEQ s177 1)) (AND (GEQ s31 1) (GEQ s84 1) (GEQ s168 1) (GEQ s171 1)) (AND (GEQ s13 1) (GEQ s84 1) (GEQ s168 1) (GEQ s178 1)) (AND (GEQ s14 1) (GEQ s84 1) (GEQ s168 1) (GEQ s173 1)) (AND (GEQ s62 1) (GEQ s84 1) (GEQ s168 1) (GEQ s178 1)) (AND (GEQ s72 1) (GEQ s84 1) (GEQ s168 1) (GEQ s180 1)) (AND (GEQ s15 1) (GEQ s84 1) (GEQ s168 1) (GEQ s172 1)) (AND (GEQ s4 1) (GEQ s84 1) (GEQ s168 1) (GEQ s171 1)) (AND (GEQ s73 1) (GEQ s84 1) (GEQ s168 1) (GEQ s179 1)) (AND (GEQ s64 1) (GEQ s84 1) (GEQ s168 1) (GEQ s172 1)) (AND (GEQ s63 1) (GEQ s84 1) (GEQ s168 1) (GEQ s177 1)) (AND (GEQ s75 1) (GEQ s84 1) (GEQ s168 1) (GEQ s173 1)) (AND (GEQ s37 1) (GEQ s84 1) (GEQ s168 1) (GEQ s172 1)) (AND (GEQ s57 1) (GEQ s84 1) (GEQ s168 1) (GEQ s176 1)) (AND (GEQ s20 1) (GEQ s84 1) (GEQ s168 1) (GEQ s174 1)) (AND (GEQ s40 1) (GEQ s84 1) (GEQ s168 1) (GEQ s178 1)) (AND (GEQ s74 1) (GEQ s84 1) (GEQ s168 1) (GEQ s174 1)) (AND (GEQ s78 1) (GEQ s84 1) (GEQ s168 1) (GEQ s181 1)) (AND (GEQ s36 1) (GEQ s84 1) (GEQ s168 1) (GEQ s173 1)) (AND (GEQ s41 1) (GEQ s84 1) (GEQ s168 1) (GEQ s177 1)) (AND (GEQ s19 1) (GEQ s84 1) (GEQ s168 1) (GEQ s175 1)) (AND (GEQ s18 1) (GEQ s84 1) (GEQ s168 1) (GEQ s180 1)) (AND (GEQ s80 1) (GEQ s84 1) (GEQ s168 1) (GEQ s175 1)) (AND (GEQ s34 1) (GEQ s84 1) (GEQ s168 1) (GEQ s179 1)) (AND (GEQ s79 1) (GEQ s84 1) (GEQ s168 1) (GEQ s176 1)) (AND (GEQ s35 1) (GEQ s84 1) (GEQ s168 1) (GEQ s178 1)) (AND (GEQ s56 1) (GEQ s84 1) (GEQ s168 1) (GEQ s181 1)) (AND (GEQ s58 1) (GEQ s84 1) (GEQ s168 1) (GEQ s175 1)) (AND (GEQ s68 1) (GEQ s84 1) (GEQ s168 1) (GEQ s177 1)) (AND (GEQ s9 1) (GEQ s84 1) (GEQ s168 1) (GEQ s173 1)) (AND (GEQ s67 1) (GEQ s84 1) (GEQ s168 1) (GEQ s180 1)) (AND (GEQ s8 1) (GEQ s84 1) (GEQ s168 1) (GEQ s176 1)) (AND (GEQ s25 1) (GEQ s84 1) (GEQ s168 1) (GEQ s174 1)) (AND (GEQ s26 1) (GEQ s84 1) (GEQ s168 1) (GEQ s171 1)) (AND (GEQ s42 1) (GEQ s84 1) (GEQ s168 1) (GEQ s172 1)) (AND (GEQ s17 1) (GEQ s84 1) (GEQ s168 1) (GEQ s181 1)) (AND (GEQ s52 1) (GEQ s84 1) (GEQ s168 1) (GEQ s176 1)) (AND (GEQ s53 1) (GEQ s84 1) (GEQ s168 1) (GEQ s173 1)) (AND (GEQ s41 1) (GEQ s84 1) (GEQ s168 1) (GEQ s175 1)) (AND (GEQ s51 1) (GEQ s84 1) (GEQ s168 1) (GEQ s179 1)) (AND (GEQ s2 1) (GEQ s84 1) (GEQ s168 1) (GEQ s177 1)) (AND (GEQ s3 1) (GEQ s84 1) (GEQ s168 1) (GEQ s174 1)) (AND (GEQ s23 1) (GEQ s84 1) (GEQ s168 1) (GEQ s180 1)) (AND (GEQ s7 1) (GEQ s84 1) (GEQ s168 1) (GEQ s179 1)) (AND (GEQ s24 1) (GEQ s84 1) (GEQ s168 1) (GEQ s177 1)) (AND (GEQ s46 1) (GEQ s84 1) (GEQ s168 1) (GEQ s177 1)) (AND (GEQ s69 1) (GEQ s84 1) (GEQ s168 1) (GEQ s174 1)) (AND (GEQ s45 1) (GEQ s84 1) (GEQ s168 1) (GEQ s180 1)) (AND (GEQ s70 1) (GEQ s84 1) (GEQ s168 1) (GEQ s171 1)) (AND (GEQ s29 1) (GEQ s84 1) (GEQ s168 1) (GEQ s175 1)) (AND (GEQ s12 1) (GEQ s84 1) (GEQ s168 1) (GEQ s181 1)) (AND (GEQ s67 1) (GEQ s84 1) (GEQ s168 1) (GEQ s175 1)) (AND (GEQ s13 1) (GEQ s84 1) (GEQ s168 1) (GEQ s176 1)) (AND (GEQ s7 1) (GEQ s84 1) (GEQ s168 1) (GEQ s174 1)) (AND (GEQ s66 1) (GEQ s84 1) (GEQ s168 1) (GEQ s180 1)) (AND (GEQ s61 1) (GEQ s84 1) (GEQ s168 1) (GEQ s173 1)) (AND (GEQ s6 1) (GEQ s84 1) (GEQ s168 1) (GEQ s179 1)) (AND (GEQ s73 1) (GEQ s84 1) (GEQ s168 1) (GEQ s177 1)) (AND (GEQ s77 1) (GEQ s84 1) (GEQ s168 1) (GEQ s172 1)) (AND (GEQ s28 1) (GEQ s84 1) (GEQ s168 1) (GEQ s180 1)) (AND (GEQ s52 1) (GEQ s84 1) (GEQ s168 1) (GEQ s171 1)) (AND (GEQ s51 1) (GEQ s84 1) (GEQ s168 1) (GEQ s176 1)) (AND (GEQ s50 1) (GEQ s84 1) (GEQ s168 1) (GEQ s181 1)) (AND (GEQ s76 1) (GEQ s84 1) (GEQ s168 1) (GEQ s177 1)) (AND (GEQ s3 1) (GEQ s84 1) (GEQ s168 1) (GEQ s179 1)) (AND (GEQ s39 1) (GEQ s84 1) (GEQ s168 1) (GEQ s172 1)) (AND (GEQ s74 1) (GEQ s84 1) (GEQ s168 1) (GEQ s172 1)) (AND (GEQ s38 1) (GEQ s84 1) (GEQ s168 1) (GEQ s177 1)) (AND (GEQ s41 1) (GEQ s84 1) (GEQ s168 1) (GEQ s179 1)) (AND (GEQ s14 1) (GEQ s84 1) (GEQ s168 1) (GEQ s171 1)) (AND (GEQ s23 1) (GEQ s84 1) (GEQ s168 1) (GEQ s173 1)) (AND (GEQ s32 1) (GEQ s84 1) (GEQ s168 1) (GEQ s175 1)) (AND (GEQ s22 1) (GEQ s84 1) (GEQ s168 1) (GEQ s178 1)) (AND (GEQ s58 1) (GEQ s84 1) (GEQ s168 1) (GEQ s173 1)) (AND (GEQ s57 1) (GEQ s84 1) (GEQ s168 1) (GEQ s178 1)) (AND (GEQ s48 1) (GEQ s84 1) (GEQ s168 1) (GEQ s174 1)) (AND (GEQ s26 1) (GEQ s84 1) (GEQ s168 1) (GEQ s173 1)) (AND (GEQ s47 1) (GEQ s84 1) (GEQ s168 1) (GEQ s181 1)) (AND (GEQ s49 1) (GEQ s84 1) (GEQ s168 1) (GEQ s171 1)) (AND (GEQ s16 1) (GEQ s84 1) (GEQ s168 1) (GEQ s178 1)) (AND (GEQ s42 1) (GEQ s84 1) (GEQ s168 1) (GEQ s174 1)) (AND (GEQ s25 1) (GEQ s84 1) (GEQ s168 1) (GEQ s180 1)) (AND (GEQ s4 1) (GEQ s84 1) (GEQ s168 1) (GEQ s174 1)) (AND (GEQ s64 1) (GEQ s84 1) (GEQ s168 1) (GEQ s175 1)) (AND (GEQ s63 1) (GEQ s84 1) (GEQ s168 1) (GEQ s178 1)) (AND (GEQ s15 1) (GEQ s84 1) (GEQ s168 1) (GEQ s181 1)) (AND (GEQ s17 1) (GEQ s84 1) (GEQ s168 1) (GEQ s171 1)) (AND (GEQ s36 1) (GEQ s84 1) (GEQ s168 1) (GEQ s172 1)) (AND (GEQ s60 1) (GEQ s84 1) (GEQ s168 1) (GEQ s178 1)) (AND (GEQ s55 1) (GEQ s84 1) (GEQ s168 1) (GEQ s173 1)) (AND (GEQ s54 1) (GEQ s84 1) (GEQ s168 1) (GEQ s176 1)) (AND (GEQ s19 1) (GEQ s84 1) (GEQ s168 1) (GEQ s178 1)) (AND (GEQ s20 1) (GEQ s84 1) (GEQ s168 1) (GEQ s171 1)) (AND (GEQ s18 1) (GEQ s84 1) (GEQ s168 1) (GEQ s181 1)) (AND (GEQ s44 1) (GEQ s84 1) (GEQ s168 1) (GEQ s181 1)) (AND (GEQ s35 1) (GEQ s84 1) (GEQ s168 1) (GEQ s175 1)) (AND (GEQ s70 1) (GEQ s84 1) (GEQ s168 1) (GEQ s177 1)) (AND (GEQ s80 1) (GEQ s84 1) (GEQ s168 1) (GEQ s172 1)) (AND (GEQ s45 1) (GEQ s84 1) (GEQ s168 1) (GEQ s174 1)) (AND (GEQ s9 1) (GEQ s84 1) (GEQ s168 1) (GEQ s179 1)) (AND (GEQ s79 1) (GEQ s84 1) (GEQ s168 1) (GEQ s179 1)) (AND (GEQ s69 1) (GEQ s84 1) (GEQ s168 1) (GEQ s180 1)) (AND (GEQ s10 1) (GEQ s84 1) (GEQ s168 1) (GEQ s176 1)) (AND (GEQ s46 1) (GEQ s84 1) (GEQ s168 1) (GEQ s171 1)) (AND (GEQ s67 1) (GEQ s84 1) (GEQ s168 1) (GEQ s177 1)) (AND (GEQ s29 1) (GEQ s84 1) (GEQ s168 1) (GEQ s173 1)) (AND (GEQ s50 1) (GEQ s84 1) (GEQ s168 1) (GEQ s179 1)) (AND (GEQ s30 1) (GEQ s84 1) (GEQ s168 1) (GEQ s172 1)) (AND (GEQ s68 1) (GEQ s84 1) (GEQ s168 1) (GEQ s172 1)) (AND (GEQ s13 1) (GEQ s84 1) (GEQ s168 1) (GEQ s174 1)) (AND (GEQ s8 1) (GEQ s84 1) (GEQ s168 1) (GEQ s171 1)) (AND (GEQ s7 1) (GEQ s84 1) (GEQ s168 1) (GEQ s176 1)) (AND (GEQ s66 1) (GEQ s84 1) (GEQ s168 1) (GEQ s178 1)) (AND (GEQ s61 1) (GEQ s84 1) (GEQ s168 1) (GEQ s171 1)) (AND (GEQ s6 1) (GEQ s84 1) (GEQ s168 1) (GEQ s177 1)) (AND (GEQ s51 1) (GEQ s84 1) (GEQ s168 1) (GEQ s174 1)) (AND (GEQ s28 1) (GEQ s84 1) (GEQ s168 1) (GEQ s178 1)) (AND (GEQ s52 1) (GEQ s84 1) (GEQ s168 1) (GEQ s173 1)) (AND (GEQ s76 1) (GEQ s84 1) (GEQ s168 1) (GEQ s175 1)) (AND (GEQ s22 1) (GEQ s84 1) (GEQ s168 1) (GEQ s180 1)) (AND (GEQ s3 1) (GEQ s84 1) (GEQ s168 1) (GEQ s177 1)) (AND (GEQ s38 1) (GEQ s84 1) (GEQ s168 1) (GEQ s179 1)) (AND (GEQ s73 1) (GEQ s84 1) (GEQ s168 1) (GEQ s175 1)) (AND (GEQ s42 1) (GEQ s84 1) (GEQ s168 1) (GEQ s176 1)) (AND (GEQ s41 1) (GEQ s84 1) (GEQ s168 1) (GEQ s181 1)) (AND (GEQ s32 1) (GEQ s84 1) (GEQ s168 1) (GEQ s173 1)) (AND (GEQ s23 1) (GEQ s84 1) (GEQ s168 1) (GEQ s175 1)) (AND (GEQ s33 1) (GEQ s84 1) (GEQ s168 1) (GEQ s172 1)) (AND (GEQ s58 1) (GEQ s84 1) (GEQ s168 1) (GEQ s171 1)) (AND (GEQ s57 1) (GEQ s84 1) (GEQ s168 1) (GEQ s180 1)) (AND (GEQ s21 1) (GEQ s84 1) (GEQ s168 1) (GEQ s181 1)) (AND (GEQ s10 1) (GEQ s84 1) (GEQ s168 1) (GEQ s174 1)) (AND (GEQ s48 1) (GEQ s84 1) (GEQ s168 1) (GEQ s176 1)) (AND (GEQ s27 1) (GEQ s84 1) (GEQ s168 1) (GEQ s172 1)) (AND (GEQ s26 1) (GEQ s84 1) (GEQ s168 1) (GEQ s175 1)) (AND (GEQ s47 1) (GEQ s84 1) (GEQ s168 1) (GEQ s179 1)) (AND (GEQ s49 1) (GEQ s84 1) (GEQ s168 1) (GEQ s173 1)) (AND (GEQ s25 1) (GEQ s84 1) (GEQ s168 1) (GEQ s178 1)) (AND (GEQ s63 1) (GEQ s84 1) (GEQ s168 1) (GEQ s180 1)) (AND (GEQ s16 1) (GEQ s84 1) (GEQ s168 1) (GEQ s180 1)) (AND (GEQ s64 1) (GEQ s84 1) (GEQ s168 1) (GEQ s177 1)) (AND (GEQ s38 1) (GEQ s84 1) (GEQ s168 1) (GEQ s181 1)) (AND (GEQ s4 1) (GEQ s84 1) (GEQ s168 1) (GEQ s176 1)) (AND (GEQ s60 1) (GEQ s84 1) (GEQ s168 1) (GEQ s180 1)) (AND (GEQ s54 1) (GEQ s84 1) (GEQ s168 1) (GEQ s174 1)) (AND (GEQ s19 1) (GEQ s84 1) (GEQ s168 1) (GEQ s180 1)) (AND (GEQ s55 1) (GEQ s84 1) (GEQ s168 1) (GEQ s171 1)) (AND (GEQ s24 1) (GEQ s84 1) (GEQ s168 1) (GEQ s181 1)) (AND (GEQ s44 1) (GEQ s84 1) (GEQ s168 1) (GEQ s179 1)) (AND (GEQ s70 1) (GEQ s84 1) (GEQ s168 1) (GEQ s175 1)) (AND (GEQ s71 1) (GEQ s84 1) (GEQ s168 1) (GEQ s172 1)) (AND (GEQ s69 1) (GEQ s84 1) (GEQ s168 1) (GEQ s178 1)) (AND (GEQ s45 1) (GEQ s84 1) (GEQ s168 1) (GEQ s176 1)) (AND (GEQ s9 1) (GEQ s84 1) (GEQ s168 1) (GEQ s177 1)) (AND (GEQ s79 1) (GEQ s84 1) (GEQ s168 1) (GEQ s181 1)) (AND (GEQ s35 1) (GEQ s84 1) (GEQ s168 1) (GEQ s173 1)) (AND (GEQ s11 1) (GEQ s84 1) (GEQ s168 1) (GEQ s171 1)) (AND (GEQ s46 1) (GEQ s84 1) (GEQ s168 1) (GEQ s173 1)) (AND (GEQ s50 1) (GEQ s84 1) (GEQ s168 1) (GEQ s177 1)) (AND (GEQ s66 1) (GEQ s84 1) (GEQ s168 1) (GEQ s176 1)) (AND (GEQ s65 1) (GEQ s84 1) (GEQ s168 1) (GEQ s181 1)) (AND (GEQ s67 1) (GEQ s84 1) (GEQ s168 1) (GEQ s171 1)) (AND (GEQ s51 1) (GEQ s84 1) (GEQ s168 1) (GEQ s172 1)) (AND (GEQ s78 1) (GEQ s84 1) (GEQ s168 1) (GEQ s171 1)) (AND (GEQ s61 1) (GEQ s84 1) (GEQ s168 1) (GEQ s177 1)) (AND (GEQ s62 1) (GEQ s84 1) (GEQ s168 1) (GEQ s172 1)) (AND (GEQ s6 1) (GEQ s84 1) (GEQ s168 1) (GEQ s175 1)) (AND (GEQ s5 1) (GEQ s84 1) (GEQ s168 1) (GEQ s180 1)) (AND (GEQ s40 1) (GEQ s84 1) (GEQ s168 1) (GEQ s171 1)) (AND (GEQ s29 1) (GEQ s84 1) (GEQ s168 1) (GEQ s171 1)) (AND (GEQ s28 1) (GEQ s84 1) (GEQ s168 1) (GEQ s176 1)) (AND (GEQ s21 1) (GEQ s84 1) (GEQ s168 1) (GEQ s179 1)) (AND (GEQ s39 1) (GEQ s84 1) (GEQ s168 1) (GEQ s176 1)) (AND (GEQ s38 1) (GEQ s84 1) (GEQ s168 1) (GEQ s173 1)) (AND (GEQ s77 1) (GEQ s84 1) (GEQ s168 1) (GEQ s176 1)) (AND (GEQ s76 1) (GEQ s84 1) (GEQ s168 1) (GEQ s181 1)) (AND (GEQ s73 1) (GEQ s84 1) (GEQ s168 1) (GEQ s173 1)) (AND (GEQ s37 1) (GEQ s84 1) (GEQ s168 1) (GEQ s178 1)) (AND (GEQ s42 1) (GEQ s84 1) (GEQ s168 1) (GEQ s178 1)) (AND (GEQ s33 1) (GEQ s84 1) (GEQ s168 1) (GEQ s174 1)) (AND (GEQ s81 1) (GEQ s84 1) (GEQ s168 1) (GEQ s180 1)) (AND (GEQ s13 1) (GEQ s84 1) (GEQ s168 1) (GEQ s172 1)) (AND (GEQ s24 1) (GEQ s84 1) (GEQ s168 1) (GEQ s172 1)) (AND (GEQ s22 1) (GEQ s84 1) (GEQ s168 1) (GEQ s174 1)) (AND (GEQ s32 1) (GEQ s84 1) (GEQ s168 1) (GEQ s179 1)) (AND (GEQ s27 1) (GEQ s84 1) (GEQ s168 1) (GEQ s174 1)) (AND (GEQ s70 1) (GEQ s84 1) (GEQ s168 1) (GEQ s181 1)) (AND (GEQ s31 1) (GEQ s84 1) (GEQ s168 1) (GEQ s178 1)) (AND (GEQ s49 1) (GEQ s84 1) (GEQ s168 1) (GEQ s175 1)) (AND (GEQ s30 1) (GEQ s84 1) (GEQ s168 1) (GEQ s181 1)) (AND (GEQ s48 1) (GEQ s84 1) (GEQ s168 1) (GEQ s178 1)) (AND (GEQ s15 1) (GEQ s84 1) (GEQ s168 1) (GEQ s177 1)) (AND (GEQ s53 1) (GEQ s84 1) (GEQ s168 1) (GEQ s179 1)) (AND (GEQ s26 1) (GEQ s84 1) (GEQ s168 1) (GEQ s177 1)) (AND (GEQ s64 1) (GEQ s84 1) (GEQ s168 1) (GEQ s179 1)) (AND (GEQ s4 1) (GEQ s84 1) (GEQ s168 1) (GEQ s178 1)) (AND (GEQ s17 1) (GEQ s84 1) (GEQ s168 1) (GEQ s175 1)) (AND (GEQ s16 1) (GEQ s84 1) (GEQ s168 1) (GEQ s174 1)) (AND (GEQ s75 1) (GEQ s84 1) (GEQ s168 1) (GEQ s178 1)) (AND (GEQ s59 1) (GEQ s84 1) (GEQ s168 1) (GEQ s181 1)) (AND (GEQ s2 1) (GEQ s84 1) (GEQ s168 1) (GEQ s171 1)) (AND (GEQ s72 1) (GEQ s84 1) (GEQ s168 1) (GEQ s171 1)) (AND (GEQ s55 1) (GEQ s84 1) (GEQ s168 1) (GEQ s177 1)) (AND (GEQ s60 1) (GEQ s84 1) (GEQ s168 1) (GEQ s174 1)) (AND (GEQ s54 1) (GEQ s84 1) (GEQ s168 1) (GEQ s180 1)) (AND (GEQ s43 1) (GEQ s84 1) (GEQ s168 1) (GEQ s180 1)) (AND (GEQ s71 1) (GEQ s84 1) (GEQ s168 1) (GEQ s174 1)) (AND (GEQ s44 1) (GEQ s84 1) (GEQ s168 1) (GEQ s177 1)) (AND (GEQ s35 1) (GEQ s84 1) (GEQ s168 1) (GEQ s171 1)) (AND (GEQ s10 1) (GEQ s84 1) (GEQ s168 1) (GEQ s180 1)) (AND (GEQ s11 1) (GEQ s84 1) (GEQ s168 1) (GEQ s173 1)) (AND (GEQ s66 1) (GEQ s84 1) (GEQ s168 1) (GEQ s174 1)) (AND (GEQ s45 1) (GEQ s84 1) (GEQ s168 1) (GEQ s172 1)) (AND (GEQ s7 1) (GEQ s84 1) (GEQ s168 1) (GEQ s172 1)) (AND (GEQ s50 1) (GEQ s84 1) (GEQ s168 1) (GEQ s175 1)) (AND (GEQ s65 1) (GEQ s84 1) (GEQ s168 1) (GEQ s179 1)) (AND (GEQ s44 1) (GEQ s84 1) (GEQ s168 1) (GEQ s173 1)) (AND (GEQ s67 1) (GEQ s84 1) (GEQ s168 1) (GEQ s173 1)) (AND (GEQ s61 1) (GEQ s84 1) (GEQ s168 1) (GEQ s175 1)) (AND (GEQ s6 1) (GEQ s84 1) (GEQ s168 1) (GEQ s173 1)) (AND (GEQ s5 1) (GEQ s84 1) (GEQ s168 1) (GEQ s178 1)) (AND (GEQ s28 1) (GEQ s84 1) (GEQ s168 1) (GEQ s174 1)) (AND (GEQ s38 1) (GEQ s84 1) (GEQ s168 1) (GEQ s175 1)) (AND (GEQ s3 1) (GEQ s84 1) (GEQ s168 1) (GEQ s181 1)) (AND (GEQ s21 1) (GEQ s84 1) (GEQ s168 1) (GEQ s177 1)) (AND (GEQ s39 1) (GEQ s84 1) (GEQ s168 1) (GEQ s174 1)) (AND (GEQ s18 1) (GEQ s84 1) (GEQ s168 1) (GEQ s172 1)) (AND (GEQ s77 1) (GEQ s84 1) (GEQ s168 1) (GEQ s174 1)) (AND (GEQ s76 1) (GEQ s84 1) (GEQ s168 1) (GEQ s179 1)) (AND (GEQ s42 1) (GEQ s84 1) (GEQ s168 1) (GEQ s180 1)) (AND (GEQ s37 1) (GEQ s84 1) (GEQ s168 1) (GEQ s180 1)) (AND (GEQ s78 1) (GEQ s84 1) (GEQ s168 1) (GEQ s173 1)) (AND (GEQ s36 1) (GEQ s84 1) (GEQ s168 1) (GEQ s181 1)) (AND (GEQ s73 1) (GEQ s84 1) (GEQ s168 1) (GEQ s171 1)) (AND (GEQ s23 1) (GEQ s84 1) (GEQ s168 1) (GEQ s171 1)) (AND (GEQ s81 1) (GEQ s84 1) (GEQ s168 1) (GEQ s178 1)) (AND (GEQ s33 1) (GEQ s84 1) (GEQ s168 1) (GEQ s176 1)) (AND (GEQ s32 1) (GEQ s84 1) (GEQ s168 1) (GEQ s177 1)) (AND (GEQ s34 1) (GEQ s84 1) (GEQ s168 1) (GEQ s171 1)) (AND (GEQ s22 1) (GEQ s84 1) (GEQ s168 1) (GEQ s176 1)) (AND (GEQ s31 1) (GEQ s84 1) (GEQ s168 1) (GEQ s180 1)) (AND (GEQ s11 1) (GEQ s84 1) (GEQ s168 1) (GEQ s175 1)) (AND (GEQ s49 1) (GEQ s84 1) (GEQ s168 1) (GEQ s177 1)) (AND (GEQ s48 1) (GEQ s84 1) (GEQ s168 1) (GEQ s180 1)) (AND (GEQ s53 1) (GEQ s84 1) (GEQ s168 1) (GEQ s181 1)) (AND (GEQ s64 1) (GEQ s84 1) (GEQ s168 1) (GEQ s181 1)) (AND (GEQ s26 1) (GEQ s84 1) (GEQ s168 1) (GEQ s179 1)) (AND (GEQ s27 1) (GEQ s84 1) (GEQ s168 1) (GEQ s176 1)) (AND (GEQ s17 1) (GEQ s84 1) (GEQ s168 1) (GEQ s173 1)) (AND (GEQ s4 1) (GEQ s84 1) (GEQ s168 1) (GEQ s180 1)) (AND (GEQ s75 1) (GEQ s84 1) (GEQ s168 1) (GEQ s180 1)) (AND (GEQ s15 1) (GEQ s84 1) (GEQ s168 1) (GEQ s179 1)) (AND (GEQ s16 1) (GEQ s84 1) (GEQ s168 1) (GEQ s176 1)) (AND (GEQ s56 1) (GEQ s84 1) (GEQ s168 1) (GEQ s172 1)) (AND (GEQ s59 1) (GEQ s84 1) (GEQ s168 1) (GEQ s179 1)) (AND (GEQ s72 1) (GEQ s84 1) (GEQ s168 1) (GEQ s173 1)) (AND (GEQ s55 1) (GEQ s84 1) (GEQ s168 1) (GEQ s175 1)) (AND (GEQ s60 1) (GEQ s84 1) (GEQ s168 1) (GEQ s176 1)) (AND (GEQ s54 1) (GEQ s84 1) (GEQ s168 1) (GEQ s178 1)) (AND (GEQ s71 1) (GEQ s84 1) (GEQ s168 1) (GEQ s176 1)) (AND (GEQ s43 1) (GEQ s84 1) (GEQ s168 1) (GEQ s178 1)) (AND (GEQ s44 1) (GEQ s84 1) (GEQ s168 1) (GEQ s175 1)) (AND (GEQ s70 1) (GEQ s84 1) (GEQ s168 1) (GEQ s179 1)) (AND (GEQ s12 1) (GEQ s84 1) (GEQ s168 1) (GEQ s172 1)) (AND (GEQ s10 1) (GEQ s84 1) (GEQ s168 1) (GEQ s178 1)) (AND (GEQ s9 1) (GEQ s84 1) (GEQ s168 1) (GEQ s181 1)) (AND (GEQ s28 1) (GEQ s84 1) (GEQ s168 1) (GEQ s172 1)) (AND (GEQ s9 1) (GEQ s84 1) (GEQ s168 1) (GEQ s172 1)) (AND (GEQ s49 1) (GEQ s84 1) (GEQ s168 1) (GEQ s178 1)) (AND (GEQ s68 1) (GEQ s84 1) (GEQ s168 1) (GEQ s178 1)) (AND (GEQ s44 1) (GEQ s84 1) (GEQ s168 1) (GEQ s171 1)) (AND (GEQ s8 1) (GEQ s84 1) (GEQ s168 1) (GEQ s177 1)) (AND (GEQ s25 1) (GEQ s84 1) (GEQ s168 1) (GEQ s171 1)) (AND (GEQ s53 1) (GEQ s84 1) (GEQ s168 1) (GEQ s174 1)) (AND (GEQ s52 1) (GEQ s84 1) (GEQ s168 1) (GEQ s179 1)) (AND (GEQ s17 1) (GEQ s84 1) (GEQ s168 1) (GEQ s180 1)) (AND (GEQ s4 1) (GEQ s84 1) (GEQ s168 1) (GEQ s181 1)) (AND (GEQ s40 1) (GEQ s84 1) (GEQ s168 1) (GEQ s175 1)) (AND (GEQ s2 1) (GEQ s84 1) (GEQ s168 1) (GEQ s176 1)) (AND (GEQ s23 1) (GEQ s84 1) (GEQ s168 1) (GEQ s181 1)) (AND (GEQ s3 1) (GEQ s84 1) (GEQ s168 1) (GEQ s171 1)) (AND (GEQ s24 1) (GEQ s84 1) (GEQ s168 1) (GEQ s176 1)) (AND (GEQ s46 1) (GEQ s84 1) (GEQ s168 1) (GEQ s178 1)) (AND (GEQ s69 1) (GEQ s84 1) (GEQ s168 1) (GEQ s173 1)) (AND (GEQ s47 1) (GEQ s84 1) (GEQ s168 1) (GEQ s173 1)) (AND (GEQ s11 1) (GEQ s84 1) (GEQ s168 1) (GEQ s177 1)) (AND (GEQ s30 1) (GEQ s84 1) (GEQ s168 1) (GEQ s177 1)) (AND (GEQ s66 1) (GEQ s84 1) (GEQ s168 1) (GEQ s173 1)) (AND (GEQ s65 1) (GEQ s84 1) (GEQ s168 1) (GEQ s176 1)) (AND (GEQ s12 1) (GEQ s84 1) (GEQ s168 1) (GEQ s174 1)) (AND (GEQ s31 1) (GEQ s84 1) (GEQ s168 1) (GEQ s174 1)) (AND (GEQ s50 1) (GEQ s84 1) (GEQ s168 1) (GEQ s172 1)) (AND (GEQ s62 1) (GEQ s84 1) (GEQ s168 1) (GEQ s177 1)) (AND (GEQ s26 1) (GEQ s84 1) (GEQ s168 1) (GEQ s181 1)) (AND (GEQ s5 1) (GEQ s84 1) (GEQ s168 1) (GEQ s175 1)) (AND (GEQ s6 1) (GEQ s84 1) (GEQ s168 1) (GEQ s172 1)) (AND (GEQ s15 1) (GEQ s84 1) (GEQ s168 1) (GEQ s173 1)) (AND (GEQ s61 1) (GEQ s84 1) (GEQ s168 1) (GEQ s180 1)) (AND (GEQ s14 1) (GEQ s84 1) (GEQ s168 1) (GEQ s180 1)) (AND (GEQ s27 1) (GEQ s84 1) (GEQ s168 1) (GEQ s178 1)) (AND (GEQ s39 1) (GEQ s84 1) (GEQ s168 1) (GEQ s180 1)) (AND (GEQ s74 1) (GEQ s84 1) (GEQ s168 1) (GEQ s181 1)) (AND (GEQ s75 1) (GEQ s84 1) (GEQ s168 1) (GEQ s174 1)) (AND (GEQ s57 1) (GEQ s84 1) (GEQ s168 1) (GEQ s171 1)) (AND (GEQ s21 1) (GEQ s84 1) (GEQ s168 1) (GEQ s176 1)) (AND (GEQ s59 1) (GEQ s84 1) (GEQ s168 1) (GEQ s177 1)) (AND (GEQ s56 1) (GEQ s84 1) (GEQ s168 1) (GEQ s174 1)) (AND (GEQ s18 1) (GEQ s84 1) (GEQ s168 1) (GEQ s173 1)) (AND (GEQ s20 1) (GEQ s84 1) (GEQ s168 1) (GEQ s179 1)) (AND (GEQ s58 1) (GEQ s84 1) (GEQ s168 1) (GEQ s180 1)) (AND (GEQ s72 1) (GEQ s84 1) (GEQ s168 1) (GEQ s175 1)) (AND (GEQ s37 1) (GEQ s84 1) (GEQ s168 1) (GEQ s173 1)) (AND (GEQ s78 1) (GEQ s84 1) (GEQ s168 1) (GEQ s174 1)) (AND (GEQ s36 1) (GEQ s84 1) (GEQ s168 1) (GEQ s180 1)) (AND (GEQ s77 1) (GEQ s84 1) (GEQ s168 1) (GEQ s181 1)) (AND (GEQ s79 1) (GEQ s84 1) (GEQ s168 1) (GEQ s171 1)) (AND (GEQ s43 1) (GEQ s84 1) (GEQ s168 1) (GEQ s176 1)) (AND (GEQ s81 1) (GEQ s84 1) (GEQ s168 1) (GEQ s177 1)) (AND (GEQ s71 1) (GEQ s84 1) (GEQ s168 1) (GEQ s178 1)) (AND (GEQ s55 1) (GEQ s84 1) (GEQ s168 1) (GEQ s181 1)) (AND (GEQ s33 1) (GEQ s84 1) (GEQ s168 1) (GEQ s177 1)) (AND (GEQ s34 1) (GEQ s84 1) (GEQ s168 1) (GEQ s174 1)) (AND (GEQ s80 1) (GEQ s84 1) (GEQ s168 1) (GEQ s180 1)) (AND (GEQ s49 1) (GEQ s84 1) (GEQ s168 1) (GEQ s180 1)) (AND (GEQ s68 1) (GEQ s84 1) (GEQ s168 1) (GEQ s180 1)) (AND (GEQ s43 1) (GEQ s84 1) (GEQ s168 1) (GEQ s174 1)) (AND (GEQ s17 1) (GEQ s84 1) (GEQ s168 1) (GEQ s178 1)) (AND (GEQ s53 1) (GEQ s84 1) (GEQ s168 1) (GEQ s176 1)) (AND (GEQ s52 1) (GEQ s84 1) (GEQ s168 1) (GEQ s181 1)) (AND (GEQ s40 1) (GEQ s84 1) (GEQ s168 1) (GEQ s173 1)) (AND (GEQ s41 1) (GEQ s84 1) (GEQ s168 1) (GEQ s172 1)) (AND (GEQ s39 1) (GEQ s84 1) (GEQ s168 1) (GEQ s178 1)) (AND (GEQ s2 1) (GEQ s84 1) (GEQ s168 1) (GEQ s174 1)) (AND (GEQ s24 1) (GEQ s84 1) (GEQ s168 1) (GEQ s174 1)) (AND (GEQ s69 1) (GEQ s84 1) (GEQ s168 1) (GEQ s171 1)) (AND (GEQ s8 1) (GEQ s84 1) (GEQ s168 1) (GEQ s179 1)) (AND (GEQ s46 1) (GEQ s84 1) (GEQ s168 1) (GEQ s180 1)) (AND (GEQ s30 1) (GEQ s84 1) (GEQ s168 1) (GEQ s179 1)) (AND (GEQ s47 1) (GEQ s84 1) (GEQ s168 1) (GEQ s171 1)) (AND (GEQ s13 1) (GEQ s84 1) (GEQ s168 1) (GEQ s181 1)) (AND (GEQ s66 1) (GEQ s84 1) (GEQ s168 1) (GEQ s171 1)) (AND (GEQ s12 1) (GEQ s84 1) (GEQ s168 1) (GEQ s176 1)) (AND (GEQ s65 1) (GEQ s84 1) (GEQ s168 1) (GEQ s174 1)) (AND (GEQ s11 1) (GEQ s84 1) (GEQ s168 1) (GEQ s179 1)) (AND (GEQ s71 1) (GEQ s84 1) (GEQ s168 1) (GEQ s180 1)) (AND (GEQ s31 1) (GEQ s84 1) (GEQ s168 1) (GEQ s176 1)) (AND (GEQ s5 1) (GEQ s84 1) (GEQ s168 1) (GEQ s173 1)) (AND (GEQ s15 1) (GEQ s84 1) (GEQ s168 1) (GEQ s175 1)) (AND (GEQ s61 1) (GEQ s84 1) (GEQ s168 1) (GEQ s178 1)) (AND (GEQ s62 1) (GEQ s84 1) (GEQ s168 1) (GEQ s175 1)) (AND (GEQ s14 1) (GEQ s84 1) (GEQ s168 1) (GEQ s178 1)) (AND (GEQ s63 1) (GEQ s84 1) (GEQ s168 1) (GEQ s172 1)) (AND (GEQ s74 1) (GEQ s84 1) (GEQ s168 1) (GEQ s179 1)) (AND (GEQ s16 1) (GEQ s84 1) (GEQ s168 1) (GEQ s172 1)) (AND (GEQ s75 1) (GEQ s84 1) (GEQ s168 1) (GEQ s176 1)) (AND (GEQ s27 1) (GEQ s84 1) (GEQ s168 1) (GEQ s180 1)) (AND (GEQ s20 1) (GEQ s84 1) (GEQ s168 1) (GEQ s177 1)) (AND (GEQ s58 1) (GEQ s84 1) (GEQ s168 1) (GEQ s178 1)) (AND (GEQ s77 1) (GEQ s84 1) (GEQ s168 1) (GEQ s179 1)) (AND (GEQ s38 1) (GEQ s84 1) (GEQ s168 1) (GEQ s172 1)) (AND (GEQ s57 1) (GEQ s84 1) (GEQ s168 1) (GEQ s173 1)) (AND (GEQ s19 1) (GEQ s84 1) (GEQ s168 1) (GEQ s172 1)) (AND (GEQ s56 1) (GEQ s84 1) (GEQ s168 1) (GEQ s176 1)) (AND (GEQ s18 1) (GEQ s84 1) (GEQ s168 1) (GEQ s175 1)) (AND (GEQ s21 1) (GEQ s84 1) (GEQ s168 1) (GEQ s174 1)) (AND (GEQ s59 1) (GEQ s84 1) (GEQ s168 1) (GEQ s175 1)) (AND (GEQ s78 1) (GEQ s84 1) (GEQ s168 1) (GEQ s176 1)) (AND (GEQ s37 1) (GEQ s84 1) (GEQ s168 1) (GEQ s175 1)) (AND (GEQ s36 1) (GEQ s84 1) (GEQ s168 1) (GEQ s178 1)) (AND (GEQ s72 1) (GEQ s84 1) (GEQ s168 1) (GEQ s177 1)) (AND (GEQ s34 1) (GEQ s84 1) (GEQ s168 1) (GEQ s176 1)) (AND (GEQ s60 1) (GEQ s84 1) (GEQ s168 1) (GEQ s172 1)) (AND (GEQ s79 1) (GEQ s84 1) (GEQ s168 1) (GEQ s173 1)) (AND (GEQ s35 1) (GEQ s84 1) (GEQ s168 1) (GEQ s181 1)) (AND (GEQ s22 1) (GEQ s84 1) (GEQ s168 1) (GEQ s171 1)) (AND (GEQ s55 1) (GEQ s84 1) (GEQ s168 1) (GEQ s179 1)) (AND (GEQ s80 1) (GEQ s84 1) (GEQ s168 1) (GEQ s178 1)) (AND (GEQ s33 1) (GEQ s84 1) (GEQ s168 1) (GEQ s179 1)) (AND (GEQ s81 1) (GEQ s84 1) (GEQ s168 1) (GEQ s175 1)) (AND (GEQ s10 1) (GEQ s84 1) (GEQ s168 1) (GEQ s171 1)) (AND (GEQ s9 1) (GEQ s84 1) (GEQ s168 1) (GEQ s176 1)) (AND (GEQ s68 1) (GEQ s84 1) (GEQ s168 1) (GEQ s174 1)) (AND (GEQ s67 1) (GEQ s84 1) (GEQ s168 1) (GEQ s179 1)) (AND (GEQ s43 1) (GEQ s84 1) (GEQ s168 1) (GEQ s172 1)) (AND (GEQ s8 1) (GEQ s84 1) (GEQ s168 1) (GEQ s173 1)) (AND (GEQ s7 1) (GEQ s84 1) (GEQ s168 1) (GEQ s178 1)) (AND (GEQ s25 1) (GEQ s84 1) (GEQ s168 1) (GEQ s175 1)) (AND (GEQ s52 1) (GEQ s84 1) (GEQ s168 1) (GEQ s175 1)) (AND (GEQ s16 1) (GEQ s84 1) (GEQ s168 1) (GEQ s181 1)) (AND (GEQ s41 1) (GEQ s84 1) (GEQ s168 1) (GEQ s174 1)) (AND (GEQ s51 1) (GEQ s84 1) (GEQ s168 1) (GEQ s180 1)) (AND (GEQ s76 1) (GEQ s84 1) (GEQ s168 1) (GEQ s173 1)) (AND (GEQ s3 1) (GEQ s84 1) (GEQ s168 1) (GEQ s175 1)) (AND (GEQ s2 1) (GEQ s84 1) (GEQ s168 1) (GEQ s180 1)) (AND (GEQ s54 1) (GEQ s84 1) (GEQ s168 1) (GEQ s173 1)) (AND (GEQ s24 1) (GEQ s84 1) (GEQ s168 1) (GEQ s180 1)) (AND (GEQ s70 1) (GEQ s84 1) (GEQ s168 1) (GEQ s172 1)) (AND (GEQ s32 1) (GEQ s84 1) (GEQ s168 1) (GEQ s171 1)) (AND (GEQ s23 1) (GEQ s84 1) (GEQ s168 1) (GEQ s177 1)) (AND (GEQ s69 1) (GEQ s84 1) (GEQ s168 1) (GEQ s177 1)) (AND (GEQ s46 1) (GEQ s84 1) (GEQ s168 1) (GEQ s174 1)) (AND (GEQ s45 1) (GEQ s84 1) (GEQ s168 1) (GEQ s179 1)) (AND (GEQ s65 1) (GEQ s84 1) (GEQ s168 1) (GEQ s172 1)) (AND (GEQ s12 1) (GEQ s84 1) (GEQ s168 1) (GEQ s178 1)) (AND (GEQ s47 1) (GEQ s84 1) (GEQ s168 1) (GEQ s177 1)) (AND (GEQ s29 1) (GEQ s84 1) (GEQ s168 1) (GEQ s180 1)) (AND (GEQ s11 1) (GEQ s84 1) (GEQ s168 1) (GEQ s181 1)) (AND (GEQ s30 1) (GEQ s84 1) (GEQ s168 1) (GEQ s173 1)) (AND (GEQ s13 1) (GEQ s84 1) (GEQ s168 1) (GEQ s179 1)) (AND (GEQ s72 1) (GEQ s84 1) (GEQ s168 1) (GEQ s179 1)) (AND (GEQ s14 1) (GEQ s84 1) (GEQ s168 1) (GEQ s176 1)) (AND (GEQ s73 1) (GEQ s84 1) (GEQ s168 1) (GEQ s180 1)) (AND (GEQ s63 1) (GEQ s84 1) (GEQ s168 1) (GEQ s174 1)) (AND (GEQ s74 1) (GEQ s84 1) (GEQ s168 1) (GEQ s177 1)) (AND (GEQ s64 1) (GEQ s84 1) (GEQ s168 1) (GEQ s171 1)) (AND (GEQ s62 1) (GEQ s84 1) (GEQ s168 1) (GEQ s181 1)) (AND (GEQ s5 1) (GEQ s84 1) (GEQ s168 1) (GEQ s171 1)) (AND (GEQ s57 1) (GEQ s84 1) (GEQ s168 1) (GEQ s175 1)) (AND (GEQ s40 1) (GEQ s84 1) (GEQ s168 1) (GEQ s181 1)) (AND (GEQ s19 1) (GEQ s84 1) (GEQ s168 1) (GEQ s174 1)) (AND (GEQ s20 1) (GEQ s84 1) (GEQ s168 1) (GEQ s175 1)) (AND (GEQ s58 1) (GEQ s84 1) (GEQ s168 1) (GEQ s176 1)) (AND (GEQ s21 1) (GEQ s84 1) (GEQ s168 1) (GEQ s172 1)) (AND (GEQ s78 1) (GEQ s84 1) (GEQ s168 1) (GEQ s178 1)) (AND (GEQ s36 1) (GEQ s84 1) (GEQ s168 1) (GEQ s176 1)) (AND (GEQ s18 1) (GEQ s84 1) (GEQ s168 1) (GEQ s177 1)) (AND (GEQ s35 1) (GEQ s84 1) (GEQ s168 1) (GEQ s179 1)) (AND (GEQ s80 1) (GEQ s84 1) (GEQ s168 1) (GEQ s176 1)) (AND (GEQ s34 1) (GEQ s84 1) (GEQ s168 1) (GEQ s178 1)) (AND (GEQ s79 1) (GEQ s84 1) (GEQ s168 1) (GEQ s175 1)) (AND (GEQ s33 1) (GEQ s84 1) (GEQ s168 1) (GEQ s181 1)) (AND (GEQ s59 1) (GEQ s84 1) (GEQ s168 1) (GEQ s173 1)) (AND (GEQ s56 1) (GEQ s84 1) (GEQ s168 1) (GEQ s178 1)) (AND (GEQ s81 1) (GEQ s84 1) (GEQ s168 1) (GEQ s173 1)) (AND (GEQ s68 1) (GEQ s84 1) (GEQ s168 1) (GEQ s176 1)) (AND (GEQ s67 1) (GEQ s84 1) (GEQ s168 1) (GEQ s181 1)) (AND (GEQ s9 1) (GEQ s84 1) (GEQ s168 1) (GEQ s174 1)) (AND (GEQ s8 1) (GEQ s84 1) (GEQ s168 1) (GEQ s175 1)) (AND (GEQ s26 1) (GEQ s84 1) (GEQ s168 1) (GEQ s172 1)) (AND (GEQ s25 1) (GEQ s84 1) (GEQ s168 1) (GEQ s173 1)) (AND (GEQ s52 1) (GEQ s84 1) (GEQ s168 1) (GEQ s177 1)) (AND (GEQ s42 1) (GEQ s84 1) (GEQ s168 1) (GEQ s171 1)) (AND (GEQ s41 1) (GEQ s84 1) (GEQ s168 1) (GEQ s176 1)) (AND (GEQ s53 1) (GEQ s84 1) (GEQ s168 1) (GEQ s172 1)) (AND (GEQ s40 1) (GEQ s84 1) (GEQ s168 1) (GEQ s177 1)) (AND (GEQ s76 1) (GEQ s84 1) (GEQ s168 1) (GEQ s171 1)) (AND (GEQ s51 1) (GEQ s84 1) (GEQ s168 1) (GEQ s178 1)) (AND (GEQ s2 1) (GEQ s84 1) (GEQ s168 1) (GEQ s178 1)) (AND (GEQ s54 1) (GEQ s84 1) (GEQ s168 1) (GEQ s171 1)) (AND (GEQ s23 1) (GEQ s84 1) (GEQ s168 1) (GEQ s179 1)) (AND (GEQ s3 1) (GEQ s84 1) (GEQ s168 1) (GEQ s173 1)) (AND (GEQ s24 1) (GEQ s84 1) (GEQ s168 1) (GEQ s178 1)) (AND (GEQ s6 1) (GEQ s84 1) (GEQ s168 1) (GEQ s181 1)) (AND (GEQ s7 1) (GEQ s84 1) (GEQ s168 1) (GEQ s180 1)) (AND (GEQ s45 1) (GEQ s84 1) (GEQ s168 1) (GEQ s181 1)) (AND (GEQ s46 1) (GEQ s84 1) (GEQ s168 1) (GEQ s176 1)) (AND (GEQ s69 1) (GEQ s84 1) (GEQ s168 1) (GEQ s175 1)) (AND (GEQ s12 1) (GEQ s84 1) (GEQ s168 1) (GEQ s180 1)) (AND (GEQ s30 1) (GEQ s84 1) (GEQ s168 1) (GEQ s175 1)) (AND (GEQ s48 1) (GEQ s84 1) (GEQ s168 1) (GEQ s172 1)) (AND (GEQ s47 1) (GEQ s84 1) (GEQ s168 1) (GEQ s175 1)) (AND (GEQ s29 1) (GEQ s84 1) (GEQ s168 1) (GEQ s178 1)) (AND (GEQ s31 1) (GEQ s84 1) (GEQ s168 1) (GEQ s172 1)) (AND (GEQ s13 1) (GEQ s84 1) (GEQ s168 1) (GEQ s177 1)) (AND (GEQ s14 1) (GEQ s84 1) (GEQ s168 1) (GEQ s174 1)) (AND (GEQ s62 1) (GEQ s84 1) (GEQ s168 1) (GEQ s179 1)) (AND (GEQ s72 1) (GEQ s84 1) (GEQ s168 1) (GEQ s181 1)) (AND (GEQ s15 1) (GEQ s84 1) (GEQ s168 1) (GEQ s171 1)) (AND (GEQ s73 1) (GEQ s84 1) (GEQ s168 1) (GEQ s178 1)) (AND (GEQ s4 1) (GEQ s84 1) (GEQ s168 1) (GEQ s172 1)) (AND (GEQ s28 1) (GEQ s84 1) (GEQ s168 1) (GEQ s181 1)) (AND (GEQ s63 1) (GEQ s84 1) (GEQ s168 1) (GEQ s176 1)) (AND (GEQ s64 1) (GEQ s84 1) (GEQ s168 1) (GEQ s173 1)) (AND (GEQ s37 1) (GEQ s84 1) (GEQ s168 1) (GEQ s171 1)) (AND (GEQ s40 1) (GEQ s84 1) (GEQ s168 1) (GEQ s179 1)) (AND (GEQ s75 1) (GEQ s84 1) (GEQ s168 1) (GEQ s172 1)) (AND (GEQ s78 1) (GEQ s84 1) (GEQ s168 1) (GEQ s180 1)) (AND (GEQ s74 1) (GEQ s84 1) (GEQ s168 1) (GEQ s175 1)) (AND (GEQ s36 1) (GEQ s84 1) (GEQ s168 1) (GEQ s174 1)) (AND (GEQ s18 1) (GEQ s84 1) (GEQ s168 1) (GEQ s179 1)) (AND (GEQ s20 1) (GEQ s84 1) (GEQ s168 1) (GEQ s173 1)) (AND (GEQ s19 1) (GEQ s84 1) (GEQ s168 1) (GEQ s176 1)) (AND (GEQ s80 1) (GEQ s84 1) (GEQ s168 1) (GEQ s174 1)) (AND (GEQ s34 1) (GEQ s84 1) (GEQ s168 1) (GEQ s180 1)) (AND (GEQ s79 1) (GEQ s84 1) (GEQ s168 1) (GEQ s177 1)) (AND (GEQ s35 1) (GEQ s84 1) (GEQ s168 1) (GEQ s177 1)) (AND (GEQ s56 1) (GEQ s84 1) (GEQ s168 1) (GEQ s180 1)) (AND (GEQ s59 1) (GEQ s84 1) (GEQ s168 1) (GEQ s171 1)) (AND (GEQ s81 1) (GEQ s84 1) (GEQ s168 1) (GEQ s171 1)) (AND (GEQ s58 1) (GEQ s84 1) (GEQ s168 1) (GEQ s174 1)) (AND (GEQ s57 1) (GEQ s84 1) (GEQ s168 1) (GEQ s177 1)))], nbAcceptance=1, properties=[trans-labels, explicit-labels, trans-acc, no-univ-branch, stutter-invariant], stateDesc=[null, null, null][true, true, true]]
Stuttering criterion allowed to conclude after 673 steps with 0 reset in 10 ms.
FORMULA BridgeAndVehicles-COL-V80P20N10-04 FALSE TECHNIQUES STUTTER_TEST
Treatment of property BridgeAndVehicles-COL-V80P20N10-04 finished in 1947 ms.
Running Spot : CommandLine [args=[/home/mcc/BenchKit/bin//..//ltl2tgba, --hoaf=tv, -f, !(G((F(p1)||p0)))], workingDir=/home/mcc/execution]
Support contains 84 out of 185 places. Attempting structural reductions.
Starting structural reductions, iteration 0 : 185/185 places, 2108/2108 transitions.
Applied a total of 0 rules in 134 ms. Remains 185 /185 variables (removed 0) and now considering 2108/2108 (removed 0) transitions.
[2021-05-09 00:23:03] [INFO ] Flow matrix only has 370 transitions (discarded 1738 similar events)
// Phase 1: matrix 370 rows 185 cols
[2021-05-09 00:23:03] [INFO ] Computed 5 place invariants in 1 ms
[2021-05-09 00:23:03] [INFO ] Dead Transitions using invariants and state equation in 582 ms returned []
[2021-05-09 00:23:03] [INFO ] Flow matrix only has 370 transitions (discarded 1738 similar events)
// Phase 1: matrix 370 rows 185 cols
[2021-05-09 00:23:03] [INFO ] Computed 5 place invariants in 1 ms
[2021-05-09 00:23:03] [INFO ] Implicit Places using invariants in 172 ms returned []
[2021-05-09 00:23:03] [INFO ] Flow matrix only has 370 transitions (discarded 1738 similar events)
// Phase 1: matrix 370 rows 185 cols
[2021-05-09 00:23:03] [INFO ] Computed 5 place invariants in 1 ms
[2021-05-09 00:23:03] [INFO ] State equation strengthened by 22 read => feed constraints.
[2021-05-09 00:23:04] [INFO ] Implicit Places using invariants and state equation in 593 ms returned []
Implicit Place search using SMT with State Equation took 778 ms to find 0 implicit places.
[2021-05-09 00:23:04] [INFO ] Redundant transitions in 39 ms returned []
[2021-05-09 00:23:04] [INFO ] Flow matrix only has 370 transitions (discarded 1738 similar events)
// Phase 1: matrix 370 rows 185 cols
[2021-05-09 00:23:04] [INFO ] Computed 5 place invariants in 1 ms
[2021-05-09 00:23:05] [INFO ] Dead Transitions using invariants and state equation in 551 ms returned []
Finished structural reductions, in 1 iterations. Remains : 185/185 places, 2108/2108 transitions.
Stuttering acceptance computed with spot in 46 ms :[(AND (NOT p0) (NOT p1)), (NOT p1)]
Running random walk in product with property : BridgeAndVehicles-COL-V80P20N10-05 automaton TGBA [mat=[[{ cond=true, acceptance={} source=0 dest: 0}, { cond=(AND (NOT p0) (NOT p1)), acceptance={} source=0 dest: 1}], [{ cond=(NOT p1), acceptance={0} source=1 dest: 1}]], initial=0, aps=[p0:(OR (AND (GEQ s0 1) (GEQ s105 1) (GEQ s166 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s136 1) (GEQ s166 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s129 1) (GEQ s166 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s160 1) (GEQ s166 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s153 1) (GEQ s166 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s98 1) (GEQ s166 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s95 1) (GEQ s166 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s126 1) (GEQ s166 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s143 1) (GEQ s166 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s112 1) (GEQ s166 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s91 1) (GEQ s166 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s140 1) (GEQ s166 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s109 1) (GEQ s166 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s122 1) (GEQ s166 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s101 1) (GEQ s166 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s150 1) (GEQ s166 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s88 1) (GEQ s166 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s119 1) (GEQ s166 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s132 1) (GEQ s166 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s163 1) (GEQ s166 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s118 1) (GEQ s166 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s111 1) (GEQ s166 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s144 1) (GEQ s166 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s125 1) (GEQ s166 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s135 1) (GEQ s166 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s85 1) (GEQ s166 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s147 1) (GEQ s166 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s108 1) (GEQ s166 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s99 1) (GEQ s166 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s161 1) (GEQ s166 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s121 1) (GEQ s166 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s115 1) (GEQ s166 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s157 1) (GEQ s166 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s102 1) (GEQ s166 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s151 1) (GEQ s166 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s164 1) (GEQ s166 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s89 1) (GEQ s166 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s92 1) (GEQ s166 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s128 1) (GEQ s166 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s154 1) (GEQ s166 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s141 1) (GEQ s166 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s124 1) (GEQ s166 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s93 1) (GEQ s166 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s148 1) (GEQ s166 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s155 1) (GEQ s166 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s100 1) (GEQ s166 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s131 1) (GEQ s166 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s162 1) (GEQ s166 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s107 1) (GEQ s166 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s86 1) (GEQ s166 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s138 1) (GEQ s166 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s117 1) (GEQ s166 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s103 1) (GEQ s166 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s134 1) (GEQ s166 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s90 1) (GEQ s166 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s127 1) (GEQ s166 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s96 1) (GEQ s166 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s145 1) (GEQ s166 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s158 1) (GEQ s166 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s137 1) (GEQ s166 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s114 1) (GEQ s166 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s130 1) (GEQ s166 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s87 1) (GEQ s166 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s106 1) (GEQ s166 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s149 1) (GEQ s166 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s142 1) (GEQ s166 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s104 1) (GEQ s166 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s156 1) (GEQ s166 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s113 1) (GEQ s166 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s116 1) (GEQ s166 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s94 1) (GEQ s166 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s152 1) (GEQ s166 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s133 1) (GEQ s166 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s139 1) (GEQ s166 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s120 1) (GEQ s166 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s146 1) (GEQ s166 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s159 1) (GEQ s166 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s97 1) (GEQ s166 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s123 1) (GEQ s166 1) (GEQ s169 1)) (AND (GEQ s0 1) (GEQ s110 1) (GEQ s166 1) (GEQ s169 1))), p1:(GEQ s83 1)], nbAcceptance=1, properties=[trans-labels, explicit-labels, trans-acc, no-univ-branch, stutter-invariant, very-weak, weak, inherently-weak], stateDesc=[null, null][true, true]]
Product exploration explored 100000 steps with 4444 reset in 561 ms.
Product exploration explored 100000 steps with 4522 reset in 529 ms.
Knowledge obtained : [(NOT p0), (NOT p1)]
Stuttering acceptance computed with spot in 63 ms :[(AND (NOT p0) (NOT p1)), (NOT p1)]
Product exploration explored 100000 steps with 4463 reset in 684 ms.
Product exploration explored 100000 steps with 4480 reset in 661 ms.
[2021-05-09 00:23:07] [INFO ] Flatten gal took : 93 ms
[2021-05-09 00:23:08] [INFO ] Flatten gal took : 125 ms
[2021-05-09 00:23:08] [INFO ] Time to serialize gal into /tmp/LTL14041724655378529307.gal : 32 ms
[2021-05-09 00:23:08] [INFO ] Time to serialize properties into /tmp/LTL9439179788778255746.ltl : 2 ms
Invoking ITS tools like this :CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64, --gc-threshold, 2000000, -i, /tmp/LTL14041724655378529307.gal, -t, CGAL, -LTL, /tmp/LTL9439179788778255746.ltl, -c, -stutter-deadlock, --gen-order, FOLLOW], workingDir=/home/mcc/execution]

its-ltl command run as :

/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64 --gc-threshold 2000000 -i /tmp/LTL14041724655378529307.gal -t CGAL -LTL /tmp/LTL9439179788778255746.ltl -c -stutter-deadlock --gen-order FOLLOW
Read 1 LTL properties
Checking formula 0 : !((G(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((F("(SUR_PONT_A_0>=1)"))||("((((CAPACITE_0>=1)&&(NB_ATTENTE_B_21>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))"))||("((((CAPACITE_0>=1)&&(NB_ATTENTE_B_52>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))"))||("((((CAPACITE_0>=1)&&(NB_ATTENTE_B_45>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))"))||("((((CAPACITE_0>=1)&&(NB_ATTENTE_B_76>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))"))||("((((CAPACITE_0>=1)&&(NB_ATTENTE_B_69>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))"))||("((((CAPACITE_0>=1)&&(NB_ATTENTE_B_14>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))"))||("((((CAPACITE_0>=1)&&(NB_ATTENTE_B_11>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))"))||("((((CAPACITE_0>=1)&&(NB_ATTENTE_B_42>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))"))||("((((CAPACITE_0>=1)&&(NB_ATTENTE_B_59>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))"))||("((((CAPACITE_0>=1)&&(NB_ATTENTE_B_28>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))"))||("((((CAPACITE_0>=1)&&(NB_ATTENTE_B_7>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))"))||("((((CAPACITE_0>=1)&&(NB_ATTENTE_B_56>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))"))||("((((CAPACITE_0>=1)&&(NB_ATTENTE_B_25>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))"))||("((((CAPACITE_0>=1)&&(NB_ATTENTE_B_38>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))"))||("((((CAPACITE_0>=1)&&(NB_ATTENTE_B_17>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))"))||("((((CAPACITE_0>=1)&&(NB_ATTENTE_B_66>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))"))||("((((CAPACITE_0>=1)&&(NB_ATTENTE_B_4>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))"))||("((((CAPACITE_0>=1)&&(NB_ATTENTE_B_35>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))"))||("((((CAPACITE_0>=1)&&(NB_ATTENTE_B_48>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))"))||("((((CAPACITE_0>=1)&&(NB_ATTENTE_B_79>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))"))||("((((CAPACITE_0>=1)&&(NB_ATTENTE_B_34>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))"))||("((((CAPACITE_0>=1)&&(NB_ATTENTE_B_27>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))"))||("((((CAPACITE_0>=1)&&(NB_ATTENTE_B_60>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))"))||("((((CAPACITE_0>=1)&&(NB_ATTENTE_B_41>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))"))||("((((CAPACITE_0>=1)&&(NB_ATTENTE_B_51>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))"))||("((((CAPACITE_0>=1)&&(NB_ATTENTE_B_1>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))"))||("((((CAPACITE_0>=1)&&(NB_ATTENTE_B_63>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))"))||("((((CAPACITE_0>=1)&&(NB_ATTENTE_B_24>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))"))||("((((CAPACITE_0>=1)&&(NB_ATTENTE_B_15>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))"))||("((((CAPACITE_0>=1)&&(NB_ATTENTE_B_77>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))"))||("((((CAPACITE_0>=1)&&(NB_ATTENTE_B_37>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))"))||("((((CAPACITE_0>=1)&&(NB_ATTENTE_B_31>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))"))||("((((CAPACITE_0>=1)&&(NB_ATTENTE_B_73>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))"))||("((((CAPACITE_0>=1)&&(NB_ATTENTE_B_18>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))"))||("((((CAPACITE_0>=1)&&(NB_ATTENTE_B_67>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))"))||("((((CAPACITE_0>=1)&&(NB_ATTENTE_B_80>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))"))||("((((CAPACITE_0>=1)&&(NB_ATTENTE_B_5>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))"))||("((((CAPACITE_0>=1)&&(NB_ATTENTE_B_8>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))"))||("((((CAPACITE_0>=1)&&(NB_ATTENTE_B_44>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))"))||("((((CAPACITE_0>=1)&&(NB_ATTENTE_B_70>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))"))||("((((CAPACITE_0>=1)&&(NB_ATTENTE_B_57>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))"))||("((((CAPACITE_0>=1)&&(NB_ATTENTE_B_40>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))"))||("((((CAPACITE_0>=1)&&(NB_ATTENTE_B_9>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))"))||("((((CAPACITE_0>=1)&&(NB_ATTENTE_B_64>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))"))||("((((CAPACITE_0>=1)&&(NB_ATTENTE_B_71>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))"))||("((((CAPACITE_0>=1)&&(NB_ATTENTE_B_16>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))"))||("((((CAPACITE_0>=1)&&(NB_ATTENTE_B_47>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))"))||("((((CAPACITE_0>=1)&&(NB_ATTENTE_B_78>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))"))||("((((CAPACITE_0>=1)&&(NB_ATTENTE_B_23>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))"))||("((((CAPACITE_0>=1)&&(NB_ATTENTE_B_2>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))"))||("((((CAPACITE_0>=1)&&(NB_ATTENTE_B_54>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))"))||("((((CAPACITE_0>=1)&&(NB_ATTENTE_B_33>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))"))||("((((CAPACITE_0>=1)&&(NB_ATTENTE_B_19>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))"))||("((((CAPACITE_0>=1)&&(NB_ATTENTE_B_50>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))"))||("((((CAPACITE_0>=1)&&(NB_ATTENTE_B_6>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))"))||("((((CAPACITE_0>=1)&&(NB_ATTENTE_B_43>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))"))||("((((CAPACITE_0>=1)&&(NB_ATTENTE_B_12>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))"))||("((((CAPACITE_0>=1)&&(NB_ATTENTE_B_61>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))"))||("((((CAPACITE_0>=1)&&(NB_ATTENTE_B_74>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))"))||("((((CAPACITE_0>=1)&&(NB_ATTENTE_B_53>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))"))||("((((CAPACITE_0>=1)&&(NB_ATTENTE_B_30>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))"))||("((((CAPACITE_0>=1)&&(NB_ATTENTE_B_46>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))"))||("((((CAPACITE_0>=1)&&(NB_ATTENTE_B_3>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))"))||("((((CAPACITE_0>=1)&&(NB_ATTENTE_B_22>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))"))||("((((CAPACITE_0>=1)&&(NB_ATTENTE_B_65>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))"))||("((((CAPACITE_0>=1)&&(NB_ATTENTE_B_58>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))"))||("((((CAPACITE_0>=1)&&(NB_ATTENTE_B_20>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))"))||("((((CAPACITE_0>=1)&&(NB_ATTENTE_B_72>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))"))||("((((CAPACITE_0>=1)&&(NB_ATTENTE_B_29>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))"))||("((((CAPACITE_0>=1)&&(NB_ATTENTE_B_32>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))"))||("((((CAPACITE_0>=1)&&(NB_ATTENTE_B_10>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))"))||("((((CAPACITE_0>=1)&&(NB_ATTENTE_B_68>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))"))||("((((CAPACITE_0>=1)&&(NB_ATTENTE_B_49>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))"))||("((((CAPACITE_0>=1)&&(NB_ATTENTE_B_55>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))"))||("((((CAPACITE_0>=1)&&(NB_ATTENTE_B_36>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))"))||("((((CAPACITE_0>=1)&&(NB_ATTENTE_B_62>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))"))||("((((CAPACITE_0>=1)&&(NB_ATTENTE_B_75>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))"))||("((((CAPACITE_0>=1)&&(NB_ATTENTE_B_13>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))"))||("((((CAPACITE_0>=1)&&(NB_ATTENTE_B_39>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))"))||("((((CAPACITE_0>=1)&&(NB_ATTENTE_B_26>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))"))))
Formula 0 simplified : !G("((((CAPACITE_0>=1)&&(NB_ATTENTE_B_1>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))" | "((((CAPACITE_0>=1)&&(NB_ATTENTE_B_2>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))" | "((((CAPACITE_0>=1)&&(NB_ATTENTE_B_3>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))" | "((((CAPACITE_0>=1)&&(NB_ATTENTE_B_4>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))" | "((((CAPACITE_0>=1)&&(NB_ATTENTE_B_5>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))" | "((((CAPACITE_0>=1)&&(NB_ATTENTE_B_6>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))" | "((((CAPACITE_0>=1)&&(NB_ATTENTE_B_7>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))" | "((((CAPACITE_0>=1)&&(NB_ATTENTE_B_8>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))" | "((((CAPACITE_0>=1)&&(NB_ATTENTE_B_9>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))" | "((((CAPACITE_0>=1)&&(NB_ATTENTE_B_10>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))" | "((((CAPACITE_0>=1)&&(NB_ATTENTE_B_11>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))" | "((((CAPACITE_0>=1)&&(NB_ATTENTE_B_12>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))" | "((((CAPACITE_0>=1)&&(NB_ATTENTE_B_13>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))" | "((((CAPACITE_0>=1)&&(NB_ATTENTE_B_14>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))" | "((((CAPACITE_0>=1)&&(NB_ATTENTE_B_15>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))" | "((((CAPACITE_0>=1)&&(NB_ATTENTE_B_16>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))" | "((((CAPACITE_0>=1)&&(NB_ATTENTE_B_17>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))" | "((((CAPACITE_0>=1)&&(NB_ATTENTE_B_18>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))" | "((((CAPACITE_0>=1)&&(NB_ATTENTE_B_19>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))" | "((((CAPACITE_0>=1)&&(NB_ATTENTE_B_20>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))" | "((((CAPACITE_0>=1)&&(NB_ATTENTE_B_21>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))" | "((((CAPACITE_0>=1)&&(NB_ATTENTE_B_22>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))" | "((((CAPACITE_0>=1)&&(NB_ATTENTE_B_23>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))" | "((((CAPACITE_0>=1)&&(NB_ATTENTE_B_24>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))" | "((((CAPACITE_0>=1)&&(NB_ATTENTE_B_25>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))" | "((((CAPACITE_0>=1)&&(NB_ATTENTE_B_26>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))" | "((((CAPACITE_0>=1)&&(NB_ATTENTE_B_27>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))" | "((((CAPACITE_0>=1)&&(NB_ATTENTE_B_28>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))" | "((((CAPACITE_0>=1)&&(NB_ATTENTE_B_29>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))" | "((((CAPACITE_0>=1)&&(NB_ATTENTE_B_30>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))" | "((((CAPACITE_0>=1)&&(NB_ATTENTE_B_31>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))" | "((((CAPACITE_0>=1)&&(NB_ATTENTE_B_32>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))" | "((((CAPACITE_0>=1)&&(NB_ATTENTE_B_33>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))" | "((((CAPACITE_0>=1)&&(NB_ATTENTE_B_34>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))" | "((((CAPACITE_0>=1)&&(NB_ATTENTE_B_35>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))" | "((((CAPACITE_0>=1)&&(NB_ATTENTE_B_36>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))" | "((((CAPACITE_0>=1)&&(NB_ATTENTE_B_37>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))" | "((((CAPACITE_0>=1)&&(NB_ATTENTE_B_38>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))" | "((((CAPACITE_0>=1)&&(NB_ATTENTE_B_39>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))" | "((((CAPACITE_0>=1)&&(NB_ATTENTE_B_40>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))" | "((((CAPACITE_0>=1)&&(NB_ATTENTE_B_41>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))" | "((((CAPACITE_0>=1)&&(NB_ATTENTE_B_42>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))" | "((((CAPACITE_0>=1)&&(NB_ATTENTE_B_43>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))" | "((((CAPACITE_0>=1)&&(NB_ATTENTE_B_44>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))" | "((((CAPACITE_0>=1)&&(NB_ATTENTE_B_45>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))" | "((((CAPACITE_0>=1)&&(NB_ATTENTE_B_46>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))" | "((((CAPACITE_0>=1)&&(NB_ATTENTE_B_47>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))" | "((((CAPACITE_0>=1)&&(NB_ATTENTE_B_48>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))" | "((((CAPACITE_0>=1)&&(NB_ATTENTE_B_49>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))" | "((((CAPACITE_0>=1)&&(NB_ATTENTE_B_50>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))" | "((((CAPACITE_0>=1)&&(NB_ATTENTE_B_51>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))" | "((((CAPACITE_0>=1)&&(NB_ATTENTE_B_52>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))" | "((((CAPACITE_0>=1)&&(NB_ATTENTE_B_53>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))" | "((((CAPACITE_0>=1)&&(NB_ATTENTE_B_54>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))" | "((((CAPACITE_0>=1)&&(NB_ATTENTE_B_55>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))" | "((((CAPACITE_0>=1)&&(NB_ATTENTE_B_56>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))" | "((((CAPACITE_0>=1)&&(NB_ATTENTE_B_57>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))" | "((((CAPACITE_0>=1)&&(NB_ATTENTE_B_58>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))" | "((((CAPACITE_0>=1)&&(NB_ATTENTE_B_59>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))" | "((((CAPACITE_0>=1)&&(NB_ATTENTE_B_60>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))" | "((((CAPACITE_0>=1)&&(NB_ATTENTE_B_61>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))" | "((((CAPACITE_0>=1)&&(NB_ATTENTE_B_62>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))" | "((((CAPACITE_0>=1)&&(NB_ATTENTE_B_63>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))" | "((((CAPACITE_0>=1)&&(NB_ATTENTE_B_64>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))" | "((((CAPACITE_0>=1)&&(NB_ATTENTE_B_65>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))" | "((((CAPACITE_0>=1)&&(NB_ATTENTE_B_66>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))" | "((((CAPACITE_0>=1)&&(NB_ATTENTE_B_67>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))" | "((((CAPACITE_0>=1)&&(NB_ATTENTE_B_68>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))" | "((((CAPACITE_0>=1)&&(NB_ATTENTE_B_69>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))" | "((((CAPACITE_0>=1)&&(NB_ATTENTE_B_70>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))" | "((((CAPACITE_0>=1)&&(NB_ATTENTE_B_71>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))" | "((((CAPACITE_0>=1)&&(NB_ATTENTE_B_72>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))" | "((((CAPACITE_0>=1)&&(NB_ATTENTE_B_73>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))" | "((((CAPACITE_0>=1)&&(NB_ATTENTE_B_74>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))" | "((((CAPACITE_0>=1)&&(NB_ATTENTE_B_75>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))" | "((((CAPACITE_0>=1)&&(NB_ATTENTE_B_76>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))" | "((((CAPACITE_0>=1)&&(NB_ATTENTE_B_77>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))" | "((((CAPACITE_0>=1)&&(NB_ATTENTE_B_78>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))" | "((((CAPACITE_0>=1)&&(NB_ATTENTE_B_79>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))" | "((((CAPACITE_0>=1)&&(NB_ATTENTE_B_80>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))" | F"(SUR_PONT_A_0>=1)")
Detected timeout of ITS tools.
[2021-05-09 00:23:23] [INFO ] Flatten gal took : 54 ms
[2021-05-09 00:23:23] [INFO ] Applying decomposition
[2021-05-09 00:23:23] [INFO ] Flatten gal took : 56 ms
Converted graph to binary with : CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.louvain.binaries_1.0.0.202104292328/bin/convert-linux64, -i, /tmp/graph855065544047404286.txt, -o, /tmp/graph855065544047404286.bin, -w, /tmp/graph855065544047404286.weights], workingDir=null]
Built communities with : CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.louvain.binaries_1.0.0.202104292328/bin/louvain-linux64, /tmp/graph855065544047404286.bin, -l, -1, -v, -w, /tmp/graph855065544047404286.weights, -q, 0, -e, 0.001], workingDir=null]
[2021-05-09 00:23:23] [INFO ] Decomposing Gal with order
[2021-05-09 00:23:23] [INFO ] Rewriting arrays to variables to allow decomposition.
[2021-05-09 00:23:24] [INFO ] Removed a total of 3884 redundant transitions.
[2021-05-09 00:23:24] [INFO ] Flatten gal took : 708 ms
[2021-05-09 00:23:24] [INFO ] Fuse similar labels procedure discarded/fused a total of 748 labels/synchronizations in 19 ms.
[2021-05-09 00:23:24] [INFO ] Time to serialize gal into /tmp/LTL16096534382420909680.gal : 2 ms
[2021-05-09 00:23:24] [INFO ] Time to serialize properties into /tmp/LTL10499693745737597093.ltl : 1 ms
Invoking ITS tools like this :CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64, --gc-threshold, 2000000, -i, /tmp/LTL16096534382420909680.gal, -t, CGAL, -LTL, /tmp/LTL10499693745737597093.ltl, -c, -stutter-deadlock], workingDir=/home/mcc/execution]

its-ltl command run as :

/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64 --gc-threshold 2000000 -i /tmp/LTL16096534382420909680.gal -t CGAL -LTL /tmp/LTL10499693745737597093.ltl -c -stutter-deadlock
Read 1 LTL properties
Checking formula 0 : !((G(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((F("(i0.u0.SUR_PONT_A_0>=1)"))||("((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_21>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))"))||("((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_52>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))"))||("((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_45>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))"))||("((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_76>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))"))||("((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_69>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))"))||("((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_14>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))"))||("((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_11>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))"))||("((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_42>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))"))||("((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_59>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))"))||("((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_28>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))"))||("((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_7>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))"))||("((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_56>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))"))||("((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_25>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))"))||("((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_38>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))"))||("((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_17>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))"))||("((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_66>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))"))||("((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_4>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))"))||("((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_35>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))"))||("((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_48>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))"))||("((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_79>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))"))||("((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_34>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))"))||("((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_27>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))"))||("((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_60>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))"))||("((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_41>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))"))||("((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_51>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))"))||("((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_1>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))"))||("((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_63>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))"))||("((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_24>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))"))||("((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_15>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))"))||("((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_77>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))"))||("((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_37>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))"))||("((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_31>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))"))||("((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_73>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))"))||("((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_18>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))"))||("((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_67>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))"))||("((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_80>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))"))||("((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_5>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))"))||("((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_8>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))"))||("((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_44>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))"))||("((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_70>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))"))||("((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_57>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))"))||("((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_40>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))"))||("((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_9>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))"))||("((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_64>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))"))||("((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_71>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))"))||("((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_16>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))"))||("((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_47>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))"))||("((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_78>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))"))||("((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_23>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))"))||("((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_2>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))"))||("((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_54>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))"))||("((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_33>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))"))||("((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_19>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))"))||("((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_50>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))"))||("((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_6>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))"))||("((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_43>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))"))||("((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_12>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))"))||("((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_61>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))"))||("((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_74>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))"))||("((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_53>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))"))||("((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_30>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))"))||("((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_46>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))"))||("((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_3>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))"))||("((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_22>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))"))||("((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_65>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))"))||("((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_58>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))"))||("((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_20>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))"))||("((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_72>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))"))||("((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_29>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))"))||("((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_32>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))"))||("((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_10>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))"))||("((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_68>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))"))||("((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_49>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))"))||("((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_55>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))"))||("((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_36>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))"))||("((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_62>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))"))||("((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_75>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))"))||("((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_13>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))"))||("((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_39>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))"))||("((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_26>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))"))))
Formula 0 simplified : !G("((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_1>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))" | "((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_2>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))" | "((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_3>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))" | "((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_4>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))" | "((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_5>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))" | "((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_6>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))" | "((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_7>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))" | "((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_8>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))" | "((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_9>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))" | "((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_10>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))" | "((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_11>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))" | "((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_12>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))" | "((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_13>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))" | "((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_14>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))" | "((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_15>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))" | "((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_16>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))" | "((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_17>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))" | "((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_18>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))" | "((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_19>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))" | "((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_20>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))" | "((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_21>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))" | "((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_22>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))" | "((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_23>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))" | "((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_24>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))" | "((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_25>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))" | "((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_26>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))" | "((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_27>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))" | "((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_28>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))" | "((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_29>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))" | "((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_30>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))" | "((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_31>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))" | "((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_32>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))" | "((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_33>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))" | "((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_34>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))" | "((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_35>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))" | "((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_36>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))" | "((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_37>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))" | "((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_38>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))" | "((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_39>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))" | "((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_40>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))" | "((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_41>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))" | "((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_42>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))" | "((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_43>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))" | "((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_44>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))" | "((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_45>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))" | "((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_46>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))" | "((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_47>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))" | "((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_48>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))" | "((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_49>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))" | "((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_50>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))" | "((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_51>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))" | "((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_52>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))" | "((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_53>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))" | "((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_54>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))" | "((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_55>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))" | "((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_56>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))" | "((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_57>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))" | "((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_58>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))" | "((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_59>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))" | "((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_60>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))" | "((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_61>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))" | "((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_62>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))" | "((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_63>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))" | "((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_64>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))" | "((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_65>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))" | "((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_66>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))" | "((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_67>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))" | "((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_68>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))" | "((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_69>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))" | "((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_70>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))" | "((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_71>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))" | "((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_72>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))" | "((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_73>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))" | "((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_74>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))" | "((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_75>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))" | "((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_76>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))" | "((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_77>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))" | "((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_78>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))" | "((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_79>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))" | "((((i0.u0.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_80>=1))&&(i0.u4.ATTENTE_B_0>=1))&&(i1.u3.CONTROLEUR_1>=1))" | F"(i0.u0.SUR_PONT_A_0>=1)")
Detected timeout of ITS tools.
Built C files in :
/tmp/ltsmin4665153444069058011
[2021-05-09 00:23:39] [INFO ] Built C files in 50ms conformant to PINS (ltsmin variant)in folder :/tmp/ltsmin4665153444069058011
Running compilation step : CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/include/, -I., -std=c99, -fPIC, -O2, model.c], workingDir=/tmp/ltsmin4665153444069058011]
WARNING : LTS min runner thread failed on error :java.lang.RuntimeException: Compilation or link of executable timed out.java.util.concurrent.TimeoutException: Subprocess running CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/include/, -I., -std=c99, -fPIC, -O2, model.c], workingDir=/tmp/ltsmin4665153444069058011] killed by timeout after 1 SECONDS
java.lang.RuntimeException: Compilation or link of executable timed out.java.util.concurrent.TimeoutException: Subprocess running CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/include/, -I., -std=c99, -fPIC, -O2, model.c], workingDir=/tmp/ltsmin4665153444069058011] killed by timeout after 1 SECONDS
at fr.lip6.move.gal.application.LTSminRunner$1.run(LTSminRunner.java:79)
at java.base/java.lang.Thread.run(Thread.java:834)
Treatment of property BridgeAndVehicles-COL-V80P20N10-05 finished in 37650 ms.
Running Spot : CommandLine [args=[/home/mcc/BenchKit/bin//..//ltl2tgba, --hoaf=tv, -f, !(F(p0))], workingDir=/home/mcc/execution]
Support contains 83 out of 185 places. Attempting structural reductions.
Starting structural reductions, iteration 0 : 185/185 places, 2108/2108 transitions.
Discarding 1 places :
Symmetric choice reduction at 0 with 1 rule applications. Total rules 1 place count 184 transition count 2107
Iterating global reduction 0 with 1 rules applied. Total rules applied 2 place count 184 transition count 2107
Applied a total of 2 rules in 147 ms. Remains 184 /185 variables (removed 1) and now considering 2107/2108 (removed 1) transitions.
[2021-05-09 00:23:40] [INFO ] Flow matrix only has 369 transitions (discarded 1738 similar events)
// Phase 1: matrix 369 rows 184 cols
[2021-05-09 00:23:40] [INFO ] Computed 5 place invariants in 1 ms
[2021-05-09 00:23:41] [INFO ] Dead Transitions using invariants and state equation in 715 ms returned []
[2021-05-09 00:23:41] [INFO ] Flow matrix only has 369 transitions (discarded 1738 similar events)
// Phase 1: matrix 369 rows 184 cols
[2021-05-09 00:23:41] [INFO ] Computed 5 place invariants in 1 ms
[2021-05-09 00:23:41] [INFO ] Implicit Places using invariants in 173 ms returned []
[2021-05-09 00:23:41] [INFO ] Flow matrix only has 369 transitions (discarded 1738 similar events)
// Phase 1: matrix 369 rows 184 cols
[2021-05-09 00:23:41] [INFO ] Computed 5 place invariants in 1 ms
[2021-05-09 00:23:41] [INFO ] State equation strengthened by 22 read => feed constraints.
[2021-05-09 00:23:42] [INFO ] Implicit Places using invariants and state equation in 558 ms returned []
Implicit Place search using SMT with State Equation took 745 ms to find 0 implicit places.
[2021-05-09 00:23:42] [INFO ] Redundant transitions in 43 ms returned []
[2021-05-09 00:23:42] [INFO ] Flow matrix only has 369 transitions (discarded 1738 similar events)
// Phase 1: matrix 369 rows 184 cols
[2021-05-09 00:23:42] [INFO ] Computed 5 place invariants in 2 ms
[2021-05-09 00:23:42] [INFO ] Dead Transitions using invariants and state equation in 604 ms returned []
Finished structural reductions, in 1 iterations. Remains : 184/185 places, 2107/2108 transitions.
Stuttering acceptance computed with spot in 25 ms :[(NOT p0)]
Running random walk in product with property : BridgeAndVehicles-COL-V80P20N10-06 automaton TGBA [mat=[[{ cond=(NOT p0), acceptance={0} source=0 dest: 0}]], initial=0, aps=[p0:(OR (AND (GEQ s0 1) (GEQ s105 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s136 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s129 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s160 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s153 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s98 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s95 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s126 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s143 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s112 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s91 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s140 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s109 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s122 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s101 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s150 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s88 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s119 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s132 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s163 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s118 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s111 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s144 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s125 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s135 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s85 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s147 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s108 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s99 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s161 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s121 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s115 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s157 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s102 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s151 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s164 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s89 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s92 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s128 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s154 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s141 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s124 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s93 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s148 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s155 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s100 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s131 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s162 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s107 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s86 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s138 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s117 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s103 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s134 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s90 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s127 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s96 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s145 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s158 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s137 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s114 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s130 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s87 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s106 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s149 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s142 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s104 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s156 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s113 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s116 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s94 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s152 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s133 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s139 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s120 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s146 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s159 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s97 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s123 1) (GEQ s165 1) (GEQ s168 1)) (AND (GEQ s0 1) (GEQ s110 1) (GEQ s165 1) (GEQ s168 1)))], nbAcceptance=1, properties=[trans-labels, explicit-labels, trans-acc, colored, deterministic, no-univ-branch, unambiguous, semi-deterministic, stutter-invariant, very-weak, weak, inherently-weak], stateDesc=[null][true]]
Product exploration explored 100000 steps with 1577 reset in 858 ms.
Product exploration explored 100000 steps with 1596 reset in 890 ms.
Knowledge obtained : [(NOT p0)]
Stuttering acceptance computed with spot in 34 ms :[(NOT p0)]
Product exploration explored 100000 steps with 1571 reset in 881 ms.
Product exploration explored 100000 steps with 1568 reset in 972 ms.
[2021-05-09 00:23:46] [INFO ] Flatten gal took : 56 ms
[2021-05-09 00:23:46] [INFO ] Flatten gal took : 60 ms
[2021-05-09 00:23:46] [INFO ] Time to serialize gal into /tmp/LTL1765706536310854551.gal : 8 ms
[2021-05-09 00:23:46] [INFO ] Time to serialize properties into /tmp/LTL14183155988613709952.ltl : 1 ms
Invoking ITS tools like this :CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64, --gc-threshold, 2000000, -i, /tmp/LTL1765706536310854551.gal, -t, CGAL, -LTL, /tmp/LTL14183155988613709952.ltl, -c, -stutter-deadlock, --gen-order, FOLLOW], workingDir=/home/mcc/execution]

its-ltl command run as :

/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64 --gc-threshold 2000000 -i /tmp/LTL1765706536310854551.gal -t CGAL -LTL /tmp/LTL14183155988613709952.ltl -c -stutter-deadlock --gen-order FOLLOW
Read 1 LTL properties
Checking formula 0 : !((F("(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((CAPACITE_0>=1)&&(NB_ATTENTE_B_21>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_52>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_45>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_76>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_69>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_14>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_11>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_42>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_59>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_28>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_7>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_56>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_25>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_38>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_17>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_66>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_4>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_35>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_48>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_79>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_34>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_27>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_60>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_41>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_51>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_1>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_63>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_24>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_15>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_77>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_37>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_31>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_73>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_18>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_67>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_80>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_5>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_8>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_44>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_70>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_57>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_40>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_9>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_64>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_71>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_16>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_47>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_78>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_23>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_2>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_54>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_33>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_19>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_50>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_6>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_43>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_12>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_61>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_74>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_53>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_30>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_46>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_3>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_22>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_65>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_58>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_20>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_72>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_29>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_32>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_10>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_68>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_49>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_55>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_36>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_62>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_75>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_13>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_39>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_26>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))")))
Formula 0 simplified : !F"(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((CAPACITE_0>=1)&&(NB_ATTENTE_B_21>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_52>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_45>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_76>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_69>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_14>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_11>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_42>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_59>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_28>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_7>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_56>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_25>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_38>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_17>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_66>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_4>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_35>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_48>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_79>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_34>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_27>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_60>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_41>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_51>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_1>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_63>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_24>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_15>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_77>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_37>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_31>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_73>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_18>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_67>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_80>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_5>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_8>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_44>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_70>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_57>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_40>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_9>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_64>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_71>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_16>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_47>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_78>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_23>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_2>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_54>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_33>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_19>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_50>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_6>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_43>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_12>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_61>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_74>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_53>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_30>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_46>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_3>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_22>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_65>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_58>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_20>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_72>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_29>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_32>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_10>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_68>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_49>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_55>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_36>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_62>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_75>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_13>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_39>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_26>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))"
Detected timeout of ITS tools.
[2021-05-09 00:24:01] [INFO ] Flatten gal took : 105 ms
[2021-05-09 00:24:01] [INFO ] Applying decomposition
[2021-05-09 00:24:02] [INFO ] Flatten gal took : 79 ms
Converted graph to binary with : CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.louvain.binaries_1.0.0.202104292328/bin/convert-linux64, -i, /tmp/graph4418615545323729722.txt, -o, /tmp/graph4418615545323729722.bin, -w, /tmp/graph4418615545323729722.weights], workingDir=null]
Built communities with : CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.louvain.binaries_1.0.0.202104292328/bin/louvain-linux64, /tmp/graph4418615545323729722.bin, -l, -1, -v, -w, /tmp/graph4418615545323729722.weights, -q, 0, -e, 0.001], workingDir=null]
[2021-05-09 00:24:02] [INFO ] Decomposing Gal with order
[2021-05-09 00:24:02] [INFO ] Rewriting arrays to variables to allow decomposition.
[2021-05-09 00:24:02] [INFO ] Removed a total of 4229 redundant transitions.
[2021-05-09 00:24:02] [INFO ] Flatten gal took : 472 ms
[2021-05-09 00:24:02] [INFO ] Fuse similar labels procedure discarded/fused a total of 522 labels/synchronizations in 26 ms.
[2021-05-09 00:24:02] [INFO ] Time to serialize gal into /tmp/LTL7061655115357942276.gal : 10 ms
[2021-05-09 00:24:02] [INFO ] Time to serialize properties into /tmp/LTL11219415381207296931.ltl : 0 ms
Invoking ITS tools like this :CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64, --gc-threshold, 2000000, -i, /tmp/LTL7061655115357942276.gal, -t, CGAL, -LTL, /tmp/LTL11219415381207296931.ltl, -c, -stutter-deadlock], workingDir=/home/mcc/execution]

its-ltl command run as :

/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64 --gc-threshold 2000000 -i /tmp/LTL7061655115357942276.gal -t CGAL -LTL /tmp/LTL11219415381207296931.ltl -c -stutter-deadlock
Read 1 LTL properties
Checking formula 0 : !((F("(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((i2.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_B_21>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1))||((((i2.u0.CAPACITE_0>=1)&&(i0.u1.NB_ATTENTE_B_52>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i0.u1.NB_ATTENTE_B_45>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_B_76>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_B_69>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_B_14>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_B_11>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i0.u1.NB_ATTENTE_B_42>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_B_59>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i0.u1.NB_ATTENTE_B_28>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i0.u1.NB_ATTENTE_B_7>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i0.u1.NB_ATTENTE_B_56>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_B_25>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_B_38>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_B_17>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_B_66>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i0.u1.NB_ATTENTE_B_4>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_B_35>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_B_48>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_B_79>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i0.u1.NB_ATTENTE_B_34>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i0.u1.NB_ATTENTE_B_27>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_B_60>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i0.u1.NB_ATTENTE_B_41>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i0.u1.NB_ATTENTE_B_51>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i0.u1.NB_ATTENTE_B_1>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i0.u1.NB_ATTENTE_B_63>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_B_24>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_B_15>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_B_77>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_B_37>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i0.u1.NB_ATTENTE_B_31>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i0.u1.NB_ATTENTE_B_73>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_B_18>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_B_67>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_B_80>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i0.u1.NB_ATTENTE_B_5>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i0.u1.NB_ATTENTE_B_8>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i0.u1.NB_ATTENTE_B_44>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i0.u1.NB_ATTENTE_B_70>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_B_57>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_B_40>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i0.u1.NB_ATTENTE_B_9>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i0.u1.NB_ATTENTE_B_64>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i0.u1.NB_ATTENTE_B_71>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_B_16>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_B_47>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_B_78>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_B_23>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i0.u1.NB_ATTENTE_B_2>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i0.u1.NB_ATTENTE_B_54>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i0.u1.NB_ATTENTE_B_33>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_B_19>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i0.u1.NB_ATTENTE_B_50>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i0.u1.NB_ATTENTE_B_6>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i0.u1.NB_ATTENTE_B_43>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_B_12>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_B_61>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i0.u1.NB_ATTENTE_B_74>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i0.u1.NB_ATTENTE_B_53>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i0.u1.NB_ATTENTE_B_30>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i0.u1.NB_ATTENTE_B_46>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i0.u1.NB_ATTENTE_B_3>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_B_22>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_B_65>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_B_58>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_B_20>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i0.u1.NB_ATTENTE_B_72>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i0.u1.NB_ATTENTE_B_29>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i0.u1.NB_ATTENTE_B_32>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_B_10>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_B_68>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_B_49>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i0.u1.NB_ATTENTE_B_55>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_B_36>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i0.u1.NB_ATTENTE_B_62>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i0.u1.NB_ATTENTE_B_75>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_B_13>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_B_39>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_B_26>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))")))
Formula 0 simplified : !F"(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((i2.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_B_21>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1))||((((i2.u0.CAPACITE_0>=1)&&(i0.u1.NB_ATTENTE_B_52>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i0.u1.NB_ATTENTE_B_45>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_B_76>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_B_69>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_B_14>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_B_11>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i0.u1.NB_ATTENTE_B_42>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_B_59>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i0.u1.NB_ATTENTE_B_28>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i0.u1.NB_ATTENTE_B_7>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i0.u1.NB_ATTENTE_B_56>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_B_25>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_B_38>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_B_17>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_B_66>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i0.u1.NB_ATTENTE_B_4>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_B_35>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_B_48>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_B_79>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i0.u1.NB_ATTENTE_B_34>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i0.u1.NB_ATTENTE_B_27>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_B_60>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i0.u1.NB_ATTENTE_B_41>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i0.u1.NB_ATTENTE_B_51>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i0.u1.NB_ATTENTE_B_1>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i0.u1.NB_ATTENTE_B_63>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_B_24>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_B_15>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_B_77>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_B_37>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i0.u1.NB_ATTENTE_B_31>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i0.u1.NB_ATTENTE_B_73>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_B_18>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_B_67>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_B_80>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i0.u1.NB_ATTENTE_B_5>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i0.u1.NB_ATTENTE_B_8>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i0.u1.NB_ATTENTE_B_44>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i0.u1.NB_ATTENTE_B_70>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_B_57>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_B_40>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i0.u1.NB_ATTENTE_B_9>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i0.u1.NB_ATTENTE_B_64>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i0.u1.NB_ATTENTE_B_71>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_B_16>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_B_47>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_B_78>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_B_23>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i0.u1.NB_ATTENTE_B_2>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i0.u1.NB_ATTENTE_B_54>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i0.u1.NB_ATTENTE_B_33>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_B_19>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i0.u1.NB_ATTENTE_B_50>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i0.u1.NB_ATTENTE_B_6>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i0.u1.NB_ATTENTE_B_43>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_B_12>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_B_61>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i0.u1.NB_ATTENTE_B_74>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i0.u1.NB_ATTENTE_B_53>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i0.u1.NB_ATTENTE_B_30>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i0.u1.NB_ATTENTE_B_46>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i0.u1.NB_ATTENTE_B_3>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_B_22>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_B_65>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_B_58>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_B_20>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i0.u1.NB_ATTENTE_B_72>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i0.u1.NB_ATTENTE_B_29>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i0.u1.NB_ATTENTE_B_32>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_B_10>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_B_68>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_B_49>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i0.u1.NB_ATTENTE_B_55>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_B_36>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i0.u1.NB_ATTENTE_B_62>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i0.u1.NB_ATTENTE_B_75>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_B_13>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_B_39>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))||((((i2.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_B_26>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(u4.CONTROLEUR_1>=1)))"
Detected timeout of ITS tools.
Built C files in :
/tmp/ltsmin18431849197176033794
[2021-05-09 00:24:17] [INFO ] Built C files in 49ms conformant to PINS (ltsmin variant)in folder :/tmp/ltsmin18431849197176033794
Running compilation step : CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/include/, -I., -std=c99, -fPIC, -O2, model.c], workingDir=/tmp/ltsmin18431849197176033794]
WARNING : LTS min runner thread failed on error :java.lang.RuntimeException: Compilation or link of executable timed out.java.util.concurrent.TimeoutException: Subprocess running CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/include/, -I., -std=c99, -fPIC, -O2, model.c], workingDir=/tmp/ltsmin18431849197176033794] killed by timeout after 1 SECONDS
java.lang.RuntimeException: Compilation or link of executable timed out.java.util.concurrent.TimeoutException: Subprocess running CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/include/, -I., -std=c99, -fPIC, -O2, model.c], workingDir=/tmp/ltsmin18431849197176033794] killed by timeout after 1 SECONDS
at fr.lip6.move.gal.application.LTSminRunner$1.run(LTSminRunner.java:79)
at java.base/java.lang.Thread.run(Thread.java:834)
Treatment of property BridgeAndVehicles-COL-V80P20N10-06 finished in 38381 ms.
Running Spot : CommandLine [args=[/home/mcc/BenchKit/bin//..//ltl2tgba, --hoaf=tv, -f, !(F((G(p0)||X((G(F(p2))&&p1)))))], workingDir=/home/mcc/execution]
Support contains 85 out of 185 places. Attempting structural reductions.
Starting structural reductions, iteration 0 : 185/185 places, 2108/2108 transitions.
Discarding 1 places :
Symmetric choice reduction at 0 with 1 rule applications. Total rules 1 place count 184 transition count 2107
Iterating global reduction 0 with 1 rules applied. Total rules applied 2 place count 184 transition count 2107
Applied a total of 2 rules in 40 ms. Remains 184 /185 variables (removed 1) and now considering 2107/2108 (removed 1) transitions.
[2021-05-09 00:24:19] [INFO ] Flow matrix only has 369 transitions (discarded 1738 similar events)
// Phase 1: matrix 369 rows 184 cols
[2021-05-09 00:24:19] [INFO ] Computed 5 place invariants in 3 ms
[2021-05-09 00:24:19] [INFO ] Dead Transitions using invariants and state equation in 662 ms returned []
[2021-05-09 00:24:19] [INFO ] Flow matrix only has 369 transitions (discarded 1738 similar events)
// Phase 1: matrix 369 rows 184 cols
[2021-05-09 00:24:19] [INFO ] Computed 5 place invariants in 1 ms
[2021-05-09 00:24:19] [INFO ] Implicit Places using invariants in 184 ms returned []
[2021-05-09 00:24:19] [INFO ] Flow matrix only has 369 transitions (discarded 1738 similar events)
// Phase 1: matrix 369 rows 184 cols
[2021-05-09 00:24:19] [INFO ] Computed 5 place invariants in 1 ms
[2021-05-09 00:24:19] [INFO ] State equation strengthened by 22 read => feed constraints.
[2021-05-09 00:24:20] [INFO ] Implicit Places using invariants and state equation in 790 ms returned [165]
Discarding 1 places :
Implicit Place search using SMT with State Equation took 1004 ms to find 1 implicit places.
[2021-05-09 00:24:20] [INFO ] Flow matrix only has 369 transitions (discarded 1738 similar events)
// Phase 1: matrix 369 rows 183 cols
[2021-05-09 00:24:20] [INFO ] Computed 5 place invariants in 2 ms
[2021-05-09 00:24:21] [INFO ] Dead Transitions using invariants and state equation in 589 ms returned []
Starting structural reductions, iteration 1 : 183/185 places, 2107/2108 transitions.
Applied a total of 0 rules in 18 ms. Remains 183 /183 variables (removed 0) and now considering 2107/2107 (removed 0) transitions.
[2021-05-09 00:24:21] [INFO ] Flow matrix only has 369 transitions (discarded 1738 similar events)
// Phase 1: matrix 369 rows 183 cols
[2021-05-09 00:24:21] [INFO ] Computed 5 place invariants in 2 ms
[2021-05-09 00:24:21] [INFO ] Dead Transitions using invariants and state equation in 553 ms returned []
Finished structural reductions, in 2 iterations. Remains : 183/185 places, 2107/2108 transitions.
Stuttering acceptance computed with spot in 137 ms :[(OR (AND (NOT p0) (NOT p2)) (AND (NOT p0) (NOT p1))), (OR (AND (NOT p0) (NOT p2)) (AND (NOT p0) (NOT p1))), (AND (NOT p0) (NOT p2)), (AND (NOT p0) (NOT p2)), (AND (NOT p0) (NOT p1))]
Running random walk in product with property : BridgeAndVehicles-COL-V80P20N10-07 automaton TGBA [mat=[[{ cond=true, acceptance={} source=0 dest: 1}], [{ cond=(AND (NOT p0) (NOT p2)), acceptance={} source=1 dest: 2}, { cond=true, acceptance={} source=1 dest: 3}, { cond=(NOT p1), acceptance={} source=1 dest: 4}], [{ cond=(AND (NOT p0) (NOT p2)), acceptance={0} source=2 dest: 2}, { cond=(AND p0 (NOT p2)), acceptance={} source=2 dest: 2}], [{ cond=(AND (NOT p0) (NOT p2)), acceptance={} source=3 dest: 2}, { cond=true, acceptance={} source=3 dest: 3}], [{ cond=(AND (NOT p0) (NOT p1)), acceptance={0} source=4 dest: 4}, { cond=(AND p0 (NOT p1)), acceptance={} source=4 dest: 4}]], initial=0, aps=[p0:(OR (AND (GEQ s34 1) (GEQ s82 1)) (AND (GEQ s24 1) (GEQ s82 1)) (AND (GEQ s5 1) (GEQ s82 1)) (AND (GEQ s54 1) (GEQ s82 1)) (AND (GEQ s73 1) (GEQ s82 1)) (AND (GEQ s53 1) (GEQ s82 1)) (AND (GEQ s43 1) (GEQ s82 1)) (AND (GEQ s15 1) (GEQ s82 1)) (AND (GEQ s64 1) (GEQ s82 1)) (AND (GEQ s45 1) (GEQ s82 1)) (AND (GEQ s62 1) (GEQ s82 1)) (AND (GEQ s33 1) (GEQ s82 1)) (AND (GEQ s3 1) (GEQ s82 1)) (AND (GEQ s72 1) (GEQ s82 1)) (AND (GEQ s55 1) (GEQ s82 1)) (AND (GEQ s4 1) (GEQ s82 1)) (AND (GEQ s25 1) (GEQ s82 1)) (AND (GEQ s16 1) (GEQ s82 1)) (AND (GEQ s42 1) (GEQ s82 1)) (AND (GEQ s63 1) (GEQ s82 1)) (AND (GEQ s46 1) (GEQ s82 1)) (AND (GEQ s12 1) (GEQ s82 1)) (AND (GEQ s17 1) (GEQ s82 1)) (AND (GEQ s61 1) (GEQ s82 1)) (AND (GEQ s51 1) (GEQ s82 1)) (AND (GEQ s71 1) (GEQ s82 1)) (AND (GEQ s36 1) (GEQ s82 1)) (AND (GEQ s2 1) (GEQ s82 1)) (AND (GEQ s37 1) (GEQ s82 1)) (AND (GEQ s26 1) (GEQ s82 1)) (AND (GEQ s70 1) (GEQ s82 1)) (AND (GEQ s60 1) (GEQ s82 1)) (AND (GEQ s11 1) (GEQ s82 1)) (AND (GEQ s1 1) (GEQ s82 1)) (AND (GEQ s18 1) (GEQ s82 1)) (AND (GEQ s77 1) (GEQ s82 1)) (AND (GEQ s52 1) (GEQ s82 1)) (AND (GEQ s35 1) (GEQ s82 1)) (AND (GEQ s69 1) (GEQ s82 1)) (AND (GEQ s27 1) (GEQ s82 1)) (AND (GEQ s44 1) (GEQ s82 1)) (AND (GEQ s10 1) (GEQ s82 1)) (AND (GEQ s78 1) (GEQ s82 1)) (AND (GEQ s68 1) (GEQ s82 1)) (AND (GEQ s19 1) (GEQ s82 1)) (AND (GEQ s39 1) (GEQ s82 1)) (AND (GEQ s9 1) (GEQ s82 1)) (AND (GEQ s58 1) (GEQ s82 1)) (AND (GEQ s30 1) (GEQ s82 1)) (AND (GEQ s49 1) (GEQ s82 1)) (AND (GEQ s79 1) (GEQ s82 1)) (AND (GEQ s28 1) (GEQ s82 1)) (AND (GEQ s67 1) (GEQ s82 1)) (AND (GEQ s50 1) (GEQ s82 1)) (AND (GEQ s21 1) (GEQ s82 1)) (AND (GEQ s20 1) (GEQ s82 1)) (AND (GEQ s38 1) (GEQ s82 1)) (AND (GEQ s76 1) (GEQ s82 1)) (AND (GEQ s59 1) (GEQ s82 1)) (AND (GEQ s8 1) (GEQ s82 1)) (AND (GEQ s80 1) (GEQ s82 1)) (AND (GEQ s29 1) (GEQ s82 1)) (AND (GEQ s56 1) (GEQ s82 1)) (AND (GEQ s7 1) (GEQ s82 1)) (AND (GEQ s41 1) (GEQ s82 1)) (AND (GEQ s22 1) (GEQ s82 1)) (AND (GEQ s75 1) (GEQ s82 1)) (AND (GEQ s32 1) (GEQ s82 1)) (AND (GEQ s13 1) (GEQ s82 1)) (AND (GEQ s66 1) (GEQ s82 1)) (AND (GEQ s47 1) (GEQ s82 1)) (AND (GEQ s81 1) (GEQ s82 1)) (AND (GEQ s23 1) (GEQ s82 1)) (AND (GEQ s40 1) (GEQ s82 1)) (AND (GEQ s6 1) (GEQ s82 1)) (AND (GEQ s74 1) (GEQ s82 1)) (AND (GEQ s57 1) (GEQ s82 1)) (AND (GEQ s31 1) (GEQ s82 1)) (AND (GEQ s48 1) (GEQ s82 1)) (AND (GEQ s14 1) (GEQ s82 1)) (AND (GEQ s65 1) (GEQ s82 1))), p2:(OR (AND (GEQ s168 1) (GEQ s180 1)) (AND (GEQ s169 1) (GEQ s180 1))), p1:(AND (OR (LT s34 1) (LT s82 1)) (OR (LT s24 1) (LT s82 1)) (OR (LT s5 1) (LT s82 1)) (OR (LT s54 1) (LT s82 1)) (OR (LT s73 1) (LT s82 1)) (OR (LT s53 1) (LT s82 1)) (OR (LT s43 1) (LT s82 1)) (OR (LT s15 1) (LT s82 1)) (OR (LT s64 1) (LT s82 1)) (OR (LT s45 1) (LT s82 1)) (OR (LT s62 1) (LT s82 1)) (OR (LT s33 1) (LT s82 1)) (OR (LT s3 1) (LT s82 1)) (OR (LT s72 1) (LT s82 1)) (OR (LT s55 1) (LT s82 1)) (OR (LT s4 1) (LT s82 1)) (OR (LT s25 1) (LT s82 1)) (OR (LT s16 1) (LT s82 1)) (OR (LT s42 1) (LT s82 1)) (OR (LT s63 1) (LT s82 1)) (OR (LT s46 1) (LT s82 1)) (OR (LT s12 1) (LT s82 1)) (OR (LT s17 1) (LT s82 1)) (OR (LT s61 1) (LT s82 1)) (OR (LT s51 1) (LT s82 1)) (OR (LT s71 1) (LT s82 1)) (OR (LT s36 1) (LT s82 1)) (OR (LT s2 1) (LT s82 1)) (OR (LT s37 1) (LT s82 1)) (OR (LT s26 1) (LT s82 1)) (OR (LT s70 1) (LT s82 1)) (OR (LT s60 1) (LT s82 1)) (OR (LT s11 1) (LT s82 1)) (OR (LT s1 1) (LT s82 1)) (OR (LT s18 1) (LT s82 1)) (OR (LT s77 1) (LT s82 1)) (OR (LT s52 1) (LT s82 1)) (OR (LT s35 1) (LT s82 1)) (OR (LT s69 1) (LT s82 1)) (OR (LT s27 1) (LT s82 1)) (OR (LT s44 1) (LT s82 1)) (OR (LT s10 1) (LT s82 1)) (OR (LT s78 1) (LT s82 1)) (OR (LT s68 1) (LT s82 1)) (OR (LT s19 1) (LT s82 1)) (OR (LT s39 1) (LT s82 1)) (OR (LT s9 1) (LT s82 1)) (OR (LT s58 1) (LT s82 1)) (OR (LT s30 1) (LT s82 1)) (OR (LT s49 1) (LT s82 1)) (OR (LT s79 1) (LT s82 1)) (OR (LT s28 1) (LT s82 1)) (OR (LT s67 1) (LT s82 1)) (OR (LT s50 1) (LT s82 1)) (OR (LT s21 1) (LT s82 1)) (OR (LT s20 1) (LT s82 1)) (OR (LT s38 1) (LT s82 1)) (OR (LT s76 1) (LT s82 1)) (OR (LT s59 1) (LT s82 1)) (OR (LT s8 1) (LT s82 1)) (OR (LT s80 1) (LT s82 1)) (OR (LT s29 1) (LT s82 1)) (OR (LT s56 1) (LT s82 1)) (OR (LT s7 1) (LT s82 1)) (OR (LT s41 1) (LT s82 1)) (OR (LT s22 1) (LT s82 1)) (OR (LT s75 1) (LT s82 1)) (OR (LT s32 1) (LT s82 1)) (OR (LT s13 1) (LT s82 1)) (OR (LT s66 1) (LT s82 1)) (OR (LT s47 1) (LT s82 1)) (OR (LT s81 1) (LT s82 1)) (OR (LT s23 1) (LT s82 1)) (OR (LT s40 1) (LT s82 1)) (OR (LT s6 1) (LT s82 1)) (OR (LT s74 1) (LT s82 1)) (OR (LT s57 1) (LT s82 1)) (OR (LT s31 1) (LT s82 1)) (OR (LT s48 1) (LT s82 1)) (OR (LT s14 1) (LT s82 1)) (OR (LT s65 1) (LT s82 1)))], nbAcceptance=1, properties=[trans-labels, explicit-labels, trans-acc, no-univ-branch], stateDesc=[null, null, null, null, null][false, false, false, false, false]]
Product exploration explored 100000 steps with 361 reset in 651 ms.
Product exploration explored 100000 steps with 359 reset in 749 ms.
Knowledge obtained : [p0, (NOT p2), (NOT p1)]
Stuttering acceptance computed with spot in 145 ms :[(OR (AND (NOT p0) (NOT p2)) (AND (NOT p0) (NOT p1))), (OR (AND (NOT p0) (NOT p2)) (AND (NOT p0) (NOT p1))), (AND (NOT p0) (NOT p2)), (AND (NOT p0) (NOT p2)), (AND (NOT p0) (NOT p1))]
Product exploration explored 100000 steps with 360 reset in 697 ms.
Product exploration explored 100000 steps with 362 reset in 660 ms.
Applying partial POR strategy [false, true, true, true, true]
Stuttering acceptance computed with spot in 178 ms :[(OR (AND (NOT p0) (NOT p2)) (AND (NOT p0) (NOT p1))), (OR (AND (NOT p0) (NOT p2)) (AND (NOT p0) (NOT p1))), (AND (NOT p0) (NOT p2)), (AND (NOT p0) (NOT p2)), (AND (NOT p0) (NOT p1))]
Support contains 85 out of 183 places. Attempting structural reductions.
Property had overlarge support with respect to TGBA, discarding it for now.
Starting structural reductions, iteration 0 : 183/183 places, 2107/2107 transitions.
Performed 1 Post agglomeration using F-continuation condition.Transition count delta: 0
Deduced a syphon composed of 1 places in 7 ms
Iterating global reduction 0 with 1 rules applied. Total rules applied 1 place count 183 transition count 2107
Deduced a syphon composed of 1 places in 3 ms
Applied a total of 1 rules in 248 ms. Remains 183 /183 variables (removed 0) and now considering 2107/2107 (removed 0) transitions.
[2021-05-09 00:24:25] [INFO ] Flow matrix only has 369 transitions (discarded 1738 similar events)
// Phase 1: matrix 369 rows 183 cols
[2021-05-09 00:24:25] [INFO ] Computed 5 place invariants in 1 ms
[2021-05-09 00:24:26] [INFO ] Dead Transitions using invariants and state equation in 798 ms returned []
[2021-05-09 00:24:26] [INFO ] Redundant transitions in 39 ms returned []
[2021-05-09 00:24:26] [INFO ] Flow matrix only has 369 transitions (discarded 1738 similar events)
// Phase 1: matrix 369 rows 183 cols
[2021-05-09 00:24:26] [INFO ] Computed 5 place invariants in 2 ms
[2021-05-09 00:24:26] [INFO ] Dead Transitions using invariants and state equation in 589 ms returned []
Finished structural reductions, in 1 iterations. Remains : 183/183 places, 2107/2107 transitions.
Product exploration explored 100000 steps with 411 reset in 782 ms.
Product exploration explored 100000 steps with 410 reset in 716 ms.
[2021-05-09 00:24:28] [INFO ] Flatten gal took : 80 ms
[2021-05-09 00:24:28] [INFO ] Flatten gal took : 78 ms
[2021-05-09 00:24:28] [INFO ] Time to serialize gal into /tmp/LTL16217543929274541256.gal : 9 ms
[2021-05-09 00:24:28] [INFO ] Time to serialize properties into /tmp/LTL8439337103831105147.ltl : 1 ms
Invoking ITS tools like this :CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64, --gc-threshold, 2000000, -i, /tmp/LTL16217543929274541256.gal, -t, CGAL, -LTL, /tmp/LTL8439337103831105147.ltl, -c, -stutter-deadlock, --gen-order, FOLLOW], workingDir=/home/mcc/execution]

its-ltl command run as :

/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64 --gc-threshold 2000000 -i /tmp/LTL16217543929274541256.gal -t CGAL -LTL /tmp/LTL8439337103831105147.ltl -c -stutter-deadlock --gen-order FOLLOW
Read 1 LTL properties
Checking formula 0 : !((F((G("((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((NB_ATTENTE_A_33>=1)&&(ROUTE_A_0>=1))||((NB_ATTENTE_A_23>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_4>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_53>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_72>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_52>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_42>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_14>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_63>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_44>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_61>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_32>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_2>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_71>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_54>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_3>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_24>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_15>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_41>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_62>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_45>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_11>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_16>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_60>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_50>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_70>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_35>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_1>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_36>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_25>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_69>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_59>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_10>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_0>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_17>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_76>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_51>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_34>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_68>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_26>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_43>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_9>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_77>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_67>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_18>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_38>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_8>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_57>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_29>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_48>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_78>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_27>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_66>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_49>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_20>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_19>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_37>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_75>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_58>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_7>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_79>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_28>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_55>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_6>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_40>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_21>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_74>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_31>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_12>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_65>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_46>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_80>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_22>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_39>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_5>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_73>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_56>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_30>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_47>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_13>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_64>=1)&&(ROUTE_A_0>=1)))"))||(X(("((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((NB_ATTENTE_A_33<1)||(ROUTE_A_0<1))&&((NB_ATTENTE_A_23<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_4<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_53<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_72<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_52<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_42<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_14<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_63<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_44<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_61<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_32<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_2<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_71<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_54<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_3<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_24<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_15<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_41<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_62<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_45<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_11<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_16<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_60<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_50<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_70<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_35<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_1<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_36<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_25<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_69<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_59<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_10<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_0<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_17<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_76<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_51<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_34<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_68<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_26<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_43<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_9<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_77<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_67<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_18<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_38<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_8<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_57<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_29<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_48<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_78<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_27<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_66<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_49<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_20<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_19<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_37<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_75<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_58<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_7<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_79<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_28<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_55<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_6<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_40<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_21<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_74<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_31<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_12<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_65<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_46<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_80<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_22<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_39<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_5<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_73<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_56<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_30<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_47<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_13<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_64<1)||(ROUTE_A_0<1)))")&&(G(F("(((CHOIX_0>=1)&&(COMPTEUR_10>=1))||((CHOIX_1>=1)&&(COMPTEUR_10>=1)))"))))))))
Formula 0 simplified : !F(G"((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((NB_ATTENTE_A_33>=1)&&(ROUTE_A_0>=1))||((NB_ATTENTE_A_23>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_4>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_53>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_72>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_52>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_42>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_14>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_63>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_44>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_61>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_32>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_2>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_71>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_54>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_3>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_24>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_15>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_41>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_62>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_45>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_11>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_16>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_60>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_50>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_70>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_35>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_1>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_36>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_25>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_69>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_59>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_10>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_0>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_17>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_76>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_51>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_34>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_68>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_26>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_43>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_9>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_77>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_67>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_18>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_38>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_8>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_57>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_29>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_48>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_78>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_27>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_66>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_49>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_20>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_19>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_37>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_75>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_58>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_7>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_79>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_28>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_55>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_6>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_40>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_21>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_74>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_31>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_12>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_65>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_46>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_80>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_22>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_39>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_5>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_73>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_56>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_30>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_47>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_13>=1)&&(ROUTE_A_0>=1)))||((NB_ATTENTE_A_64>=1)&&(ROUTE_A_0>=1)))" | X("((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((NB_ATTENTE_A_33<1)||(ROUTE_A_0<1))&&((NB_ATTENTE_A_23<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_4<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_53<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_72<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_52<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_42<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_14<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_63<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_44<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_61<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_32<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_2<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_71<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_54<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_3<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_24<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_15<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_41<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_62<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_45<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_11<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_16<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_60<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_50<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_70<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_35<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_1<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_36<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_25<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_69<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_59<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_10<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_0<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_17<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_76<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_51<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_34<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_68<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_26<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_43<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_9<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_77<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_67<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_18<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_38<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_8<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_57<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_29<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_48<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_78<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_27<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_66<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_49<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_20<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_19<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_37<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_75<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_58<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_7<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_79<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_28<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_55<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_6<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_40<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_21<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_74<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_31<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_12<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_65<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_46<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_80<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_22<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_39<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_5<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_73<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_56<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_30<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_47<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_13<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_64<1)||(ROUTE_A_0<1)))" & GF"(((CHOIX_0>=1)&&(COMPTEUR_10>=1))||((CHOIX_1>=1)&&(COMPTEUR_10>=1)))"))
Detected timeout of ITS tools.
[2021-05-09 00:24:43] [INFO ] Flatten gal took : 101 ms
[2021-05-09 00:24:43] [INFO ] Applying decomposition
[2021-05-09 00:24:44] [INFO ] Flatten gal took : 76 ms
Converted graph to binary with : CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.louvain.binaries_1.0.0.202104292328/bin/convert-linux64, -i, /tmp/graph2238900493944431753.txt, -o, /tmp/graph2238900493944431753.bin, -w, /tmp/graph2238900493944431753.weights], workingDir=null]
Built communities with : CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.louvain.binaries_1.0.0.202104292328/bin/louvain-linux64, /tmp/graph2238900493944431753.bin, -l, -1, -v, -w, /tmp/graph2238900493944431753.weights, -q, 0, -e, 0.001], workingDir=null]
[2021-05-09 00:24:44] [INFO ] Decomposing Gal with order
[2021-05-09 00:24:44] [INFO ] Rewriting arrays to variables to allow decomposition.
[2021-05-09 00:24:44] [INFO ] Removed a total of 4782 redundant transitions.
[2021-05-09 00:24:44] [INFO ] Flatten gal took : 322 ms
[2021-05-09 00:24:44] [INFO ] Fuse similar labels procedure discarded/fused a total of 701 labels/synchronizations in 21 ms.
[2021-05-09 00:24:44] [INFO ] Time to serialize gal into /tmp/LTL3474330413851030396.gal : 3 ms
[2021-05-09 00:24:44] [INFO ] Time to serialize properties into /tmp/LTL15328985190833268599.ltl : 1 ms
Invoking ITS tools like this :CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64, --gc-threshold, 2000000, -i, /tmp/LTL3474330413851030396.gal, -t, CGAL, -LTL, /tmp/LTL15328985190833268599.ltl, -c, -stutter-deadlock], workingDir=/home/mcc/execution]

its-ltl command run as :

/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64 --gc-threshold 2000000 -i /tmp/LTL3474330413851030396.gal -t CGAL -LTL /tmp/LTL15328985190833268599.ltl -c -stutter-deadlock
Read 1 LTL properties
Checking formula 0 : !((F((G("((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((i1.u2.NB_ATTENTE_A_33>=1)&&(i2.u4.ROUTE_A_0>=1))||((i2.u4.NB_ATTENTE_A_23>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i2.u4.NB_ATTENTE_A_4>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i2.u4.NB_ATTENTE_A_53>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i1.u2.NB_ATTENTE_A_72>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i2.u4.NB_ATTENTE_A_52>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i1.u2.NB_ATTENTE_A_42>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i2.u4.NB_ATTENTE_A_14>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i2.u4.NB_ATTENTE_A_63>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i1.u2.NB_ATTENTE_A_44>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i2.u4.NB_ATTENTE_A_61>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i1.u2.NB_ATTENTE_A_32>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i2.u4.NB_ATTENTE_A_2>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i1.u2.NB_ATTENTE_A_71>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i2.u4.NB_ATTENTE_A_54>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i2.u4.NB_ATTENTE_A_3>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i2.u4.NB_ATTENTE_A_24>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i2.u4.NB_ATTENTE_A_15>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i1.u2.NB_ATTENTE_A_41>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i2.u4.NB_ATTENTE_A_62>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i1.u2.NB_ATTENTE_A_45>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i2.u4.NB_ATTENTE_A_11>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i2.u4.NB_ATTENTE_A_16>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i2.u4.NB_ATTENTE_A_60>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i2.u4.NB_ATTENTE_A_50>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i1.u2.NB_ATTENTE_A_70>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i1.u2.NB_ATTENTE_A_35>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i2.u4.NB_ATTENTE_A_1>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i1.u2.NB_ATTENTE_A_36>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i2.u4.NB_ATTENTE_A_25>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i1.u2.NB_ATTENTE_A_69>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i2.u4.NB_ATTENTE_A_59>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i2.u4.NB_ATTENTE_A_10>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i0.u0.NB_ATTENTE_A_0>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i2.u4.NB_ATTENTE_A_17>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i1.u2.NB_ATTENTE_A_76>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i2.u4.NB_ATTENTE_A_51>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i1.u2.NB_ATTENTE_A_34>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i1.u2.NB_ATTENTE_A_68>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i2.u4.NB_ATTENTE_A_26>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i1.u2.NB_ATTENTE_A_43>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i2.u4.NB_ATTENTE_A_9>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i1.u2.NB_ATTENTE_A_77>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i1.u2.NB_ATTENTE_A_67>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i2.u4.NB_ATTENTE_A_18>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i1.u2.NB_ATTENTE_A_38>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i2.u4.NB_ATTENTE_A_8>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i2.u4.NB_ATTENTE_A_57>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i1.u2.NB_ATTENTE_A_29>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i1.u2.NB_ATTENTE_A_48>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i1.u2.NB_ATTENTE_A_78>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i2.u4.NB_ATTENTE_A_27>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i2.u4.NB_ATTENTE_A_66>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i2.u4.NB_ATTENTE_A_49>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i2.u4.NB_ATTENTE_A_20>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i2.u4.NB_ATTENTE_A_19>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i1.u2.NB_ATTENTE_A_37>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i1.u2.NB_ATTENTE_A_75>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i2.u4.NB_ATTENTE_A_58>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i2.u4.NB_ATTENTE_A_7>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i1.u2.NB_ATTENTE_A_79>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i2.u4.NB_ATTENTE_A_28>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i2.u4.NB_ATTENTE_A_55>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i2.u4.NB_ATTENTE_A_6>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i1.u2.NB_ATTENTE_A_40>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i2.u4.NB_ATTENTE_A_21>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i1.u2.NB_ATTENTE_A_74>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i1.u2.NB_ATTENTE_A_31>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i2.u4.NB_ATTENTE_A_12>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i2.u4.NB_ATTENTE_A_65>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i1.u2.NB_ATTENTE_A_46>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i1.u2.NB_ATTENTE_A_80>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i2.u4.NB_ATTENTE_A_22>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i1.u2.NB_ATTENTE_A_39>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i2.u4.NB_ATTENTE_A_5>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i1.u2.NB_ATTENTE_A_73>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i2.u4.NB_ATTENTE_A_56>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i1.u2.NB_ATTENTE_A_30>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i1.u2.NB_ATTENTE_A_47>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i2.u4.NB_ATTENTE_A_13>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i2.u4.NB_ATTENTE_A_64>=1)&&(i2.u4.ROUTE_A_0>=1)))"))||(X(("((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((i1.u2.NB_ATTENTE_A_33<1)||(i2.u4.ROUTE_A_0<1))&&((i2.u4.NB_ATTENTE_A_23<1)||(i2.u4.ROUTE_A_0<1)))&&((i2.u4.NB_ATTENTE_A_4<1)||(i2.u4.ROUTE_A_0<1)))&&((i2.u4.NB_ATTENTE_A_53<1)||(i2.u4.ROUTE_A_0<1)))&&((i1.u2.NB_ATTENTE_A_72<1)||(i2.u4.ROUTE_A_0<1)))&&((i2.u4.NB_ATTENTE_A_52<1)||(i2.u4.ROUTE_A_0<1)))&&((i1.u2.NB_ATTENTE_A_42<1)||(i2.u4.ROUTE_A_0<1)))&&((i2.u4.NB_ATTENTE_A_14<1)||(i2.u4.ROUTE_A_0<1)))&&((i2.u4.NB_ATTENTE_A_63<1)||(i2.u4.ROUTE_A_0<1)))&&((i1.u2.NB_ATTENTE_A_44<1)||(i2.u4.ROUTE_A_0<1)))&&((i2.u4.NB_ATTENTE_A_61<1)||(i2.u4.ROUTE_A_0<1)))&&((i1.u2.NB_ATTENTE_A_32<1)||(i2.u4.ROUTE_A_0<1)))&&((i2.u4.NB_ATTENTE_A_2<1)||(i2.u4.ROUTE_A_0<1)))&&((i1.u2.NB_ATTENTE_A_71<1)||(i2.u4.ROUTE_A_0<1)))&&((i2.u4.NB_ATTENTE_A_54<1)||(i2.u4.ROUTE_A_0<1)))&&((i2.u4.NB_ATTENTE_A_3<1)||(i2.u4.ROUTE_A_0<1)))&&((i2.u4.NB_ATTENTE_A_24<1)||(i2.u4.ROUTE_A_0<1)))&&((i2.u4.NB_ATTENTE_A_15<1)||(i2.u4.ROUTE_A_0<1)))&&((i1.u2.NB_ATTENTE_A_41<1)||(i2.u4.ROUTE_A_0<1)))&&((i2.u4.NB_ATTENTE_A_62<1)||(i2.u4.ROUTE_A_0<1)))&&((i1.u2.NB_ATTENTE_A_45<1)||(i2.u4.ROUTE_A_0<1)))&&((i2.u4.NB_ATTENTE_A_11<1)||(i2.u4.ROUTE_A_0<1)))&&((i2.u4.NB_ATTENTE_A_16<1)||(i2.u4.ROUTE_A_0<1)))&&((i2.u4.NB_ATTENTE_A_60<1)||(i2.u4.ROUTE_A_0<1)))&&((i2.u4.NB_ATTENTE_A_50<1)||(i2.u4.ROUTE_A_0<1)))&&((i1.u2.NB_ATTENTE_A_70<1)||(i2.u4.ROUTE_A_0<1)))&&((i1.u2.NB_ATTENTE_A_35<1)||(i2.u4.ROUTE_A_0<1)))&&((i2.u4.NB_ATTENTE_A_1<1)||(i2.u4.ROUTE_A_0<1)))&&((i1.u2.NB_ATTENTE_A_36<1)||(i2.u4.ROUTE_A_0<1)))&&((i2.u4.NB_ATTENTE_A_25<1)||(i2.u4.ROUTE_A_0<1)))&&((i1.u2.NB_ATTENTE_A_69<1)||(i2.u4.ROUTE_A_0<1)))&&((i2.u4.NB_ATTENTE_A_59<1)||(i2.u4.ROUTE_A_0<1)))&&((i2.u4.NB_ATTENTE_A_10<1)||(i2.u4.ROUTE_A_0<1)))&&((i0.u0.NB_ATTENTE_A_0<1)||(i2.u4.ROUTE_A_0<1)))&&((i2.u4.NB_ATTENTE_A_17<1)||(i2.u4.ROUTE_A_0<1)))&&((i1.u2.NB_ATTENTE_A_76<1)||(i2.u4.ROUTE_A_0<1)))&&((i2.u4.NB_ATTENTE_A_51<1)||(i2.u4.ROUTE_A_0<1)))&&((i1.u2.NB_ATTENTE_A_34<1)||(i2.u4.ROUTE_A_0<1)))&&((i1.u2.NB_ATTENTE_A_68<1)||(i2.u4.ROUTE_A_0<1)))&&((i2.u4.NB_ATTENTE_A_26<1)||(i2.u4.ROUTE_A_0<1)))&&((i1.u2.NB_ATTENTE_A_43<1)||(i2.u4.ROUTE_A_0<1)))&&((i2.u4.NB_ATTENTE_A_9<1)||(i2.u4.ROUTE_A_0<1)))&&((i1.u2.NB_ATTENTE_A_77<1)||(i2.u4.ROUTE_A_0<1)))&&((i1.u2.NB_ATTENTE_A_67<1)||(i2.u4.ROUTE_A_0<1)))&&((i2.u4.NB_ATTENTE_A_18<1)||(i2.u4.ROUTE_A_0<1)))&&((i1.u2.NB_ATTENTE_A_38<1)||(i2.u4.ROUTE_A_0<1)))&&((i2.u4.NB_ATTENTE_A_8<1)||(i2.u4.ROUTE_A_0<1)))&&((i2.u4.NB_ATTENTE_A_57<1)||(i2.u4.ROUTE_A_0<1)))&&((i1.u2.NB_ATTENTE_A_29<1)||(i2.u4.ROUTE_A_0<1)))&&((i1.u2.NB_ATTENTE_A_48<1)||(i2.u4.ROUTE_A_0<1)))&&((i1.u2.NB_ATTENTE_A_78<1)||(i2.u4.ROUTE_A_0<1)))&&((i2.u4.NB_ATTENTE_A_27<1)||(i2.u4.ROUTE_A_0<1)))&&((i2.u4.NB_ATTENTE_A_66<1)||(i2.u4.ROUTE_A_0<1)))&&((i2.u4.NB_ATTENTE_A_49<1)||(i2.u4.ROUTE_A_0<1)))&&((i2.u4.NB_ATTENTE_A_20<1)||(i2.u4.ROUTE_A_0<1)))&&((i2.u4.NB_ATTENTE_A_19<1)||(i2.u4.ROUTE_A_0<1)))&&((i1.u2.NB_ATTENTE_A_37<1)||(i2.u4.ROUTE_A_0<1)))&&((i1.u2.NB_ATTENTE_A_75<1)||(i2.u4.ROUTE_A_0<1)))&&((i2.u4.NB_ATTENTE_A_58<1)||(i2.u4.ROUTE_A_0<1)))&&((i2.u4.NB_ATTENTE_A_7<1)||(i2.u4.ROUTE_A_0<1)))&&((i1.u2.NB_ATTENTE_A_79<1)||(i2.u4.ROUTE_A_0<1)))&&((i2.u4.NB_ATTENTE_A_28<1)||(i2.u4.ROUTE_A_0<1)))&&((i2.u4.NB_ATTENTE_A_55<1)||(i2.u4.ROUTE_A_0<1)))&&((i2.u4.NB_ATTENTE_A_6<1)||(i2.u4.ROUTE_A_0<1)))&&((i1.u2.NB_ATTENTE_A_40<1)||(i2.u4.ROUTE_A_0<1)))&&((i2.u4.NB_ATTENTE_A_21<1)||(i2.u4.ROUTE_A_0<1)))&&((i1.u2.NB_ATTENTE_A_74<1)||(i2.u4.ROUTE_A_0<1)))&&((i1.u2.NB_ATTENTE_A_31<1)||(i2.u4.ROUTE_A_0<1)))&&((i2.u4.NB_ATTENTE_A_12<1)||(i2.u4.ROUTE_A_0<1)))&&((i2.u4.NB_ATTENTE_A_65<1)||(i2.u4.ROUTE_A_0<1)))&&((i1.u2.NB_ATTENTE_A_46<1)||(i2.u4.ROUTE_A_0<1)))&&((i1.u2.NB_ATTENTE_A_80<1)||(i2.u4.ROUTE_A_0<1)))&&((i2.u4.NB_ATTENTE_A_22<1)||(i2.u4.ROUTE_A_0<1)))&&((i1.u2.NB_ATTENTE_A_39<1)||(i2.u4.ROUTE_A_0<1)))&&((i2.u4.NB_ATTENTE_A_5<1)||(i2.u4.ROUTE_A_0<1)))&&((i1.u2.NB_ATTENTE_A_73<1)||(i2.u4.ROUTE_A_0<1)))&&((i2.u4.NB_ATTENTE_A_56<1)||(i2.u4.ROUTE_A_0<1)))&&((i1.u2.NB_ATTENTE_A_30<1)||(i2.u4.ROUTE_A_0<1)))&&((i1.u2.NB_ATTENTE_A_47<1)||(i2.u4.ROUTE_A_0<1)))&&((i2.u4.NB_ATTENTE_A_13<1)||(i2.u4.ROUTE_A_0<1)))&&((i2.u4.NB_ATTENTE_A_64<1)||(i2.u4.ROUTE_A_0<1)))")&&(G(F("(((i2.u1.CHOIX_0>=1)&&(i1.u3.COMPTEUR_10>=1))||((i2.u1.CHOIX_1>=1)&&(i1.u3.COMPTEUR_10>=1)))"))))))))
Formula 0 simplified : !F(G"((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((i1.u2.NB_ATTENTE_A_33>=1)&&(i2.u4.ROUTE_A_0>=1))||((i2.u4.NB_ATTENTE_A_23>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i2.u4.NB_ATTENTE_A_4>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i2.u4.NB_ATTENTE_A_53>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i1.u2.NB_ATTENTE_A_72>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i2.u4.NB_ATTENTE_A_52>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i1.u2.NB_ATTENTE_A_42>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i2.u4.NB_ATTENTE_A_14>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i2.u4.NB_ATTENTE_A_63>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i1.u2.NB_ATTENTE_A_44>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i2.u4.NB_ATTENTE_A_61>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i1.u2.NB_ATTENTE_A_32>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i2.u4.NB_ATTENTE_A_2>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i1.u2.NB_ATTENTE_A_71>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i2.u4.NB_ATTENTE_A_54>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i2.u4.NB_ATTENTE_A_3>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i2.u4.NB_ATTENTE_A_24>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i2.u4.NB_ATTENTE_A_15>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i1.u2.NB_ATTENTE_A_41>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i2.u4.NB_ATTENTE_A_62>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i1.u2.NB_ATTENTE_A_45>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i2.u4.NB_ATTENTE_A_11>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i2.u4.NB_ATTENTE_A_16>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i2.u4.NB_ATTENTE_A_60>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i2.u4.NB_ATTENTE_A_50>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i1.u2.NB_ATTENTE_A_70>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i1.u2.NB_ATTENTE_A_35>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i2.u4.NB_ATTENTE_A_1>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i1.u2.NB_ATTENTE_A_36>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i2.u4.NB_ATTENTE_A_25>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i1.u2.NB_ATTENTE_A_69>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i2.u4.NB_ATTENTE_A_59>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i2.u4.NB_ATTENTE_A_10>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i0.u0.NB_ATTENTE_A_0>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i2.u4.NB_ATTENTE_A_17>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i1.u2.NB_ATTENTE_A_76>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i2.u4.NB_ATTENTE_A_51>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i1.u2.NB_ATTENTE_A_34>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i1.u2.NB_ATTENTE_A_68>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i2.u4.NB_ATTENTE_A_26>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i1.u2.NB_ATTENTE_A_43>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i2.u4.NB_ATTENTE_A_9>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i1.u2.NB_ATTENTE_A_77>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i1.u2.NB_ATTENTE_A_67>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i2.u4.NB_ATTENTE_A_18>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i1.u2.NB_ATTENTE_A_38>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i2.u4.NB_ATTENTE_A_8>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i2.u4.NB_ATTENTE_A_57>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i1.u2.NB_ATTENTE_A_29>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i1.u2.NB_ATTENTE_A_48>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i1.u2.NB_ATTENTE_A_78>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i2.u4.NB_ATTENTE_A_27>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i2.u4.NB_ATTENTE_A_66>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i2.u4.NB_ATTENTE_A_49>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i2.u4.NB_ATTENTE_A_20>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i2.u4.NB_ATTENTE_A_19>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i1.u2.NB_ATTENTE_A_37>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i1.u2.NB_ATTENTE_A_75>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i2.u4.NB_ATTENTE_A_58>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i2.u4.NB_ATTENTE_A_7>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i1.u2.NB_ATTENTE_A_79>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i2.u4.NB_ATTENTE_A_28>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i2.u4.NB_ATTENTE_A_55>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i2.u4.NB_ATTENTE_A_6>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i1.u2.NB_ATTENTE_A_40>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i2.u4.NB_ATTENTE_A_21>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i1.u2.NB_ATTENTE_A_74>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i1.u2.NB_ATTENTE_A_31>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i2.u4.NB_ATTENTE_A_12>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i2.u4.NB_ATTENTE_A_65>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i1.u2.NB_ATTENTE_A_46>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i1.u2.NB_ATTENTE_A_80>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i2.u4.NB_ATTENTE_A_22>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i1.u2.NB_ATTENTE_A_39>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i2.u4.NB_ATTENTE_A_5>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i1.u2.NB_ATTENTE_A_73>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i2.u4.NB_ATTENTE_A_56>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i1.u2.NB_ATTENTE_A_30>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i1.u2.NB_ATTENTE_A_47>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i2.u4.NB_ATTENTE_A_13>=1)&&(i2.u4.ROUTE_A_0>=1)))||((i2.u4.NB_ATTENTE_A_64>=1)&&(i2.u4.ROUTE_A_0>=1)))" | X("((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((i1.u2.NB_ATTENTE_A_33<1)||(i2.u4.ROUTE_A_0<1))&&((i2.u4.NB_ATTENTE_A_23<1)||(i2.u4.ROUTE_A_0<1)))&&((i2.u4.NB_ATTENTE_A_4<1)||(i2.u4.ROUTE_A_0<1)))&&((i2.u4.NB_ATTENTE_A_53<1)||(i2.u4.ROUTE_A_0<1)))&&((i1.u2.NB_ATTENTE_A_72<1)||(i2.u4.ROUTE_A_0<1)))&&((i2.u4.NB_ATTENTE_A_52<1)||(i2.u4.ROUTE_A_0<1)))&&((i1.u2.NB_ATTENTE_A_42<1)||(i2.u4.ROUTE_A_0<1)))&&((i2.u4.NB_ATTENTE_A_14<1)||(i2.u4.ROUTE_A_0<1)))&&((i2.u4.NB_ATTENTE_A_63<1)||(i2.u4.ROUTE_A_0<1)))&&((i1.u2.NB_ATTENTE_A_44<1)||(i2.u4.ROUTE_A_0<1)))&&((i2.u4.NB_ATTENTE_A_61<1)||(i2.u4.ROUTE_A_0<1)))&&((i1.u2.NB_ATTENTE_A_32<1)||(i2.u4.ROUTE_A_0<1)))&&((i2.u4.NB_ATTENTE_A_2<1)||(i2.u4.ROUTE_A_0<1)))&&((i1.u2.NB_ATTENTE_A_71<1)||(i2.u4.ROUTE_A_0<1)))&&((i2.u4.NB_ATTENTE_A_54<1)||(i2.u4.ROUTE_A_0<1)))&&((i2.u4.NB_ATTENTE_A_3<1)||(i2.u4.ROUTE_A_0<1)))&&((i2.u4.NB_ATTENTE_A_24<1)||(i2.u4.ROUTE_A_0<1)))&&((i2.u4.NB_ATTENTE_A_15<1)||(i2.u4.ROUTE_A_0<1)))&&((i1.u2.NB_ATTENTE_A_41<1)||(i2.u4.ROUTE_A_0<1)))&&((i2.u4.NB_ATTENTE_A_62<1)||(i2.u4.ROUTE_A_0<1)))&&((i1.u2.NB_ATTENTE_A_45<1)||(i2.u4.ROUTE_A_0<1)))&&((i2.u4.NB_ATTENTE_A_11<1)||(i2.u4.ROUTE_A_0<1)))&&((i2.u4.NB_ATTENTE_A_16<1)||(i2.u4.ROUTE_A_0<1)))&&((i2.u4.NB_ATTENTE_A_60<1)||(i2.u4.ROUTE_A_0<1)))&&((i2.u4.NB_ATTENTE_A_50<1)||(i2.u4.ROUTE_A_0<1)))&&((i1.u2.NB_ATTENTE_A_70<1)||(i2.u4.ROUTE_A_0<1)))&&((i1.u2.NB_ATTENTE_A_35<1)||(i2.u4.ROUTE_A_0<1)))&&((i2.u4.NB_ATTENTE_A_1<1)||(i2.u4.ROUTE_A_0<1)))&&((i1.u2.NB_ATTENTE_A_36<1)||(i2.u4.ROUTE_A_0<1)))&&((i2.u4.NB_ATTENTE_A_25<1)||(i2.u4.ROUTE_A_0<1)))&&((i1.u2.NB_ATTENTE_A_69<1)||(i2.u4.ROUTE_A_0<1)))&&((i2.u4.NB_ATTENTE_A_59<1)||(i2.u4.ROUTE_A_0<1)))&&((i2.u4.NB_ATTENTE_A_10<1)||(i2.u4.ROUTE_A_0<1)))&&((i0.u0.NB_ATTENTE_A_0<1)||(i2.u4.ROUTE_A_0<1)))&&((i2.u4.NB_ATTENTE_A_17<1)||(i2.u4.ROUTE_A_0<1)))&&((i1.u2.NB_ATTENTE_A_76<1)||(i2.u4.ROUTE_A_0<1)))&&((i2.u4.NB_ATTENTE_A_51<1)||(i2.u4.ROUTE_A_0<1)))&&((i1.u2.NB_ATTENTE_A_34<1)||(i2.u4.ROUTE_A_0<1)))&&((i1.u2.NB_ATTENTE_A_68<1)||(i2.u4.ROUTE_A_0<1)))&&((i2.u4.NB_ATTENTE_A_26<1)||(i2.u4.ROUTE_A_0<1)))&&((i1.u2.NB_ATTENTE_A_43<1)||(i2.u4.ROUTE_A_0<1)))&&((i2.u4.NB_ATTENTE_A_9<1)||(i2.u4.ROUTE_A_0<1)))&&((i1.u2.NB_ATTENTE_A_77<1)||(i2.u4.ROUTE_A_0<1)))&&((i1.u2.NB_ATTENTE_A_67<1)||(i2.u4.ROUTE_A_0<1)))&&((i2.u4.NB_ATTENTE_A_18<1)||(i2.u4.ROUTE_A_0<1)))&&((i1.u2.NB_ATTENTE_A_38<1)||(i2.u4.ROUTE_A_0<1)))&&((i2.u4.NB_ATTENTE_A_8<1)||(i2.u4.ROUTE_A_0<1)))&&((i2.u4.NB_ATTENTE_A_57<1)||(i2.u4.ROUTE_A_0<1)))&&((i1.u2.NB_ATTENTE_A_29<1)||(i2.u4.ROUTE_A_0<1)))&&((i1.u2.NB_ATTENTE_A_48<1)||(i2.u4.ROUTE_A_0<1)))&&((i1.u2.NB_ATTENTE_A_78<1)||(i2.u4.ROUTE_A_0<1)))&&((i2.u4.NB_ATTENTE_A_27<1)||(i2.u4.ROUTE_A_0<1)))&&((i2.u4.NB_ATTENTE_A_66<1)||(i2.u4.ROUTE_A_0<1)))&&((i2.u4.NB_ATTENTE_A_49<1)||(i2.u4.ROUTE_A_0<1)))&&((i2.u4.NB_ATTENTE_A_20<1)||(i2.u4.ROUTE_A_0<1)))&&((i2.u4.NB_ATTENTE_A_19<1)||(i2.u4.ROUTE_A_0<1)))&&((i1.u2.NB_ATTENTE_A_37<1)||(i2.u4.ROUTE_A_0<1)))&&((i1.u2.NB_ATTENTE_A_75<1)||(i2.u4.ROUTE_A_0<1)))&&((i2.u4.NB_ATTENTE_A_58<1)||(i2.u4.ROUTE_A_0<1)))&&((i2.u4.NB_ATTENTE_A_7<1)||(i2.u4.ROUTE_A_0<1)))&&((i1.u2.NB_ATTENTE_A_79<1)||(i2.u4.ROUTE_A_0<1)))&&((i2.u4.NB_ATTENTE_A_28<1)||(i2.u4.ROUTE_A_0<1)))&&((i2.u4.NB_ATTENTE_A_55<1)||(i2.u4.ROUTE_A_0<1)))&&((i2.u4.NB_ATTENTE_A_6<1)||(i2.u4.ROUTE_A_0<1)))&&((i1.u2.NB_ATTENTE_A_40<1)||(i2.u4.ROUTE_A_0<1)))&&((i2.u4.NB_ATTENTE_A_21<1)||(i2.u4.ROUTE_A_0<1)))&&((i1.u2.NB_ATTENTE_A_74<1)||(i2.u4.ROUTE_A_0<1)))&&((i1.u2.NB_ATTENTE_A_31<1)||(i2.u4.ROUTE_A_0<1)))&&((i2.u4.NB_ATTENTE_A_12<1)||(i2.u4.ROUTE_A_0<1)))&&((i2.u4.NB_ATTENTE_A_65<1)||(i2.u4.ROUTE_A_0<1)))&&((i1.u2.NB_ATTENTE_A_46<1)||(i2.u4.ROUTE_A_0<1)))&&((i1.u2.NB_ATTENTE_A_80<1)||(i2.u4.ROUTE_A_0<1)))&&((i2.u4.NB_ATTENTE_A_22<1)||(i2.u4.ROUTE_A_0<1)))&&((i1.u2.NB_ATTENTE_A_39<1)||(i2.u4.ROUTE_A_0<1)))&&((i2.u4.NB_ATTENTE_A_5<1)||(i2.u4.ROUTE_A_0<1)))&&((i1.u2.NB_ATTENTE_A_73<1)||(i2.u4.ROUTE_A_0<1)))&&((i2.u4.NB_ATTENTE_A_56<1)||(i2.u4.ROUTE_A_0<1)))&&((i1.u2.NB_ATTENTE_A_30<1)||(i2.u4.ROUTE_A_0<1)))&&((i1.u2.NB_ATTENTE_A_47<1)||(i2.u4.ROUTE_A_0<1)))&&((i2.u4.NB_ATTENTE_A_13<1)||(i2.u4.ROUTE_A_0<1)))&&((i2.u4.NB_ATTENTE_A_64<1)||(i2.u4.ROUTE_A_0<1)))" & GF"(((i2.u1.CHOIX_0>=1)&&(i1.u3.COMPTEUR_10>=1))||((i2.u1.CHOIX_1>=1)&&(i1.u3.COMPTEUR_10>=1)))"))
Detected timeout of ITS tools.
Built C files in :
/tmp/ltsmin5583725061578836858
[2021-05-09 00:24:59] [INFO ] Built C files in 43ms conformant to PINS (ltsmin variant)in folder :/tmp/ltsmin5583725061578836858
Running compilation step : CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/include/, -I., -std=c99, -fPIC, -O2, model.c], workingDir=/tmp/ltsmin5583725061578836858]
WARNING : LTS min runner thread failed on error :java.lang.RuntimeException: Compilation or link of executable timed out.java.util.concurrent.TimeoutException: Subprocess running CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/include/, -I., -std=c99, -fPIC, -O2, model.c], workingDir=/tmp/ltsmin5583725061578836858] killed by timeout after 1 SECONDS
java.lang.RuntimeException: Compilation or link of executable timed out.java.util.concurrent.TimeoutException: Subprocess running CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/include/, -I., -std=c99, -fPIC, -O2, model.c], workingDir=/tmp/ltsmin5583725061578836858] killed by timeout after 1 SECONDS
at fr.lip6.move.gal.application.LTSminRunner$1.run(LTSminRunner.java:79)
at java.base/java.lang.Thread.run(Thread.java:834)
Treatment of property BridgeAndVehicles-COL-V80P20N10-07 finished in 41780 ms.
Running Spot : CommandLine [args=[/home/mcc/BenchKit/bin//..//ltl2tgba, --hoaf=tv, -f, !((F(p0)||G((F(p0) U p1))))], workingDir=/home/mcc/execution]
Support contains 94 out of 185 places. Attempting structural reductions.
Starting structural reductions, iteration 0 : 185/185 places, 2108/2108 transitions.
Performed 1 Post agglomeration using F-continuation condition.Transition count delta: 1
Deduced a syphon composed of 1 places in 25 ms
Reduce places removed 1 places and 0 transitions.
Iterating global reduction 0 with 2 rules applied. Total rules applied 2 place count 184 transition count 2107
Applied a total of 2 rules in 122 ms. Remains 184 /185 variables (removed 1) and now considering 2107/2108 (removed 1) transitions.
[2021-05-09 00:25:00] [INFO ] Flow matrix only has 369 transitions (discarded 1738 similar events)
// Phase 1: matrix 369 rows 184 cols
[2021-05-09 00:25:00] [INFO ] Computed 5 place invariants in 3 ms
[2021-05-09 00:25:01] [INFO ] Dead Transitions using invariants and state equation in 681 ms returned []
[2021-05-09 00:25:01] [INFO ] Flow matrix only has 369 transitions (discarded 1738 similar events)
// Phase 1: matrix 369 rows 184 cols
[2021-05-09 00:25:01] [INFO ] Computed 5 place invariants in 1 ms
[2021-05-09 00:25:01] [INFO ] Implicit Places using invariants in 134 ms returned []
[2021-05-09 00:25:01] [INFO ] Flow matrix only has 369 transitions (discarded 1738 similar events)
// Phase 1: matrix 369 rows 184 cols
[2021-05-09 00:25:01] [INFO ] Computed 5 place invariants in 1 ms
[2021-05-09 00:25:01] [INFO ] State equation strengthened by 22 read => feed constraints.
[2021-05-09 00:25:02] [INFO ] Implicit Places using invariants and state equation in 1080 ms returned [165]
Discarding 1 places :
Implicit Place search using SMT with State Equation took 1243 ms to find 1 implicit places.
[2021-05-09 00:25:02] [INFO ] Redundant transitions in 92 ms returned []
[2021-05-09 00:25:02] [INFO ] Flow matrix only has 369 transitions (discarded 1738 similar events)
// Phase 1: matrix 369 rows 183 cols
[2021-05-09 00:25:02] [INFO ] Computed 5 place invariants in 2 ms
[2021-05-09 00:25:03] [INFO ] Dead Transitions using invariants and state equation in 846 ms returned []
Starting structural reductions, iteration 1 : 183/185 places, 2107/2108 transitions.
Applied a total of 0 rules in 25 ms. Remains 183 /183 variables (removed 0) and now considering 2107/2107 (removed 0) transitions.
[2021-05-09 00:25:03] [INFO ] Redundant transitions in 45 ms returned []
[2021-05-09 00:25:03] [INFO ] Flow matrix only has 369 transitions (discarded 1738 similar events)
// Phase 1: matrix 369 rows 183 cols
[2021-05-09 00:25:03] [INFO ] Computed 5 place invariants in 1 ms
[2021-05-09 00:25:04] [INFO ] Dead Transitions using invariants and state equation in 642 ms returned []
Finished structural reductions, in 2 iterations. Remains : 183/185 places, 2107/2108 transitions.
Stuttering acceptance computed with spot in 65 ms :[(NOT p0), (AND (NOT p0) (NOT p1))]
Running random walk in product with property : BridgeAndVehicles-COL-V80P20N10-08 automaton TGBA [mat=[[{ cond=(NOT p0), acceptance={0} source=0 dest: 0}], [{ cond=(AND (NOT p0) (NOT p1)), acceptance={} source=1 dest: 0}, { cond=(AND (NOT p0) p1), acceptance={} source=1 dest: 1}]], initial=1, aps=[p0:(GEQ s83 1), p1:(OR (AND (GEQ s10 1) (GEQ s84 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s31 1) (GEQ s84 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s48 1) (GEQ s84 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s69 1) (GEQ s84 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s26 1) (GEQ s84 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s47 1) (GEQ s84 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s42 1) (GEQ s84 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s63 1) (GEQ s84 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s25 1) (GEQ s84 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s4 1) (GEQ s84 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s64 1) (GEQ s84 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s17 1) (GEQ s84 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s16 1) (GEQ s84 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s36 1) (GEQ s84 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s60 1) (GEQ s84 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s20 1) (GEQ s84 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s19 1) (GEQ s84 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s54 1) (GEQ s84 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s55 1) (GEQ s84 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s44 1) (GEQ s84 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s71 1) (GEQ s84 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s35 1) (GEQ s84 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s70 1) (GEQ s84 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s34 1) (GEQ s84 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s80 1) (GEQ s84 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s79 1) (GEQ s84 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s9 1) (GEQ s84 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s45 1) (GEQ s84 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s29 1) (GEQ s84 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s13 1) (GEQ s84 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s68 1) (GEQ s84 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s7 1) (GEQ s84 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s66 1) (GEQ s84 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s67 1) (GEQ s84 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s61 1) (GEQ s84 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s14 1) (GEQ s84 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s28 1) (GEQ s84 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s50 1) (GEQ s84 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s51 1) (GEQ s84 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s76 1) (GEQ s84 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s3 1) (GEQ s84 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s38 1) (GEQ s84 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s39 1) (GEQ s84 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s74 1) (GEQ s84 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s77 1) (GEQ s84 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s41 1) (GEQ s84 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s73 1) (GEQ s84 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s6 1) (GEQ s84 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s23 1) (GEQ s84 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s32 1) (GEQ s84 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s57 1) (GEQ s84 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s22 1) (GEQ s84 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s58 1) (GEQ s84 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s48 1) (GEQ s84 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s10 1) (GEQ s84 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s27 1) (GEQ s84 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s47 1) (GEQ s84 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s49 1) (GEQ s84 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s16 1) (GEQ s84 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s25 1) (GEQ s84 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s42 1) (GEQ s84 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s63 1) (GEQ s84 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s26 1) (GEQ s84 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s64 1) (GEQ s84 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s4 1) (GEQ s84 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s38 1) (GEQ s84 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s54 1) (GEQ s84 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s19 1) (GEQ s84 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s60 1) (GEQ s84 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s44 1) (GEQ s84 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s70 1) (GEQ s84 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s80 1) (GEQ s84 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s71 1) (GEQ s84 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s79 1) (GEQ s84 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s35 1) (GEQ s84 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s69 1) (GEQ s84 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s9 1) (GEQ s84 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s45 1) (GEQ s84 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s46 1) (GEQ s84 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s11 1) (GEQ s84 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s67 1) (GEQ s84 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s29 1) (GEQ s84 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s50 1) (GEQ s84 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s68 1) (GEQ s84 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s13 1) (GEQ s84 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s7 1) (GEQ s84 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s66 1) (GEQ s84 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s8 1) (GEQ s84 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s6 1) (GEQ s84 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s30 1) (GEQ s84 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s77 1) (GEQ s84 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s51 1) (GEQ s84 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s28 1) (GEQ s84 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s52 1) (GEQ s84 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s74 1) (GEQ s84 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s76 1) (GEQ s84 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s3 1) (GEQ s84 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s2 1) (GEQ s84 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s73 1) (GEQ s84 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s5 1) (GEQ s84 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s41 1) (GEQ s84 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s42 1) (GEQ s84 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s32 1) (GEQ s84 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s23 1) (GEQ s84 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s33 1) (GEQ s84 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s57 1) (GEQ s84 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s22 1) (GEQ s84 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s27 1) (GEQ s84 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s70 1) (GEQ s84 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s31 1) (GEQ s84 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s49 1) (GEQ s84 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s48 1) (GEQ s84 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s53 1) (GEQ s84 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s15 1) (GEQ s84 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s64 1) (GEQ s84 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s26 1) (GEQ s84 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s16 1) (GEQ s84 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s17 1) (GEQ s84 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s75 1) (GEQ s84 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s4 1) (GEQ s84 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s59 1) (GEQ s84 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s56 1) (GEQ s84 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s19 1) (GEQ s84 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s55 1) (GEQ s84 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s60 1) (GEQ s84 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s2 1) (GEQ s84 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s54 1) (GEQ s84 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s71 1) (GEQ s84 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s8 1) (GEQ s84 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s43 1) (GEQ s84 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s44 1) (GEQ s84 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s35 1) (GEQ s84 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s11 1) (GEQ s84 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s10 1) (GEQ s84 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s50 1) (GEQ s84 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s65 1) (GEQ s84 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s66 1) (GEQ s84 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s6 1) (GEQ s84 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s51 1) (GEQ s84 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s61 1) (GEQ s84 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s62 1) (GEQ s84 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s5 1) (GEQ s84 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s40 1) (GEQ s84 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s29 1) (GEQ s84 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s28 1) (GEQ s84 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s38 1) (GEQ s84 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s21 1) (GEQ s84 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s39 1) (GEQ s84 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s77 1) (GEQ s84 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s76 1) (GEQ s84 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s37 1) (GEQ s84 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s73 1) (GEQ s84 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s42 1) (GEQ s84 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s33 1) (GEQ s84 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s13 1) (GEQ s84 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s24 1) (GEQ s84 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s81 1) (GEQ s84 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s22 1) (GEQ s84 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s32 1) (GEQ s84 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s11 1) (GEQ s84 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s31 1) (GEQ s84 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s49 1) (GEQ s84 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s48 1) (GEQ s84 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s26 1) (GEQ s84 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s53 1) (GEQ s84 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s64 1) (GEQ s84 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s25 1) (GEQ s84 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s37 1) (GEQ s84 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s17 1) (GEQ s84 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s75 1) (GEQ s84 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s27 1) (GEQ s84 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s4 1) (GEQ s84 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s14 1) (GEQ s84 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s16 1) (GEQ s84 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s15 1) (GEQ s84 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s56 1) (GEQ s84 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s59 1) (GEQ s84 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s72 1) (GEQ s84 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s55 1) (GEQ s84 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s54 1) (GEQ s84 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s60 1) (GEQ s84 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s71 1) (GEQ s84 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s43 1) (GEQ s84 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s44 1) (GEQ s84 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s70 1) (GEQ s84 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s45 1) (GEQ s84 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s12 1) (GEQ s84 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s10 1) (GEQ s84 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s28 1) (GEQ s84 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s66 1) (GEQ s84 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s45 1) (GEQ s84 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s7 1) (GEQ s84 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s50 1) (GEQ s84 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s62 1) (GEQ s84 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s65 1) (GEQ s84 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s67 1) (GEQ s84 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s51 1) (GEQ s84 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s61 1) (GEQ s84 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s5 1) (GEQ s84 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s6 1) (GEQ s84 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s18 1) (GEQ s84 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s21 1) (GEQ s84 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s20 1) (GEQ s84 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s39 1) (GEQ s84 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s77 1) (GEQ s84 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s38 1) (GEQ s84 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s76 1) (GEQ s84 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s37 1) (GEQ s84 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s42 1) (GEQ s84 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s78 1) (GEQ s84 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s23 1) (GEQ s84 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s33 1) (GEQ s84 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s81 1) (GEQ s84 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s22 1) (GEQ s84 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s32 1) (GEQ s84 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s34 1) (GEQ s84 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s11 1) (GEQ s84 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s30 1) (GEQ s84 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s66 1) (GEQ s84 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s47 1) (GEQ s84 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s31 1) (GEQ s84 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s50 1) (GEQ s84 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s65 1) (GEQ s84 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s71 1) (GEQ s84 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s62 1) (GEQ s84 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s5 1) (GEQ s84 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s15 1) (GEQ s84 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s14 1) (GEQ s84 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s61 1) (GEQ s84 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s63 1) (GEQ s84 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s6 1) (GEQ s84 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s27 1) (GEQ s84 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s39 1) (GEQ s84 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s75 1) (GEQ s84 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s74 1) (GEQ s84 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s77 1) (GEQ s84 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s18 1) (GEQ s84 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s21 1) (GEQ s84 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s20 1) (GEQ s84 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s59 1) (GEQ s84 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s58 1) (GEQ s84 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s56 1) (GEQ s84 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s36 1) (GEQ s84 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s72 1) (GEQ s84 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s37 1) (GEQ s84 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s78 1) (GEQ s84 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s60 1) (GEQ s84 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s43 1) (GEQ s84 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s55 1) (GEQ s84 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s12 1) (GEQ s84 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s34 1) (GEQ s84 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s33 1) (GEQ s84 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s81 1) (GEQ s84 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s80 1) (GEQ s84 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s9 1) (GEQ s84 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s49 1) (GEQ s84 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s68 1) (GEQ s84 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s28 1) (GEQ s84 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s25 1) (GEQ s84 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s44 1) (GEQ s84 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s52 1) (GEQ s84 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s53 1) (GEQ s84 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s17 1) (GEQ s84 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s40 1) (GEQ s84 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s3 1) (GEQ s84 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s2 1) (GEQ s84 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s24 1) (GEQ s84 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s69 1) (GEQ s84 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s7 1) (GEQ s84 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s8 1) (GEQ s84 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s46 1) (GEQ s84 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s65 1) (GEQ s84 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s12 1) (GEQ s84 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s11 1) (GEQ s84 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s29 1) (GEQ s84 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s50 1) (GEQ s84 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s31 1) (GEQ s84 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s30 1) (GEQ s84 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s71 1) (GEQ s84 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s5 1) (GEQ s84 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s15 1) (GEQ s84 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s14 1) (GEQ s84 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s61 1) (GEQ s84 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s62 1) (GEQ s84 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s16 1) (GEQ s84 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s74 1) (GEQ s84 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s63 1) (GEQ s84 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s75 1) (GEQ s84 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s27 1) (GEQ s84 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s39 1) (GEQ s84 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s58 1) (GEQ s84 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s19 1) (GEQ s84 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s77 1) (GEQ s84 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s57 1) (GEQ s84 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s56 1) (GEQ s84 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s20 1) (GEQ s84 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s21 1) (GEQ s84 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s78 1) (GEQ s84 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s55 1) (GEQ s84 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s18 1) (GEQ s84 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s36 1) (GEQ s84 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s38 1) (GEQ s84 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s37 1) (GEQ s84 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s72 1) (GEQ s84 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s43 1) (GEQ s84 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s79 1) (GEQ s84 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s60 1) (GEQ s84 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s59 1) (GEQ s84 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s22 1) (GEQ s84 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s10 1) (GEQ s84 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s32 1) (GEQ s84 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s81 1) (GEQ s84 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s80 1) (GEQ s84 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s34 1) (GEQ s84 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s33 1) (GEQ s84 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s68 1) (GEQ s84 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s49 1) (GEQ s84 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s43 1) (GEQ s84 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s53 1) (GEQ s84 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s17 1) (GEQ s84 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s52 1) (GEQ s84 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s41 1) (GEQ s84 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s40 1) (GEQ s84 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s39 1) (GEQ s84 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s2 1) (GEQ s84 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s24 1) (GEQ s84 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s8 1) (GEQ s84 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s46 1) (GEQ s84 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s12 1) (GEQ s84 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s29 1) (GEQ s84 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s30 1) (GEQ s84 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s48 1) (GEQ s84 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s65 1) (GEQ s84 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s47 1) (GEQ s84 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s13 1) (GEQ s84 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s72 1) (GEQ s84 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s73 1) (GEQ s84 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s14 1) (GEQ s84 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s74 1) (GEQ s84 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s27 1) (GEQ s84 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s63 1) (GEQ s84 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s62 1) (GEQ s84 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s5 1) (GEQ s84 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s19 1) (GEQ s84 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s20 1) (GEQ s84 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s40 1) (GEQ s84 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s75 1) (GEQ s84 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s58 1) (GEQ s84 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s57 1) (GEQ s84 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s36 1) (GEQ s84 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s18 1) (GEQ s84 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s21 1) (GEQ s84 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s78 1) (GEQ s84 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s34 1) (GEQ s84 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s35 1) (GEQ s84 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s79 1) (GEQ s84 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s80 1) (GEQ s84 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s59 1) (GEQ s84 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s81 1) (GEQ s84 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s56 1) (GEQ s84 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s67 1) (GEQ s84 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s10 1) (GEQ s84 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s9 1) (GEQ s84 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s68 1) (GEQ s84 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s8 1) (GEQ s84 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s43 1) (GEQ s84 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s25 1) (GEQ s84 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s7 1) (GEQ s84 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s52 1) (GEQ s84 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s41 1) (GEQ s84 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s51 1) (GEQ s84 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s53 1) (GEQ s84 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s76 1) (GEQ s84 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s2 1) (GEQ s84 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s22 1) (GEQ s84 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s3 1) (GEQ s84 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s54 1) (GEQ s84 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s24 1) (GEQ s84 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s70 1) (GEQ s84 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s32 1) (GEQ s84 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s23 1) (GEQ s84 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s45 1) (GEQ s84 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s46 1) (GEQ s84 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s69 1) (GEQ s84 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s65 1) (GEQ s84 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s47 1) (GEQ s84 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s12 1) (GEQ s84 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s30 1) (GEQ s84 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s48 1) (GEQ s84 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s29 1) (GEQ s84 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s31 1) (GEQ s84 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s13 1) (GEQ s84 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s14 1) (GEQ s84 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s62 1) (GEQ s84 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s72 1) (GEQ s84 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s15 1) (GEQ s84 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s4 1) (GEQ s84 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s73 1) (GEQ s84 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s64 1) (GEQ s84 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s63 1) (GEQ s84 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s75 1) (GEQ s84 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s37 1) (GEQ s84 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s57 1) (GEQ s84 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s20 1) (GEQ s84 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s40 1) (GEQ s84 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s74 1) (GEQ s84 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s78 1) (GEQ s84 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s36 1) (GEQ s84 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s41 1) (GEQ s84 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s19 1) (GEQ s84 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s18 1) (GEQ s84 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s80 1) (GEQ s84 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s34 1) (GEQ s84 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s79 1) (GEQ s84 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s35 1) (GEQ s84 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s56 1) (GEQ s84 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s58 1) (GEQ s84 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s68 1) (GEQ s84 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s9 1) (GEQ s84 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s67 1) (GEQ s84 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s8 1) (GEQ s84 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s25 1) (GEQ s84 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s26 1) (GEQ s84 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s42 1) (GEQ s84 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s17 1) (GEQ s84 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s52 1) (GEQ s84 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s53 1) (GEQ s84 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s41 1) (GEQ s84 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s51 1) (GEQ s84 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s2 1) (GEQ s84 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s3 1) (GEQ s84 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s23 1) (GEQ s84 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s7 1) (GEQ s84 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s24 1) (GEQ s84 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s46 1) (GEQ s84 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s69 1) (GEQ s84 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s45 1) (GEQ s84 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s70 1) (GEQ s84 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s29 1) (GEQ s84 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s12 1) (GEQ s84 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s67 1) (GEQ s84 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s13 1) (GEQ s84 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s7 1) (GEQ s84 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s66 1) (GEQ s84 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s61 1) (GEQ s84 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s6 1) (GEQ s84 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s73 1) (GEQ s84 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s77 1) (GEQ s84 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s28 1) (GEQ s84 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s52 1) (GEQ s84 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s51 1) (GEQ s84 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s50 1) (GEQ s84 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s76 1) (GEQ s84 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s3 1) (GEQ s84 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s39 1) (GEQ s84 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s74 1) (GEQ s84 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s38 1) (GEQ s84 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s41 1) (GEQ s84 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s14 1) (GEQ s84 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s23 1) (GEQ s84 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s32 1) (GEQ s84 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s22 1) (GEQ s84 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s58 1) (GEQ s84 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s57 1) (GEQ s84 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s48 1) (GEQ s84 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s26 1) (GEQ s84 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s47 1) (GEQ s84 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s49 1) (GEQ s84 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s16 1) (GEQ s84 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s42 1) (GEQ s84 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s25 1) (GEQ s84 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s4 1) (GEQ s84 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s64 1) (GEQ s84 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s63 1) (GEQ s84 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s15 1) (GEQ s84 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s17 1) (GEQ s84 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s36 1) (GEQ s84 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s60 1) (GEQ s84 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s55 1) (GEQ s84 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s54 1) (GEQ s84 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s19 1) (GEQ s84 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s20 1) (GEQ s84 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s18 1) (GEQ s84 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s44 1) (GEQ s84 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s35 1) (GEQ s84 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s70 1) (GEQ s84 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s80 1) (GEQ s84 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s45 1) (GEQ s84 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s9 1) (GEQ s84 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s79 1) (GEQ s84 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s69 1) (GEQ s84 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s10 1) (GEQ s84 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s46 1) (GEQ s84 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s67 1) (GEQ s84 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s29 1) (GEQ s84 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s50 1) (GEQ s84 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s30 1) (GEQ s84 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s68 1) (GEQ s84 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s13 1) (GEQ s84 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s8 1) (GEQ s84 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s7 1) (GEQ s84 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s66 1) (GEQ s84 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s61 1) (GEQ s84 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s6 1) (GEQ s84 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s51 1) (GEQ s84 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s28 1) (GEQ s84 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s52 1) (GEQ s84 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s76 1) (GEQ s84 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s22 1) (GEQ s84 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s3 1) (GEQ s84 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s38 1) (GEQ s84 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s73 1) (GEQ s84 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s42 1) (GEQ s84 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s41 1) (GEQ s84 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s32 1) (GEQ s84 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s23 1) (GEQ s84 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s33 1) (GEQ s84 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s58 1) (GEQ s84 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s57 1) (GEQ s84 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s21 1) (GEQ s84 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s10 1) (GEQ s84 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s48 1) (GEQ s84 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s27 1) (GEQ s84 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s26 1) (GEQ s84 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s47 1) (GEQ s84 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s49 1) (GEQ s84 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s25 1) (GEQ s84 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s63 1) (GEQ s84 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s16 1) (GEQ s84 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s64 1) (GEQ s84 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s38 1) (GEQ s84 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s4 1) (GEQ s84 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s60 1) (GEQ s84 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s54 1) (GEQ s84 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s19 1) (GEQ s84 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s55 1) (GEQ s84 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s24 1) (GEQ s84 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s44 1) (GEQ s84 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s70 1) (GEQ s84 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s71 1) (GEQ s84 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s69 1) (GEQ s84 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s45 1) (GEQ s84 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s9 1) (GEQ s84 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s79 1) (GEQ s84 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s35 1) (GEQ s84 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s11 1) (GEQ s84 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s46 1) (GEQ s84 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s50 1) (GEQ s84 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s66 1) (GEQ s84 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s65 1) (GEQ s84 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s67 1) (GEQ s84 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s51 1) (GEQ s84 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s78 1) (GEQ s84 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s61 1) (GEQ s84 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s62 1) (GEQ s84 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s6 1) (GEQ s84 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s5 1) (GEQ s84 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s40 1) (GEQ s84 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s29 1) (GEQ s84 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s28 1) (GEQ s84 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s21 1) (GEQ s84 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s39 1) (GEQ s84 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s38 1) (GEQ s84 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s77 1) (GEQ s84 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s76 1) (GEQ s84 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s73 1) (GEQ s84 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s37 1) (GEQ s84 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s42 1) (GEQ s84 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s33 1) (GEQ s84 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s81 1) (GEQ s84 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s13 1) (GEQ s84 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s24 1) (GEQ s84 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s22 1) (GEQ s84 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s32 1) (GEQ s84 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s27 1) (GEQ s84 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s70 1) (GEQ s84 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s31 1) (GEQ s84 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s49 1) (GEQ s84 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s30 1) (GEQ s84 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s48 1) (GEQ s84 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s15 1) (GEQ s84 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s53 1) (GEQ s84 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s26 1) (GEQ s84 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s64 1) (GEQ s84 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s4 1) (GEQ s84 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s17 1) (GEQ s84 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s16 1) (GEQ s84 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s75 1) (GEQ s84 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s59 1) (GEQ s84 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s2 1) (GEQ s84 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s72 1) (GEQ s84 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s55 1) (GEQ s84 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s60 1) (GEQ s84 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s54 1) (GEQ s84 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s43 1) (GEQ s84 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s71 1) (GEQ s84 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s44 1) (GEQ s84 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s35 1) (GEQ s84 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s10 1) (GEQ s84 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s11 1) (GEQ s84 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s66 1) (GEQ s84 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s45 1) (GEQ s84 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s7 1) (GEQ s84 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s50 1) (GEQ s84 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s65 1) (GEQ s84 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s44 1) (GEQ s84 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s67 1) (GEQ s84 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s61 1) (GEQ s84 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s6 1) (GEQ s84 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s5 1) (GEQ s84 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s28 1) (GEQ s84 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s38 1) (GEQ s84 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s3 1) (GEQ s84 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s21 1) (GEQ s84 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s39 1) (GEQ s84 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s18 1) (GEQ s84 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s77 1) (GEQ s84 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s76 1) (GEQ s84 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s42 1) (GEQ s84 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s37 1) (GEQ s84 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s78 1) (GEQ s84 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s36 1) (GEQ s84 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s73 1) (GEQ s84 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s23 1) (GEQ s84 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s81 1) (GEQ s84 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s33 1) (GEQ s84 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s32 1) (GEQ s84 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s34 1) (GEQ s84 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s22 1) (GEQ s84 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s31 1) (GEQ s84 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s11 1) (GEQ s84 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s49 1) (GEQ s84 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s48 1) (GEQ s84 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s53 1) (GEQ s84 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s64 1) (GEQ s84 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s26 1) (GEQ s84 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s27 1) (GEQ s84 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s17 1) (GEQ s84 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s4 1) (GEQ s84 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s75 1) (GEQ s84 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s15 1) (GEQ s84 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s16 1) (GEQ s84 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s56 1) (GEQ s84 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s59 1) (GEQ s84 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s72 1) (GEQ s84 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s55 1) (GEQ s84 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s60 1) (GEQ s84 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s54 1) (GEQ s84 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s71 1) (GEQ s84 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s43 1) (GEQ s84 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s44 1) (GEQ s84 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s70 1) (GEQ s84 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s12 1) (GEQ s84 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s10 1) (GEQ s84 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s9 1) (GEQ s84 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s28 1) (GEQ s84 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s9 1) (GEQ s84 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s49 1) (GEQ s84 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s68 1) (GEQ s84 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s44 1) (GEQ s84 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s8 1) (GEQ s84 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s25 1) (GEQ s84 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s53 1) (GEQ s84 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s52 1) (GEQ s84 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s17 1) (GEQ s84 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s4 1) (GEQ s84 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s40 1) (GEQ s84 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s2 1) (GEQ s84 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s23 1) (GEQ s84 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s3 1) (GEQ s84 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s24 1) (GEQ s84 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s46 1) (GEQ s84 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s69 1) (GEQ s84 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s47 1) (GEQ s84 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s11 1) (GEQ s84 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s30 1) (GEQ s84 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s66 1) (GEQ s84 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s65 1) (GEQ s84 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s12 1) (GEQ s84 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s31 1) (GEQ s84 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s50 1) (GEQ s84 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s62 1) (GEQ s84 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s26 1) (GEQ s84 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s5 1) (GEQ s84 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s6 1) (GEQ s84 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s15 1) (GEQ s84 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s61 1) (GEQ s84 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s14 1) (GEQ s84 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s27 1) (GEQ s84 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s39 1) (GEQ s84 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s74 1) (GEQ s84 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s75 1) (GEQ s84 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s57 1) (GEQ s84 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s21 1) (GEQ s84 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s59 1) (GEQ s84 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s56 1) (GEQ s84 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s18 1) (GEQ s84 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s20 1) (GEQ s84 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s58 1) (GEQ s84 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s72 1) (GEQ s84 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s37 1) (GEQ s84 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s78 1) (GEQ s84 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s36 1) (GEQ s84 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s77 1) (GEQ s84 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s79 1) (GEQ s84 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s43 1) (GEQ s84 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s81 1) (GEQ s84 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s71 1) (GEQ s84 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s55 1) (GEQ s84 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s33 1) (GEQ s84 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s34 1) (GEQ s84 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s80 1) (GEQ s84 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s49 1) (GEQ s84 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s68 1) (GEQ s84 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s43 1) (GEQ s84 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s17 1) (GEQ s84 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s53 1) (GEQ s84 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s52 1) (GEQ s84 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s40 1) (GEQ s84 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s41 1) (GEQ s84 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s39 1) (GEQ s84 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s2 1) (GEQ s84 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s24 1) (GEQ s84 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s69 1) (GEQ s84 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s8 1) (GEQ s84 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s46 1) (GEQ s84 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s30 1) (GEQ s84 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s47 1) (GEQ s84 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s13 1) (GEQ s84 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s66 1) (GEQ s84 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s12 1) (GEQ s84 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s65 1) (GEQ s84 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s11 1) (GEQ s84 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s71 1) (GEQ s84 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s31 1) (GEQ s84 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s5 1) (GEQ s84 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s15 1) (GEQ s84 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s61 1) (GEQ s84 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s62 1) (GEQ s84 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s14 1) (GEQ s84 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s63 1) (GEQ s84 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s74 1) (GEQ s84 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s16 1) (GEQ s84 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s75 1) (GEQ s84 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s27 1) (GEQ s84 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s20 1) (GEQ s84 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s58 1) (GEQ s84 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s77 1) (GEQ s84 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s38 1) (GEQ s84 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s57 1) (GEQ s84 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s19 1) (GEQ s84 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s56 1) (GEQ s84 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s18 1) (GEQ s84 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s21 1) (GEQ s84 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s59 1) (GEQ s84 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s78 1) (GEQ s84 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s37 1) (GEQ s84 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s36 1) (GEQ s84 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s72 1) (GEQ s84 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s34 1) (GEQ s84 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s60 1) (GEQ s84 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s79 1) (GEQ s84 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s35 1) (GEQ s84 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s22 1) (GEQ s84 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s55 1) (GEQ s84 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s80 1) (GEQ s84 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s33 1) (GEQ s84 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s81 1) (GEQ s84 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s10 1) (GEQ s84 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s9 1) (GEQ s84 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s68 1) (GEQ s84 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s67 1) (GEQ s84 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s43 1) (GEQ s84 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s8 1) (GEQ s84 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s7 1) (GEQ s84 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s25 1) (GEQ s84 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s52 1) (GEQ s84 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s16 1) (GEQ s84 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s41 1) (GEQ s84 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s51 1) (GEQ s84 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s76 1) (GEQ s84 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s3 1) (GEQ s84 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s2 1) (GEQ s84 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s54 1) (GEQ s84 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s24 1) (GEQ s84 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s70 1) (GEQ s84 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s32 1) (GEQ s84 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s23 1) (GEQ s84 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s69 1) (GEQ s84 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s46 1) (GEQ s84 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s45 1) (GEQ s84 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s65 1) (GEQ s84 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s12 1) (GEQ s84 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s47 1) (GEQ s84 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s29 1) (GEQ s84 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s11 1) (GEQ s84 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s30 1) (GEQ s84 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s13 1) (GEQ s84 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s72 1) (GEQ s84 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s14 1) (GEQ s84 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s73 1) (GEQ s84 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s63 1) (GEQ s84 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s74 1) (GEQ s84 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s64 1) (GEQ s84 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s62 1) (GEQ s84 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s5 1) (GEQ s84 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s57 1) (GEQ s84 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s40 1) (GEQ s84 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s19 1) (GEQ s84 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s20 1) (GEQ s84 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s58 1) (GEQ s84 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s21 1) (GEQ s84 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s78 1) (GEQ s84 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s36 1) (GEQ s84 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s18 1) (GEQ s84 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s35 1) (GEQ s84 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s80 1) (GEQ s84 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s34 1) (GEQ s84 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s79 1) (GEQ s84 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s33 1) (GEQ s84 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s59 1) (GEQ s84 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s56 1) (GEQ s84 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s81 1) (GEQ s84 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s68 1) (GEQ s84 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s67 1) (GEQ s84 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s9 1) (GEQ s84 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s8 1) (GEQ s84 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s26 1) (GEQ s84 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s25 1) (GEQ s84 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s52 1) (GEQ s84 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s42 1) (GEQ s84 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s41 1) (GEQ s84 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s53 1) (GEQ s84 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s40 1) (GEQ s84 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s76 1) (GEQ s84 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s51 1) (GEQ s84 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s2 1) (GEQ s84 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s54 1) (GEQ s84 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s23 1) (GEQ s84 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s3 1) (GEQ s84 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s24 1) (GEQ s84 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s6 1) (GEQ s84 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s7 1) (GEQ s84 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s45 1) (GEQ s84 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s46 1) (GEQ s84 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s69 1) (GEQ s84 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s12 1) (GEQ s84 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s30 1) (GEQ s84 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s48 1) (GEQ s84 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s47 1) (GEQ s84 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s29 1) (GEQ s84 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s31 1) (GEQ s84 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s13 1) (GEQ s84 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s14 1) (GEQ s84 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s62 1) (GEQ s84 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s72 1) (GEQ s84 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s15 1) (GEQ s84 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s73 1) (GEQ s84 1) (GEQ s167 1) (GEQ s177 1)) (AND (GEQ s4 1) (GEQ s84 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s28 1) (GEQ s84 1) (GEQ s167 1) (GEQ s180 1)) (AND (GEQ s63 1) (GEQ s84 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s64 1) (GEQ s84 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s37 1) (GEQ s84 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s40 1) (GEQ s84 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s75 1) (GEQ s84 1) (GEQ s167 1) (GEQ s171 1)) (AND (GEQ s78 1) (GEQ s84 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s74 1) (GEQ s84 1) (GEQ s167 1) (GEQ s174 1)) (AND (GEQ s36 1) (GEQ s84 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s18 1) (GEQ s84 1) (GEQ s167 1) (GEQ s178 1)) (AND (GEQ s20 1) (GEQ s84 1) (GEQ s167 1) (GEQ s172 1)) (AND (GEQ s19 1) (GEQ s84 1) (GEQ s167 1) (GEQ s175 1)) (AND (GEQ s80 1) (GEQ s84 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s34 1) (GEQ s84 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s79 1) (GEQ s84 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s35 1) (GEQ s84 1) (GEQ s167 1) (GEQ s176 1)) (AND (GEQ s56 1) (GEQ s84 1) (GEQ s167 1) (GEQ s179 1)) (AND (GEQ s59 1) (GEQ s84 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s81 1) (GEQ s84 1) (GEQ s167 1) (GEQ s170 1)) (AND (GEQ s58 1) (GEQ s84 1) (GEQ s167 1) (GEQ s173 1)) (AND (GEQ s57 1) (GEQ s84 1) (GEQ s167 1) (GEQ s176 1)))], nbAcceptance=1, properties=[trans-labels, explicit-labels, trans-acc, deterministic, no-univ-branch, unambiguous, semi-deterministic, stutter-invariant, very-weak, weak, inherently-weak], stateDesc=[null, null][true, true]]
Product exploration explored 100000 steps with 5189 reset in 630 ms.
Product exploration explored 100000 steps with 5254 reset in 912 ms.
Knowledge obtained : [(NOT p0), (NOT p1)]
Stuttering acceptance computed with spot in 46 ms :[(NOT p0), (AND (NOT p0) (NOT p1))]
Product exploration explored 100000 steps with 5153 reset in 741 ms.
Product exploration explored 100000 steps with 5189 reset in 882 ms.
[2021-05-09 00:25:08] [INFO ] Flatten gal took : 96 ms
[2021-05-09 00:25:08] [INFO ] Flatten gal took : 81 ms
[2021-05-09 00:25:08] [INFO ] Time to serialize gal into /tmp/LTL11313742463542715037.gal : 9 ms
[2021-05-09 00:25:08] [INFO ] Time to serialize properties into /tmp/LTL4473846117282044936.ltl : 4 ms
Invoking ITS tools like this :CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64, --gc-threshold, 2000000, -i, /tmp/LTL11313742463542715037.gal, -t, CGAL, -LTL, /tmp/LTL4473846117282044936.ltl, -c, -stutter-deadlock, --gen-order, FOLLOW], workingDir=/home/mcc/execution]

its-ltl command run as :

/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64 --gc-threshold 2000000 -i /tmp/LTL11313742463542715037.gal -t CGAL -LTL /tmp/LTL4473846117282044936.ltl -c -stutter-deadlock --gen-order FOLLOW
Read 1 LTL properties
Checking formula 0 : !(((F("(SUR_PONT_A_0>=1)"))||(G((F("(SUR_PONT_A_0>=1)"))U("(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((NB_ATTENTE_A_9>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1))||((((NB_ATTENTE_A_30>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_47>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_68>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_25>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_46>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_41>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_62>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_24>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_3>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_63>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_16>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_15>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_35>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_59>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_19>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_18>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_53>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_54>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_43>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_70>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_34>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_69>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_33>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_79>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_78>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_8>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_44>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_28>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_12>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_67>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_6>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_65>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_66>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_60>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_13>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_27>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_49>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_50>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_75>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_2>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_37>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_38>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_73>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_76>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_40>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_72>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_5>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_22>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_31>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_56>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_21>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_57>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_47>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_9>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_26>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_46>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_48>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_15>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_24>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_41>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_62>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_25>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_63>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_3>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_37>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_53>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_18>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_59>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_43>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_69>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_79>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_70>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_78>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_34>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_68>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_8>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_44>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_45>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_10>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_66>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_28>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_49>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_67>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_12>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_6>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_65>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_7>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_5>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_29>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_76>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_50>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_27>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_51>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_73>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_75>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_2>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_1>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_72>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_4>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_40>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_41>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_31>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_22>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_32>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_56>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_21>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_26>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_69>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_30>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_48>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_47>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_52>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_14>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_63>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_25>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_15>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_16>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_74>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_3>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_58>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_55>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_18>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_54>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_59>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_1>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_53>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_70>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_7>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_42>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_43>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_34>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_10>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_9>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_49>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_64>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_65>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_5>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_50>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_60>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_61>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_4>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_39>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_28>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_27>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_37>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_20>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_38>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_76>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_75>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_36>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_72>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_41>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_32>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_12>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_23>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_80>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_21>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_31>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_10>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_30>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_48>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_47>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_25>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_52>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_63>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_24>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_36>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_16>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_74>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_26>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_3>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_13>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_15>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_14>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_55>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_58>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_71>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_54>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_53>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_59>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_70>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_42>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_43>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_69>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_44>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_11>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_9>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_27>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_65>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_44>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_6>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_49>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_61>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_64>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_66>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_50>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_60>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_4>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_5>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_17>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_20>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_19>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_38>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_76>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_37>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_75>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_36>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_41>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_77>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_22>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_32>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_80>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_21>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_31>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_33>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_10>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_29>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_65>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_46>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_30>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_49>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_64>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_70>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_61>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_4>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_14>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_13>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_60>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_62>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_5>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_26>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_38>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_74>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_73>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_76>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_17>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_20>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_19>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_58>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_57>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_55>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_35>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_71>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_36>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_77>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_59>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_42>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_54>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_11>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_33>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_32>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_80>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_79>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_8>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_48>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_67>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_27>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_24>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_43>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_51>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_52>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_16>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_39>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_2>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_1>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_23>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_68>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_6>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_7>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_45>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_64>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_11>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_10>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_28>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_49>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_30>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_29>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_70>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_4>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_14>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_13>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_60>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_61>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_15>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_73>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_62>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_74>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_26>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_38>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_57>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_18>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_76>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_56>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_55>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_19>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_20>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_77>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_54>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_17>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_35>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_37>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_36>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_71>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_42>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_78>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_59>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_58>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_21>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_9>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_31>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_80>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_79>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_33>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_32>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_67>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_48>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_42>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_52>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_16>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_51>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_40>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_39>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_38>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_1>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_23>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_7>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_45>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_11>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_28>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_29>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_47>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_64>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_46>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_12>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_71>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_72>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_13>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_73>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_26>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_62>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_61>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_4>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_18>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_19>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_39>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_74>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_57>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_56>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_35>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_17>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_20>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_77>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_33>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_34>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_78>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_79>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_58>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_80>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_55>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_66>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_9>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_8>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_67>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_7>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_42>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_24>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_6>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_51>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_40>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_50>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_52>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_75>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_1>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_21>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_2>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_53>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_23>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_69>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_31>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_22>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_44>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_45>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_68>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_64>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_46>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_11>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_29>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_47>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_28>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_30>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_12>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_13>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_61>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_71>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_14>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_3>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_72>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_63>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_62>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_74>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_36>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_56>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_19>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_39>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_73>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_77>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_35>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_40>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_18>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_17>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_79>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_33>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_78>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_34>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_55>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_57>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_67>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_8>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_66>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_7>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_24>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_25>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_41>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_16>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_51>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_52>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_40>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_50>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_1>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_2>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_22>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_6>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_23>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_45>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_68>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_44>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_69>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_28>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_11>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_66>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_12>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_6>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_65>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_60>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_5>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_72>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_76>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_27>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_51>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_50>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_49>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_75>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_2>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_38>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_73>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_37>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_40>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_13>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_22>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_31>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_21>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_57>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_56>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_47>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_25>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_46>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_48>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_15>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_41>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_24>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_3>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_63>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_62>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_14>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_16>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_35>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_59>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_54>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_53>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_18>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_19>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_17>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_43>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_34>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_69>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_79>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_44>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_8>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_78>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_68>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_9>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_45>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_66>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_28>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_49>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_29>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_67>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_12>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_7>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_6>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_65>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_60>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_5>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_50>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_27>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_51>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_75>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_21>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_2>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_37>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_72>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_41>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_40>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_31>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_22>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_32>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_57>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_56>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_20>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_9>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_47>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_26>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_25>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_46>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_48>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_24>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_62>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_15>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_63>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_37>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_3>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_59>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_53>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_18>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_54>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_23>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_43>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_69>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_70>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_68>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_44>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_8>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_78>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_34>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_10>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_45>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_49>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_65>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_64>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_66>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_50>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_77>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_60>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_61>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_5>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_4>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_39>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_28>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_27>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_20>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_38>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_37>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_76>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_75>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_72>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_36>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_41>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_32>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_80>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_12>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_23>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_21>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_31>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_26>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_69>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_30>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_48>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_29>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_47>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_14>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_52>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_25>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_63>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_3>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_16>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_15>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_74>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_58>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_1>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_71>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_54>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_59>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_53>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_42>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_70>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_43>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_34>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_9>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_10>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_65>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_44>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_6>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_49>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_64>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_43>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_66>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_60>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_5>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_4>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_27>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_37>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_2>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_20>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_38>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_17>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_76>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_75>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_41>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_36>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_77>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_35>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_72>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_22>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_80>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_32>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_31>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_33>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_21>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_30>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_10>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_48>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_47>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_52>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_63>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_25>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_26>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_16>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_3>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_74>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_14>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_15>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_55>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_58>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_71>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_54>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_59>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_53>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_70>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_42>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_43>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_69>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_11>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_9>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_8>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_27>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_8>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_48>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_67>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_43>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_7>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_24>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_52>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_51>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_16>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_3>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_39>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_1>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_22>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_2>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_23>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_45>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_68>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_46>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_10>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_29>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_65>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_64>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_11>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_30>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_49>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_61>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_25>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_4>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_5>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_14>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_60>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_13>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_26>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_38>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_73>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_74>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_56>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_20>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_58>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_55>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_17>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_19>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_57>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_71>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_36>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_77>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_35>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_76>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_78>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_42>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_80>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_70>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_54>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_32>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_33>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_79>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_48>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_67>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_42>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_16>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_52>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_51>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_39>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_40>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_38>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_1>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_23>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_68>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_7>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_45>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_29>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_46>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_12>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_65>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_11>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_64>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_10>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_70>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_30>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_4>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_14>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_60>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_61>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_13>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_62>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_73>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_15>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_74>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_26>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_19>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_57>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_76>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_37>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_56>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_18>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_55>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_17>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_20>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_58>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_77>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_36>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_35>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_71>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_33>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_59>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_78>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_34>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_21>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_54>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_79>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_32>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_80>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_9>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_8>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_67>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_66>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_42>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_7>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_6>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_24>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_51>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_15>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_40>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_50>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_75>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_2>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_1>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_53>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_23>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_69>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_31>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_22>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_68>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_45>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_44>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_64>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_11>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_46>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_28>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_10>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_29>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_12>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_71>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_13>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_72>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_62>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_73>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_63>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_61>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_4>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_56>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_39>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_18>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_19>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_57>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_20>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_77>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_35>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_17>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_34>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_79>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_33>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_78>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_32>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_58>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_55>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_80>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_67>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_66>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_8>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_7>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_25>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_24>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_51>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_41>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_40>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_52>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_39>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_75>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_50>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_1>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_53>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_22>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_2>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_23>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_5>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_6>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_44>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_45>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_68>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_11>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_29>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_47>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_46>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_28>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_30>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_12>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_13>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_61>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_71>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_14>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_72>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_3>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_27>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_62>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_63>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_36>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_39>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_74>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_77>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_73>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_35>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_17>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_19>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_18>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_79>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_33>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_78>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_34>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_55>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_58>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_80>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_57>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_56>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))")))))
Formula 0 simplified : !(F"(SUR_PONT_A_0>=1)" | G(F"(SUR_PONT_A_0>=1)" U "(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((NB_ATTENTE_A_9>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1))||((((NB_ATTENTE_A_30>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_47>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_68>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_25>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_46>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_41>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_62>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_24>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_3>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_63>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_16>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_15>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_35>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_59>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_19>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_18>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_53>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_54>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_43>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_70>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_34>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_69>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_33>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_79>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_78>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_8>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_44>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_28>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_12>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_67>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_6>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_65>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_66>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_60>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_13>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_27>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_49>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_50>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_75>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_2>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_37>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_38>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_73>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_76>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_40>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_72>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_5>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_22>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_31>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_56>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_21>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_57>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_47>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_9>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_26>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_46>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_48>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_15>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_24>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_41>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_62>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_25>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_63>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_3>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_37>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_53>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_18>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_59>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_43>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_69>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_79>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_70>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_78>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_34>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_68>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_8>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_44>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_45>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_10>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_66>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_28>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_49>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_67>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_12>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_6>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_65>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_7>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_5>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_29>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_76>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_50>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_27>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_51>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_73>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_75>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_2>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_1>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_72>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_4>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_40>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_41>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_31>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_22>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_32>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_56>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_21>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_26>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_69>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_30>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_48>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_47>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_52>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_14>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_63>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_25>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_15>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_16>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_74>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_3>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_58>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_55>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_18>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_54>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_59>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_1>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_53>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_70>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_7>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_42>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_43>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_34>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_10>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_9>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_49>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_64>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_65>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_5>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_50>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_60>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_61>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_4>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_39>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_28>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_27>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_37>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_20>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_38>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_76>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_75>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_36>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_72>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_41>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_32>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_12>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_23>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_80>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_21>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_31>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_10>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_30>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_48>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_47>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_25>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_52>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_63>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_24>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_36>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_16>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_74>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_26>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_3>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_13>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_15>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_14>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_55>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_58>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_71>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_54>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_53>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_59>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_70>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_42>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_43>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_69>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_44>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_11>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_9>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_27>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_65>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_44>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_6>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_49>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_61>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_64>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_66>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_50>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_60>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_4>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_5>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_17>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_20>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_19>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_38>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_76>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_37>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_75>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_36>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_41>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_77>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_22>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_32>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_80>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_21>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_31>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_33>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_10>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_29>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_65>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_46>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_30>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_49>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_64>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_70>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_61>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_4>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_14>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_13>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_60>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_62>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_5>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_26>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_38>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_74>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_73>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_76>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_17>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_20>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_19>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_58>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_57>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_55>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_35>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_71>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_36>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_77>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_59>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_42>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_54>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_11>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_33>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_32>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_80>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_79>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_8>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_48>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_67>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_27>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_24>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_43>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_51>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_52>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_16>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_39>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_2>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_1>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_23>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_68>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_6>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_7>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_45>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_64>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_11>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_10>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_28>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_49>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_30>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_29>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_70>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_4>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_14>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_13>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_60>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_61>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_15>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_73>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_62>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_74>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_26>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_38>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_57>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_18>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_76>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_56>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_55>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_19>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_20>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_77>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_54>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_17>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_35>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_37>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_36>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_71>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_42>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_78>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_59>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_58>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_21>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_9>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_31>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_80>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_79>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_33>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_32>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_67>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_48>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_42>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_52>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_16>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_51>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_40>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_39>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_38>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_1>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_23>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_7>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_45>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_11>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_28>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_29>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_47>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_64>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_46>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_12>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_71>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_72>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_13>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_73>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_26>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_62>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_61>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_4>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_18>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_19>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_39>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_74>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_57>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_56>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_35>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_17>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_20>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_77>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_33>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_34>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_78>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_79>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_58>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_80>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_55>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_66>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_9>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_8>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_67>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_7>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_42>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_24>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_6>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_51>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_40>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_50>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_52>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_75>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_1>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_21>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_2>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_53>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_23>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_69>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_31>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_22>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_44>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_45>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_68>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_64>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_46>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_11>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_29>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_47>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_28>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_30>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_12>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_13>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_61>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_71>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_14>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_3>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_72>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_63>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_62>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_74>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_36>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_56>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_19>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_39>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_73>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_77>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_35>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_40>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_18>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_17>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_79>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_33>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_78>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_34>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_55>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_57>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_67>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_8>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_66>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_7>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_24>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_25>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_41>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_16>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_51>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_52>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_40>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_50>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_1>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_2>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_22>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_6>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_23>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_45>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_68>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_44>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_69>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_28>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_11>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_66>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_12>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_6>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_65>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_60>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_5>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_72>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_76>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_27>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_51>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_50>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_49>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_75>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_2>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_38>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_73>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_37>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_40>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_13>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_22>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_31>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_21>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_57>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_56>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_47>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_25>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_46>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_48>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_15>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_41>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_24>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_3>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_63>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_62>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_14>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_16>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_35>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_59>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_54>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_53>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_18>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_19>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_17>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_43>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_34>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_69>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_79>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_44>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_8>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_78>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_68>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_9>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_45>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_66>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_28>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_49>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_29>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_67>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_12>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_7>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_6>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_65>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_60>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_5>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_50>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_27>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_51>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_75>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_21>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_2>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_37>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_72>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_41>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_40>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_31>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_22>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_32>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_57>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_56>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_20>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_9>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_47>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_26>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_25>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_46>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_48>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_24>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_62>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_15>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_63>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_37>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_3>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_59>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_53>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_18>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_54>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_23>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_43>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_69>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_70>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_68>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_44>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_8>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_78>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_34>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_10>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_45>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_49>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_65>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_64>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_66>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_50>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_77>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_60>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_61>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_5>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_4>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_39>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_28>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_27>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_20>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_38>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_37>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_76>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_75>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_72>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_36>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_41>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_32>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_80>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_12>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_23>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_21>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_31>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_26>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_69>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_30>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_48>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_29>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_47>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_14>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_52>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_25>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_63>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_3>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_16>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_15>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_74>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_58>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_1>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_71>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_54>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_59>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_53>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_42>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_70>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_43>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_34>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_9>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_10>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_65>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_44>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_6>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_49>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_64>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_43>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_66>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_60>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_5>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_4>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_27>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_37>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_2>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_20>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_38>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_17>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_76>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_75>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_41>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_36>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_77>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_35>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_72>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_22>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_80>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_32>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_31>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_33>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_21>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_30>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_10>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_48>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_47>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_52>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_63>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_25>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_26>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_16>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_3>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_74>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_14>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_15>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_55>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_58>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_71>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_54>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_59>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_53>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_70>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_42>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_43>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_69>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_11>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_9>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_8>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_27>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_8>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_48>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_67>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_43>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_7>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_24>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_52>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_51>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_16>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_3>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_39>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_1>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_22>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_2>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_23>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_45>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_68>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_46>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_10>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_29>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_65>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_64>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_11>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_30>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_49>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_61>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_25>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_4>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_5>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_14>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_60>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_13>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_26>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_38>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_73>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_74>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_56>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_20>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_58>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_55>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_17>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_19>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_57>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_71>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_36>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_77>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_35>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_76>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_78>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_42>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_80>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_70>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_54>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_32>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_33>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_79>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_48>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_67>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_42>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_16>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_52>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_51>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_39>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_40>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_38>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_1>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_23>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_68>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_7>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_45>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_29>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_46>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_12>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_65>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_11>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_64>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_10>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_70>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_30>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_4>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_14>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_60>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_61>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_13>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_62>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_73>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_15>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_74>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_26>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_19>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_57>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_76>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_37>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_56>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_18>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_55>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_17>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_20>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_58>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_77>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_36>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_35>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_71>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_33>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_59>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_78>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_34>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_21>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_54>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_79>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_32>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_80>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_9>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_8>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_67>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_66>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_42>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_7>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_6>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_24>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_51>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_15>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_40>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_50>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_75>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_2>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_1>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_53>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_23>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_69>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_31>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_22>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_68>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_45>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_44>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_64>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_11>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_46>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_28>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_10>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_29>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_12>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_71>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_13>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_72>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_62>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_73>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_63>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_61>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_4>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_56>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_39>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_18>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_19>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_57>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_20>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_77>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_35>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_17>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_34>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_79>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_33>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_78>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_32>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_58>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_55>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_80>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_67>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_66>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_8>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_7>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_25>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_24>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_51>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_41>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_40>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_52>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_39>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_75>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_50>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_1>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_53>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_22>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_2>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_23>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_5>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_6>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_44>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_45>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_68>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_11>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_29>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_47>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_46>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_28>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_30>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_12>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_13>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_61>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_71>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_14>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_72>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_3>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_27>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_62>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_63>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_36>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_39>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_74>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_77>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_73>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_35>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_17>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_19>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_18>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_79>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_33>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_78>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_34>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_55>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_58>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_80>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_57>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_56>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))"))
Detected timeout of ITS tools.
[2021-05-09 00:25:23] [INFO ] Flatten gal took : 59 ms
[2021-05-09 00:25:23] [INFO ] Applying decomposition
[2021-05-09 00:25:23] [INFO ] Flatten gal took : 60 ms
Converted graph to binary with : CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.louvain.binaries_1.0.0.202104292328/bin/convert-linux64, -i, /tmp/graph3759850069758451433.txt, -o, /tmp/graph3759850069758451433.bin, -w, /tmp/graph3759850069758451433.weights], workingDir=null]
Built communities with : CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.louvain.binaries_1.0.0.202104292328/bin/louvain-linux64, /tmp/graph3759850069758451433.bin, -l, -1, -v, -w, /tmp/graph3759850069758451433.weights, -q, 0, -e, 0.001], workingDir=null]
[2021-05-09 00:25:23] [INFO ] Decomposing Gal with order
[2021-05-09 00:25:23] [INFO ] Rewriting arrays to variables to allow decomposition.
[2021-05-09 00:25:24] [INFO ] Removed a total of 3713 redundant transitions.
[2021-05-09 00:25:24] [INFO ] Flatten gal took : 480 ms
[2021-05-09 00:25:24] [INFO ] Fuse similar labels procedure discarded/fused a total of 437 labels/synchronizations in 14 ms.
[2021-05-09 00:25:24] [INFO ] Time to serialize gal into /tmp/LTL16690264467396685438.gal : 1 ms
[2021-05-09 00:25:24] [INFO ] Time to serialize properties into /tmp/LTL8979693319972268908.ltl : 5 ms
Invoking ITS tools like this :CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64, --gc-threshold, 2000000, -i, /tmp/LTL16690264467396685438.gal, -t, CGAL, -LTL, /tmp/LTL8979693319972268908.ltl, -c, -stutter-deadlock], workingDir=/home/mcc/execution]

its-ltl command run as :

/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64 --gc-threshold 2000000 -i /tmp/LTL16690264467396685438.gal -t CGAL -LTL /tmp/LTL8979693319972268908.ltl -c -stutter-deadlock
Read 1 LTL properties
Checking formula 0 : !(((F("(u0.SUR_PONT_A_0>=1)"))||(G((F("(u0.SUR_PONT_A_0>=1)"))U("(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((i1.u2.NB_ATTENTE_A_9>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_4>=1))||((((i1.u2.NB_ATTENTE_A_30>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_10>=1)))||((((i1.u2.NB_ATTENTE_A_47>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_4>=1)))||((((i1.u2.NB_ATTENTE_A_68>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_10>=1)))||((((i1.u2.NB_ATTENTE_A_25>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_3>=1)))||((((i1.u2.NB_ATTENTE_A_46>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_9>=1)))||((((i1.u2.NB_ATTENTE_A_41>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_2>=1)))||((((i1.u2.NB_ATTENTE_A_62>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_8>=1)))||((((i1.u2.NB_ATTENTE_A_24>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_8>=1)))||((((i1.u2.NB_ATTENTE_A_3>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_2>=1)))||((((i1.u2.NB_ATTENTE_A_63>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_3>=1)))||((((i1.u2.NB_ATTENTE_A_16>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_1>=1)))||((((i1.u2.NB_ATTENTE_A_15>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_6>=1)))||((((i1.u2.NB_ATTENTE_A_35>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_0>=1)))||((((i1.u2.NB_ATTENTE_A_59>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_8>=1)))||((((i1.u2.NB_ATTENTE_A_19>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_1>=1)))||((((i1.u2.NB_ATTENTE_A_18>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_6>=1)))||((((i1.u2.NB_ATTENTE_A_53>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_6>=1)))||((((i1.u2.NB_ATTENTE_A_54>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_1>=1)))||((((i1.u2.NB_ATTENTE_A_43>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_9>=1)))||((((i1.u2.NB_ATTENTE_A_70>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_0>=1)))||((((i1.u2.NB_ATTENTE_A_34>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_5>=1)))||((((i1.u2.NB_ATTENTE_A_69>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_5>=1)))||((((i1.u2.NB_ATTENTE_A_33>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_10>=1)))||((((i1.u2.NB_ATTENTE_A_79>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_2>=1)))||((((i1.u2.NB_ATTENTE_A_78>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_7>=1)))||((((i1.u2.NB_ATTENTE_A_8>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_9>=1)))||((((i1.u2.NB_ATTENTE_A_44>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_4>=1)))||((((i1.u2.NB_ATTENTE_A_28>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_5>=1)))||((((i1.u2.NB_ATTENTE_A_12>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_4>=1)))||((((i1.u2.NB_ATTENTE_A_67>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_0>=1)))||((((i1.u2.NB_ATTENTE_A_6>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_2>=1)))||((((i1.u2.NB_ATTENTE_A_65>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_10>=1)))||((((i1.u2.NB_ATTENTE_A_66>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_3>=1)))||((((i1.u2.NB_ATTENTE_A_60>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_1>=1)))||((((i1.u2.NB_ATTENTE_A_13>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_1>=1)))||((((i1.u2.NB_ATTENTE_A_27>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_8>=1)))||((((i1.u2.NB_ATTENTE_A_49>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_9>=1)))||((((i1.u2.NB_ATTENTE_A_50>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_6>=1)))||((((i1.u2.NB_ATTENTE_A_75>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_5>=1)))||((((i1.u2.NB_ATTENTE_A_2>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_9>=1)))||((((i1.u2.NB_ATTENTE_A_37>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_7>=1)))||((((i1.u2.NB_ATTENTE_A_38>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_0>=1)))||((((i1.u2.NB_ATTENTE_A_73>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_2>=1)))||((((i1.u2.NB_ATTENTE_A_76>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_2>=1)))||((((i1.u2.NB_ATTENTE_A_40>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_7>=1)))||((((i1.u2.NB_ATTENTE_A_72>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_5>=1)))||((((i1.u2.NB_ATTENTE_A_5>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_9>=1)))||((((i1.u2.NB_ATTENTE_A_22>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_3>=1)))||((((i1.u2.NB_ATTENTE_A_31>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_5>=1)))||((((i1.u2.NB_ATTENTE_A_56>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_8>=1)))||((((i1.u2.NB_ATTENTE_A_21>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_6>=1)))||((((i1.u2.NB_ATTENTE_A_57>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_1>=1)))||((((i1.u2.NB_ATTENTE_A_47>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_6>=1)))||((((i1.u2.NB_ATTENTE_A_9>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_2>=1)))||((((i1.u2.NB_ATTENTE_A_26>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_0>=1)))||((((i1.u2.NB_ATTENTE_A_46>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_7>=1)))||((((i1.u2.NB_ATTENTE_A_48>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_1>=1)))||((((i1.u2.NB_ATTENTE_A_15>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_8>=1)))||((((i1.u2.NB_ATTENTE_A_24>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_6>=1)))||((((i1.u2.NB_ATTENTE_A_41>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_4>=1)))||((((i1.u2.NB_ATTENTE_A_62>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_10>=1)))||((((i1.u2.NB_ATTENTE_A_25>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_5>=1)))||((((i1.u2.NB_ATTENTE_A_63>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_5>=1)))||((((i1.u2.NB_ATTENTE_A_3>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_4>=1)))||((((i1.u2.NB_ATTENTE_A_37>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_9>=1)))||((((i1.u2.NB_ATTENTE_A_53>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_4>=1)))||((((i1.u2.NB_ATTENTE_A_18>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_8>=1)))||((((i1.u2.NB_ATTENTE_A_59>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_10>=1)))||((((i1.u2.NB_ATTENTE_A_43>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_7>=1)))||((((i1.u2.NB_ATTENTE_A_69>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_3>=1)))||((((i1.u2.NB_ATTENTE_A_79>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_0>=1)))||((((i1.u2.NB_ATTENTE_A_70>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_2>=1)))||((((i1.u2.NB_ATTENTE_A_78>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_9>=1)))||((((i1.u2.NB_ATTENTE_A_34>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_3>=1)))||((((i1.u2.NB_ATTENTE_A_68>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_8>=1)))||((((i1.u2.NB_ATTENTE_A_8>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_7>=1)))||((((i1.u2.NB_ATTENTE_A_44>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_6>=1)))||((((i1.u2.NB_ATTENTE_A_45>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_1>=1)))||((((i1.u2.NB_ATTENTE_A_10>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_1>=1)))||((((i1.u2.NB_ATTENTE_A_66>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_5>=1)))||((((i1.u2.NB_ATTENTE_A_28>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_3>=1)))||((((i1.u2.NB_ATTENTE_A_49>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_7>=1)))||((((i1.u2.NB_ATTENTE_A_67>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_2>=1)))||((((i1.u2.NB_ATTENTE_A_12>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_2>=1)))||((((i1.u2.NB_ATTENTE_A_6>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_4>=1)))||((((i1.u2.NB_ATTENTE_A_65>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_8>=1)))||((((i1.u2.NB_ATTENTE_A_7>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_1>=1)))||((((i1.u2.NB_ATTENTE_A_5>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_7>=1)))||((((i1.u2.NB_ATTENTE_A_29>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_0>=1)))||((((i1.u2.NB_ATTENTE_A_76>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_0>=1)))||((((i1.u2.NB_ATTENTE_A_50>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_4>=1)))||((((i1.u2.NB_ATTENTE_A_27>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_6>=1)))||((((i1.u2.NB_ATTENTE_A_51>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_1>=1)))||((((i1.u2.NB_ATTENTE_A_73>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_0>=1)))||((((i1.u2.NB_ATTENTE_A_75>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_3>=1)))||((((i1.u2.NB_ATTENTE_A_2>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_7>=1)))||((((i1.u2.NB_ATTENTE_A_1>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_10>=1)))||((((i1.u2.NB_ATTENTE_A_72>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_3>=1)))||((((i1.u2.NB_ATTENTE_A_4>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_10>=1)))||((((i1.u2.NB_ATTENTE_A_40>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_9>=1)))||((((i1.u2.NB_ATTENTE_A_41>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_6>=1)))||((((i1.u2.NB_ATTENTE_A_31>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_3>=1)))||((((i1.u2.NB_ATTENTE_A_22>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_5>=1)))||((((i1.u2.NB_ATTENTE_A_32>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_0>=1)))||((((i1.u2.NB_ATTENTE_A_56>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_10>=1)))||((((i1.u2.NB_ATTENTE_A_21>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_8>=1)))||((((i1.u2.NB_ATTENTE_A_26>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_2>=1)))||((((i1.u2.NB_ATTENTE_A_69>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_9>=1)))||((((i1.u2.NB_ATTENTE_A_30>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_6>=1)))||((((i1.u2.NB_ATTENTE_A_48>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_3>=1)))||((((i1.u2.NB_ATTENTE_A_47>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_8>=1)))||((((i1.u2.NB_ATTENTE_A_52>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_7>=1)))||((((i1.u2.NB_ATTENTE_A_14>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_7>=1)))||((((i1.u2.NB_ATTENTE_A_63>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_7>=1)))||((((i1.u2.NB_ATTENTE_A_25>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_7>=1)))||((((i1.u2.NB_ATTENTE_A_15>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_2>=1)))||((((i1.u2.NB_ATTENTE_A_16>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_5>=1)))||((((i1.u2.NB_ATTENTE_A_74>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_8>=1)))||((((i1.u2.NB_ATTENTE_A_3>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_6>=1)))||((((i1.u2.NB_ATTENTE_A_58>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_9>=1)))||((((i1.u2.NB_ATTENTE_A_55>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_0>=1)))||((((i1.u2.NB_ATTENTE_A_18>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_10>=1)))||((((i1.u2.NB_ATTENTE_A_54>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_5>=1)))||((((i1.u2.NB_ATTENTE_A_59>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_4>=1)))||((((i1.u2.NB_ATTENTE_A_1>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_1>=1)))||((((i1.u2.NB_ATTENTE_A_53>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_10>=1)))||((((i1.u2.NB_ATTENTE_A_70>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_4>=1)))||((((i1.u2.NB_ATTENTE_A_7>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_10>=1)))||((((i1.u2.NB_ATTENTE_A_42>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_10>=1)))||((((i1.u2.NB_ATTENTE_A_43>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_5>=1)))||((((i1.u2.NB_ATTENTE_A_34>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_1>=1)))||((((i1.u2.NB_ATTENTE_A_10>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_3>=1)))||((((i1.u2.NB_ATTENTE_A_9>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_8>=1)))||((((i1.u2.NB_ATTENTE_A_49>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_5>=1)))||((((i1.u2.NB_ATTENTE_A_64>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_9>=1)))||((((i1.u2.NB_ATTENTE_A_65>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_6>=1)))||((((i1.u2.NB_ATTENTE_A_5>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_5>=1)))||((((i1.u2.NB_ATTENTE_A_50>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_2>=1)))||((((i1.u2.NB_ATTENTE_A_60>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_5>=1)))||((((i1.u2.NB_ATTENTE_A_61>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_2>=1)))||((((i1.u2.NB_ATTENTE_A_4>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_8>=1)))||((((i1.u2.NB_ATTENTE_A_39>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_1>=1)))||((((i1.u2.NB_ATTENTE_A_28>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_1>=1)))||((((i1.u2.NB_ATTENTE_A_27>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_4>=1)))||((((i1.u2.NB_ATTENTE_A_37>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_3>=1)))||((((i1.u2.NB_ATTENTE_A_20>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_9>=1)))||((((i1.u2.NB_ATTENTE_A_38>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_4>=1)))||((((i1.u2.NB_ATTENTE_A_76>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_6>=1)))||((((i1.u2.NB_ATTENTE_A_75>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_9>=1)))||((((i1.u2.NB_ATTENTE_A_36>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_6>=1)))||((((i1.u2.NB_ATTENTE_A_72>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_1>=1)))||((((i1.u2.NB_ATTENTE_A_41>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_8>=1)))||((((i1.u2.NB_ATTENTE_A_32>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_2>=1)))||((((i1.u2.NB_ATTENTE_A_12>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_0>=1)))||((((i1.u2.NB_ATTENTE_A_23>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_0>=1)))||((((i1.u2.NB_ATTENTE_A_80>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_10>=1)))||((((i1.u2.NB_ATTENTE_A_21>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_2>=1)))||((((i1.u2.NB_ATTENTE_A_31>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_9>=1)))||((((i1.u2.NB_ATTENTE_A_10>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_5>=1)))||((((i1.u2.NB_ATTENTE_A_30>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_8>=1)))||((((i1.u2.NB_ATTENTE_A_48>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_5>=1)))||((((i1.u2.NB_ATTENTE_A_47>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_10>=1)))||((((i1.u2.NB_ATTENTE_A_25>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_9>=1)))||((((i1.u2.NB_ATTENTE_A_52>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_9>=1)))||((((i1.u2.NB_ATTENTE_A_63>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_9>=1)))||((((i1.u2.NB_ATTENTE_A_24>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_10>=1)))||((((i1.u2.NB_ATTENTE_A_36>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_10>=1)))||((((i1.u2.NB_ATTENTE_A_16>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_3>=1)))||((((i1.u2.NB_ATTENTE_A_74>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_10>=1)))||((((i1.u2.NB_ATTENTE_A_26>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_4>=1)))||((((i1.u2.NB_ATTENTE_A_3>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_8>=1)))||((((i1.u2.NB_ATTENTE_A_13>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_10>=1)))||((((i1.u2.NB_ATTENTE_A_15>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_4>=1)))||((((i1.u2.NB_ATTENTE_A_14>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_9>=1)))||((((i1.u2.NB_ATTENTE_A_55>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_2>=1)))||((((i1.u2.NB_ATTENTE_A_58>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_7>=1)))||((((i1.u2.NB_ATTENTE_A_71>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_1>=1)))||((((i1.u2.NB_ATTENTE_A_54>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_3>=1)))||((((i1.u2.NB_ATTENTE_A_53>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_8>=1)))||((((i1.u2.NB_ATTENTE_A_59>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_6>=1)))||((((i1.u2.NB_ATTENTE_A_70>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_6>=1)))||((((i1.u2.NB_ATTENTE_A_42>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_8>=1)))||((((i1.u2.NB_ATTENTE_A_43>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_3>=1)))||((((i1.u2.NB_ATTENTE_A_69>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_7>=1)))||((((i1.u2.NB_ATTENTE_A_44>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_2>=1)))||((((i1.u2.NB_ATTENTE_A_11>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_0>=1)))||((((i1.u2.NB_ATTENTE_A_9>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_6>=1)))||((((i1.u2.NB_ATTENTE_A_27>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_2>=1)))||((((i1.u2.NB_ATTENTE_A_65>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_4>=1)))||((((i1.u2.NB_ATTENTE_A_44>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_0>=1)))||((((i1.u2.NB_ATTENTE_A_6>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_0>=1)))||((((i1.u2.NB_ATTENTE_A_49>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_3>=1)))||((((i1.u2.NB_ATTENTE_A_61>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_0>=1)))||((((i1.u2.NB_ATTENTE_A_64>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_7>=1)))||((((i1.u2.NB_ATTENTE_A_66>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_1>=1)))||((((i1.u2.NB_ATTENTE_A_50>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_0>=1)))||((((i1.u2.NB_ATTENTE_A_60>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_3>=1)))||((((i1.u2.NB_ATTENTE_A_4>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_6>=1)))||((((i1.u2.NB_ATTENTE_A_5>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_3>=1)))||((((i1.u2.NB_ATTENTE_A_17>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_0>=1)))||((((i1.u2.NB_ATTENTE_A_20>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_7>=1)))||((((i1.u2.NB_ATTENTE_A_19>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_10>=1)))||((((i1.u2.NB_ATTENTE_A_38>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_2>=1)))||((((i1.u2.NB_ATTENTE_A_76>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_4>=1)))||((((i1.u2.NB_ATTENTE_A_37>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_5>=1)))||((((i1.u2.NB_ATTENTE_A_75>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_7>=1)))||((((i1.u2.NB_ATTENTE_A_36>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_8>=1)))||((((i1.u2.NB_ATTENTE_A_41>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_10>=1)))||((((i1.u2.NB_ATTENTE_A_77>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_1>=1)))||((((i1.u2.NB_ATTENTE_A_22>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_1>=1)))||((((i1.u2.NB_ATTENTE_A_32>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_4>=1)))||((((i1.u2.NB_ATTENTE_A_80>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_8>=1)))||((((i1.u2.NB_ATTENTE_A_21>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_4>=1)))||((((i1.u2.NB_ATTENTE_A_31>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_7>=1)))||((((i1.u2.NB_ATTENTE_A_33>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_1>=1)))||((((i1.u2.NB_ATTENTE_A_10>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_7>=1)))||((((i1.u2.NB_ATTENTE_A_29>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_7>=1)))||((((i1.u2.NB_ATTENTE_A_65>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_1>=1)))||((((i1.u2.NB_ATTENTE_A_46>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_1>=1)))||((((i1.u2.NB_ATTENTE_A_30>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_2>=1)))||((((i1.u2.NB_ATTENTE_A_49>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_2>=1)))||((((i1.u2.NB_ATTENTE_A_64>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_6>=1)))||((((i1.u2.NB_ATTENTE_A_70>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_8>=1)))||((((i1.u2.NB_ATTENTE_A_61>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_5>=1)))||((((i1.u2.NB_ATTENTE_A_4>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_5>=1)))||((((i1.u2.NB_ATTENTE_A_14>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_3>=1)))||((((i1.u2.NB_ATTENTE_A_13>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_8>=1)))||((((i1.u2.NB_ATTENTE_A_60>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_10>=1)))||((((i1.u2.NB_ATTENTE_A_62>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_0>=1)))||((((i1.u2.NB_ATTENTE_A_5>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_0>=1)))||((((i1.u2.NB_ATTENTE_A_26>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_6>=1)))||((((i1.u2.NB_ATTENTE_A_38>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_10>=1)))||((((i1.u2.NB_ATTENTE_A_74>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_4>=1)))||((((i1.u2.NB_ATTENTE_A_73>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_9>=1)))||((((i1.u2.NB_ATTENTE_A_76>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_9>=1)))||((((i1.u2.NB_ATTENTE_A_17>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_3>=1)))||((((i1.u2.NB_ATTENTE_A_20>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_4>=1)))||((((i1.u2.NB_ATTENTE_A_19>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_9>=1)))||((((i1.u2.NB_ATTENTE_A_58>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_5>=1)))||((((i1.u2.NB_ATTENTE_A_57>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_10>=1)))||((((i1.u2.NB_ATTENTE_A_55>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_4>=1)))||((((i1.u2.NB_ATTENTE_A_35>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_8>=1)))||((((i1.u2.NB_ATTENTE_A_71>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_3>=1)))||((((i1.u2.NB_ATTENTE_A_36>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_3>=1)))||((((i1.u2.NB_ATTENTE_A_77>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_4>=1)))||((((i1.u2.NB_ATTENTE_A_59>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_0>=1)))||((((i1.u2.NB_ATTENTE_A_42>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_6>=1)))||((((i1.u2.NB_ATTENTE_A_54>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_9>=1)))||((((i1.u2.NB_ATTENTE_A_11>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_2>=1)))||((((i1.u2.NB_ATTENTE_A_33>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_2>=1)))||((((i1.u2.NB_ATTENTE_A_32>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_7>=1)))||((((i1.u2.NB_ATTENTE_A_80>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_5>=1)))||((((i1.u2.NB_ATTENTE_A_79>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_10>=1)))||((((i1.u2.NB_ATTENTE_A_8>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_0>=1)))||((((i1.u2.NB_ATTENTE_A_48>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_8>=1)))||((((i1.u2.NB_ATTENTE_A_67>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_8>=1)))||((((i1.u2.NB_ATTENTE_A_27>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_0>=1)))||((((i1.u2.NB_ATTENTE_A_24>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_1>=1)))||((((i1.u2.NB_ATTENTE_A_43>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_1>=1)))||((((i1.u2.NB_ATTENTE_A_51>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_7>=1)))||((((i1.u2.NB_ATTENTE_A_52>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_4>=1)))||((((i1.u2.NB_ATTENTE_A_16>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_8>=1)))||((((i1.u2.NB_ATTENTE_A_39>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_5>=1)))||((((i1.u2.NB_ATTENTE_A_2>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_1>=1)))||((((i1.u2.NB_ATTENTE_A_1>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_4>=1)))||((((i1.u2.NB_ATTENTE_A_23>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_4>=1)))||((((i1.u2.NB_ATTENTE_A_68>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_1>=1)))||((((i1.u2.NB_ATTENTE_A_6>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_10>=1)))||((((i1.u2.NB_ATTENTE_A_7>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_7>=1)))||((((i1.u2.NB_ATTENTE_A_45>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_8>=1)))||((((i1.u2.NB_ATTENTE_A_64>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_4>=1)))||((((i1.u2.NB_ATTENTE_A_11>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_4>=1)))||((((i1.u2.NB_ATTENTE_A_10>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_9>=1)))||((((i1.u2.NB_ATTENTE_A_28>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_10>=1)))||((((i1.u2.NB_ATTENTE_A_49>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_0>=1)))||((((i1.u2.NB_ATTENTE_A_30>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_4>=1)))||((((i1.u2.NB_ATTENTE_A_29>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_9>=1)))||((((i1.u2.NB_ATTENTE_A_70>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_10>=1)))||((((i1.u2.NB_ATTENTE_A_4>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_3>=1)))||((((i1.u2.NB_ATTENTE_A_14>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_5>=1)))||((((i1.u2.NB_ATTENTE_A_13>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_6>=1)))||((((i1.u2.NB_ATTENTE_A_60>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_8>=1)))||((((i1.u2.NB_ATTENTE_A_61>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_3>=1)))||((((i1.u2.NB_ATTENTE_A_15>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_0>=1)))||((((i1.u2.NB_ATTENTE_A_73>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_7>=1)))||((((i1.u2.NB_ATTENTE_A_62>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_2>=1)))||((((i1.u2.NB_ATTENTE_A_74>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_6>=1)))||((((i1.u2.NB_ATTENTE_A_26>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_8>=1)))||((((i1.u2.NB_ATTENTE_A_38>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_8>=1)))||((((i1.u2.NB_ATTENTE_A_57>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_8>=1)))||((((i1.u2.NB_ATTENTE_A_18>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_0>=1)))||((((i1.u2.NB_ATTENTE_A_76>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_7>=1)))||((((i1.u2.NB_ATTENTE_A_56>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_1>=1)))||((((i1.u2.NB_ATTENTE_A_55>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_6>=1)))||((((i1.u2.NB_ATTENTE_A_19>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_7>=1)))||((((i1.u2.NB_ATTENTE_A_20>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_2>=1)))||((((i1.u2.NB_ATTENTE_A_77>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_6>=1)))||((((i1.u2.NB_ATTENTE_A_54>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_7>=1)))||((((i1.u2.NB_ATTENTE_A_17>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_5>=1)))||((((i1.u2.NB_ATTENTE_A_35>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_6>=1)))||((((i1.u2.NB_ATTENTE_A_37>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_0>=1)))||((((i1.u2.NB_ATTENTE_A_36>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_5>=1)))||((((i1.u2.NB_ATTENTE_A_71>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_5>=1)))||((((i1.u2.NB_ATTENTE_A_42>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_4>=1)))||((((i1.u2.NB_ATTENTE_A_78>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_1>=1)))||((((i1.u2.NB_ATTENTE_A_59>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_2>=1)))||((((i1.u2.NB_ATTENTE_A_58>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_3>=1)))||((((i1.u2.NB_ATTENTE_A_21>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_1>=1)))||((((i1.u2.NB_ATTENTE_A_9>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_10>=1)))||((((i1.u2.NB_ATTENTE_A_31>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_10>=1)))||((((i1.u2.NB_ATTENTE_A_80>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_3>=1)))||((((i1.u2.NB_ATTENTE_A_79>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_8>=1)))||((((i1.u2.NB_ATTENTE_A_33>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_4>=1)))||((((i1.u2.NB_ATTENTE_A_32>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_9>=1)))||((((i1.u2.NB_ATTENTE_A_67>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_10>=1)))||((((i1.u2.NB_ATTENTE_A_48>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_10>=1)))||((((i1.u2.NB_ATTENTE_A_42>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_2>=1)))||((((i1.u2.NB_ATTENTE_A_52>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_6>=1)))||((((i1.u2.NB_ATTENTE_A_16>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_6>=1)))||((((i1.u2.NB_ATTENTE_A_51>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_9>=1)))||((((i1.u2.NB_ATTENTE_A_40>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_0>=1)))||((((i1.u2.NB_ATTENTE_A_39>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_3>=1)))||((((i1.u2.NB_ATTENTE_A_38>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_6>=1)))||((((i1.u2.NB_ATTENTE_A_1>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_2>=1)))||((((i1.u2.NB_ATTENTE_A_23>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_2>=1)))||((((i1.u2.NB_ATTENTE_A_7>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_9>=1)))||((((i1.u2.NB_ATTENTE_A_45>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_10>=1)))||((((i1.u2.NB_ATTENTE_A_11>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_6>=1)))||((((i1.u2.NB_ATTENTE_A_28>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_8>=1)))||((((i1.u2.NB_ATTENTE_A_29>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_3>=1)))||((((i1.u2.NB_ATTENTE_A_47>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_0>=1)))||((((i1.u2.NB_ATTENTE_A_64>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_2>=1)))||((((i1.u2.NB_ATTENTE_A_46>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_5>=1)))||((((i1.u2.NB_ATTENTE_A_12>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_9>=1)))||((((i1.u2.NB_ATTENTE_A_71>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_7>=1)))||((((i1.u2.NB_ATTENTE_A_72>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_10>=1)))||((((i1.u2.NB_ATTENTE_A_13>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_4>=1)))||((((i1.u2.NB_ATTENTE_A_73>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_5>=1)))||((((i1.u2.NB_ATTENTE_A_26>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_10>=1)))||((((i1.u2.NB_ATTENTE_A_62>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_4>=1)))||((((i1.u2.NB_ATTENTE_A_61>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_9>=1)))||((((i1.u2.NB_ATTENTE_A_4>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_1>=1)))||((((i1.u2.NB_ATTENTE_A_18>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_2>=1)))||((((i1.u2.NB_ATTENTE_A_19>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_5>=1)))||((((i1.u2.NB_ATTENTE_A_39>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_9>=1)))||((((i1.u2.NB_ATTENTE_A_74>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_0>=1)))||((((i1.u2.NB_ATTENTE_A_57>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_6>=1)))||((((i1.u2.NB_ATTENTE_A_56>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_3>=1)))||((((i1.u2.NB_ATTENTE_A_35>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_4>=1)))||((((i1.u2.NB_ATTENTE_A_17>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_7>=1)))||((((i1.u2.NB_ATTENTE_A_20>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_0>=1)))||((((i1.u2.NB_ATTENTE_A_77>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_8>=1)))||((((i1.u2.NB_ATTENTE_A_33>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_6>=1)))||((((i1.u2.NB_ATTENTE_A_34>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_9>=1)))||((((i1.u2.NB_ATTENTE_A_78>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_3>=1)))||((((i1.u2.NB_ATTENTE_A_79>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_6>=1)))||((((i1.u2.NB_ATTENTE_A_58>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_1>=1)))||((((i1.u2.NB_ATTENTE_A_80>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_1>=1)))||((((i1.u2.NB_ATTENTE_A_55>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_8>=1)))||((((i1.u2.NB_ATTENTE_A_66>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_7>=1)))||((((i1.u2.NB_ATTENTE_A_9>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_1>=1)))||((((i1.u2.NB_ATTENTE_A_8>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_4>=1)))||((((i1.u2.NB_ATTENTE_A_67>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_4>=1)))||((((i1.u2.NB_ATTENTE_A_7>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_3>=1)))||((((i1.u2.NB_ATTENTE_A_42>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_0>=1)))||((((i1.u2.NB_ATTENTE_A_24>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_5>=1)))||((((i1.u2.NB_ATTENTE_A_6>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_6>=1)))||((((i1.u2.NB_ATTENTE_A_51>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_3>=1)))||((((i1.u2.NB_ATTENTE_A_40>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_2>=1)))||((((i1.u2.NB_ATTENTE_A_50>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_10>=1)))||((((i1.u2.NB_ATTENTE_A_52>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_0>=1)))||((((i1.u2.NB_ATTENTE_A_75>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_1>=1)))||((((i1.u2.NB_ATTENTE_A_1>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_8>=1)))||((((i1.u2.NB_ATTENTE_A_21>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_10>=1)))||((((i1.u2.NB_ATTENTE_A_2>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_5>=1)))||((((i1.u2.NB_ATTENTE_A_53>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_1>=1)))||((((i1.u2.NB_ATTENTE_A_23>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_8>=1)))||((((i1.u2.NB_ATTENTE_A_69>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_2>=1)))||((((i1.u2.NB_ATTENTE_A_31>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_1>=1)))||((((i1.u2.NB_ATTENTE_A_22>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_7>=1)))||((((i1.u2.NB_ATTENTE_A_44>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_7>=1)))||((((i1.u2.NB_ATTENTE_A_45>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_4>=1)))||((((i1.u2.NB_ATTENTE_A_68>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_5>=1)))||((((i1.u2.NB_ATTENTE_A_64>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_0>=1)))||((((i1.u2.NB_ATTENTE_A_46>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_3>=1)))||((((i1.u2.NB_ATTENTE_A_11>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_8>=1)))||((((i1.u2.NB_ATTENTE_A_29>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_5>=1)))||((((i1.u2.NB_ATTENTE_A_47>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_2>=1)))||((((i1.u2.NB_ATTENTE_A_28>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_6>=1)))||((((i1.u2.NB_ATTENTE_A_30>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_0>=1)))||((((i1.u2.NB_ATTENTE_A_12>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_7>=1)))||((((i1.u2.NB_ATTENTE_A_13>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_2>=1)))||((((i1.u2.NB_ATTENTE_A_61>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_7>=1)))||((((i1.u2.NB_ATTENTE_A_71>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_9>=1)))||((((i1.u2.NB_ATTENTE_A_14>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_1>=1)))||((((i1.u2.NB_ATTENTE_A_3>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_0>=1)))||((((i1.u2.NB_ATTENTE_A_72>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_8>=1)))||((((i1.u2.NB_ATTENTE_A_63>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_1>=1)))||((((i1.u2.NB_ATTENTE_A_62>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_6>=1)))||((((i1.u2.NB_ATTENTE_A_74>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_2>=1)))||((((i1.u2.NB_ATTENTE_A_36>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_1>=1)))||((((i1.u2.NB_ATTENTE_A_56>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_5>=1)))||((((i1.u2.NB_ATTENTE_A_19>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_3>=1)))||((((i1.u2.NB_ATTENTE_A_39>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_7>=1)))||((((i1.u2.NB_ATTENTE_A_73>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_3>=1)))||((((i1.u2.NB_ATTENTE_A_77>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_10>=1)))||((((i1.u2.NB_ATTENTE_A_35>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_2>=1)))||((((i1.u2.NB_ATTENTE_A_40>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_6>=1)))||((((i1.u2.NB_ATTENTE_A_18>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_4>=1)))||((((i1.u2.NB_ATTENTE_A_17>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_9>=1)))||((((i1.u2.NB_ATTENTE_A_79>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_4>=1)))||((((i1.u2.NB_ATTENTE_A_33>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_8>=1)))||((((i1.u2.NB_ATTENTE_A_78>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_5>=1)))||((((i1.u2.NB_ATTENTE_A_34>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_7>=1)))||((((i1.u2.NB_ATTENTE_A_55>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_10>=1)))||((((i1.u2.NB_ATTENTE_A_57>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_4>=1)))||((((i1.u2.NB_ATTENTE_A_67>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_6>=1)))||((((i1.u2.NB_ATTENTE_A_8>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_2>=1)))||((((i1.u2.NB_ATTENTE_A_66>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_9>=1)))||((((i1.u2.NB_ATTENTE_A_7>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_5>=1)))||((((i1.u2.NB_ATTENTE_A_24>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_3>=1)))||((((i1.u2.NB_ATTENTE_A_25>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_0>=1)))||((((i1.u2.NB_ATTENTE_A_41>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_1>=1)))||((((i1.u2.NB_ATTENTE_A_16>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_10>=1)))||((((i1.u2.NB_ATTENTE_A_51>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_5>=1)))||((((i1.u2.NB_ATTENTE_A_52>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_2>=1)))||((((i1.u2.NB_ATTENTE_A_40>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_4>=1)))||((((i1.u2.NB_ATTENTE_A_50>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_8>=1)))||((((i1.u2.NB_ATTENTE_A_1>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_6>=1)))||((((i1.u2.NB_ATTENTE_A_2>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_3>=1)))||((((i1.u2.NB_ATTENTE_A_22>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_9>=1)))||((((i1.u2.NB_ATTENTE_A_6>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_8>=1)))||((((i1.u2.NB_ATTENTE_A_23>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_6>=1)))||((((i1.u2.NB_ATTENTE_A_45>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_6>=1)))||((((i1.u2.NB_ATTENTE_A_68>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_3>=1)))||((((i1.u2.NB_ATTENTE_A_44>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_9>=1)))||((((i1.u2.NB_ATTENTE_A_69>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_0>=1)))||((((i1.u2.NB_ATTENTE_A_28>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_4>=1)))||((((i1.u2.NB_ATTENTE_A_11>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_10>=1)))||((((i1.u2.NB_ATTENTE_A_66>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_4>=1)))||((((i1.u2.NB_ATTENTE_A_12>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_5>=1)))||((((i1.u2.NB_ATTENTE_A_6>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_3>=1)))||((((i1.u2.NB_ATTENTE_A_65>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_9>=1)))||((((i1.u2.NB_ATTENTE_A_60>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_2>=1)))||((((i1.u2.NB_ATTENTE_A_5>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_8>=1)))||((((i1.u2.NB_ATTENTE_A_72>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_6>=1)))||((((i1.u2.NB_ATTENTE_A_76>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_1>=1)))||((((i1.u2.NB_ATTENTE_A_27>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_9>=1)))||((((i1.u2.NB_ATTENTE_A_51>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_0>=1)))||((((i1.u2.NB_ATTENTE_A_50>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_5>=1)))||((((i1.u2.NB_ATTENTE_A_49>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_10>=1)))||((((i1.u2.NB_ATTENTE_A_75>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_6>=1)))||((((i1.u2.NB_ATTENTE_A_2>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_8>=1)))||((((i1.u2.NB_ATTENTE_A_38>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_1>=1)))||((((i1.u2.NB_ATTENTE_A_73>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_1>=1)))||((((i1.u2.NB_ATTENTE_A_37>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_6>=1)))||((((i1.u2.NB_ATTENTE_A_40>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_8>=1)))||((((i1.u2.NB_ATTENTE_A_13>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_0>=1)))||((((i1.u2.NB_ATTENTE_A_22>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_2>=1)))||((((i1.u2.NB_ATTENTE_A_31>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_4>=1)))||((((i1.u2.NB_ATTENTE_A_21>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_7>=1)))||((((i1.u2.NB_ATTENTE_A_57>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_2>=1)))||((((i1.u2.NB_ATTENTE_A_56>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_7>=1)))||((((i1.u2.NB_ATTENTE_A_47>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_3>=1)))||((((i1.u2.NB_ATTENTE_A_25>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_2>=1)))||((((i1.u2.NB_ATTENTE_A_46>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_10>=1)))||((((i1.u2.NB_ATTENTE_A_48>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_0>=1)))||((((i1.u2.NB_ATTENTE_A_15>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_7>=1)))||((((i1.u2.NB_ATTENTE_A_41>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_3>=1)))||((((i1.u2.NB_ATTENTE_A_24>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_9>=1)))||((((i1.u2.NB_ATTENTE_A_3>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_3>=1)))||((((i1.u2.NB_ATTENTE_A_63>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_4>=1)))||((((i1.u2.NB_ATTENTE_A_62>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_7>=1)))||((((i1.u2.NB_ATTENTE_A_14>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_10>=1)))||((((i1.u2.NB_ATTENTE_A_16>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_0>=1)))||((((i1.u2.NB_ATTENTE_A_35>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_1>=1)))||((((i1.u2.NB_ATTENTE_A_59>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_7>=1)))||((((i1.u2.NB_ATTENTE_A_54>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_2>=1)))||((((i1.u2.NB_ATTENTE_A_53>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_5>=1)))||((((i1.u2.NB_ATTENTE_A_18>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_7>=1)))||((((i1.u2.NB_ATTENTE_A_19>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_0>=1)))||((((i1.u2.NB_ATTENTE_A_17>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_10>=1)))||((((i1.u2.NB_ATTENTE_A_43>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_10>=1)))||((((i1.u2.NB_ATTENTE_A_34>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_4>=1)))||((((i1.u2.NB_ATTENTE_A_69>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_6>=1)))||((((i1.u2.NB_ATTENTE_A_79>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_1>=1)))||((((i1.u2.NB_ATTENTE_A_44>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_3>=1)))||((((i1.u2.NB_ATTENTE_A_8>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_8>=1)))||((((i1.u2.NB_ATTENTE_A_78>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_8>=1)))||((((i1.u2.NB_ATTENTE_A_68>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_9>=1)))||((((i1.u2.NB_ATTENTE_A_9>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_5>=1)))||((((i1.u2.NB_ATTENTE_A_45>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_0>=1)))||((((i1.u2.NB_ATTENTE_A_66>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_6>=1)))||((((i1.u2.NB_ATTENTE_A_28>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_2>=1)))||((((i1.u2.NB_ATTENTE_A_49>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_8>=1)))||((((i1.u2.NB_ATTENTE_A_29>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_1>=1)))||((((i1.u2.NB_ATTENTE_A_67>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_1>=1)))||((((i1.u2.NB_ATTENTE_A_12>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_3>=1)))||((((i1.u2.NB_ATTENTE_A_7>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_0>=1)))||((((i1.u2.NB_ATTENTE_A_6>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_5>=1)))||((((i1.u2.NB_ATTENTE_A_65>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_7>=1)))||((((i1.u2.NB_ATTENTE_A_60>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_0>=1)))||((((i1.u2.NB_ATTENTE_A_5>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_6>=1)))||((((i1.u2.NB_ATTENTE_A_50>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_3>=1)))||((((i1.u2.NB_ATTENTE_A_27>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_7>=1)))||((((i1.u2.NB_ATTENTE_A_51>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_2>=1)))||((((i1.u2.NB_ATTENTE_A_75>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_4>=1)))||((((i1.u2.NB_ATTENTE_A_21>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_9>=1)))||((((i1.u2.NB_ATTENTE_A_2>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_6>=1)))||((((i1.u2.NB_ATTENTE_A_37>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_8>=1)))||((((i1.u2.NB_ATTENTE_A_72>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_4>=1)))||((((i1.u2.NB_ATTENTE_A_41>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_5>=1)))||((((i1.u2.NB_ATTENTE_A_40>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_10>=1)))||((((i1.u2.NB_ATTENTE_A_31>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_2>=1)))||((((i1.u2.NB_ATTENTE_A_22>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_4>=1)))||((((i1.u2.NB_ATTENTE_A_32>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_1>=1)))||((((i1.u2.NB_ATTENTE_A_57>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_0>=1)))||((((i1.u2.NB_ATTENTE_A_56>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_9>=1)))||((((i1.u2.NB_ATTENTE_A_20>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_10>=1)))||((((i1.u2.NB_ATTENTE_A_9>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_3>=1)))||((((i1.u2.NB_ATTENTE_A_47>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_5>=1)))||((((i1.u2.NB_ATTENTE_A_26>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_1>=1)))||((((i1.u2.NB_ATTENTE_A_25>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_4>=1)))||((((i1.u2.NB_ATTENTE_A_46>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_8>=1)))||((((i1.u2.NB_ATTENTE_A_48>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_2>=1)))||((((i1.u2.NB_ATTENTE_A_24>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_7>=1)))||((((i1.u2.NB_ATTENTE_A_62>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_9>=1)))||((((i1.u2.NB_ATTENTE_A_15>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_9>=1)))||((((i1.u2.NB_ATTENTE_A_63>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_6>=1)))||((((i1.u2.NB_ATTENTE_A_37>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_10>=1)))||((((i1.u2.NB_ATTENTE_A_3>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_5>=1)))||((((i1.u2.NB_ATTENTE_A_59>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_9>=1)))||((((i1.u2.NB_ATTENTE_A_53>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_3>=1)))||((((i1.u2.NB_ATTENTE_A_18>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_9>=1)))||((((i1.u2.NB_ATTENTE_A_54>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_0>=1)))||((((i1.u2.NB_ATTENTE_A_23>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_10>=1)))||((((i1.u2.NB_ATTENTE_A_43>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_8>=1)))||((((i1.u2.NB_ATTENTE_A_69>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_4>=1)))||((((i1.u2.NB_ATTENTE_A_70>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_1>=1)))||((((i1.u2.NB_ATTENTE_A_68>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_7>=1)))||((((i1.u2.NB_ATTENTE_A_44>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_5>=1)))||((((i1.u2.NB_ATTENTE_A_8>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_6>=1)))||((((i1.u2.NB_ATTENTE_A_78>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_10>=1)))||((((i1.u2.NB_ATTENTE_A_34>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_2>=1)))||((((i1.u2.NB_ATTENTE_A_10>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_0>=1)))||((((i1.u2.NB_ATTENTE_A_45>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_2>=1)))||((((i1.u2.NB_ATTENTE_A_49>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_6>=1)))||((((i1.u2.NB_ATTENTE_A_65>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_5>=1)))||((((i1.u2.NB_ATTENTE_A_64>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_10>=1)))||((((i1.u2.NB_ATTENTE_A_66>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_0>=1)))||((((i1.u2.NB_ATTENTE_A_50>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_1>=1)))||((((i1.u2.NB_ATTENTE_A_77>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_0>=1)))||((((i1.u2.NB_ATTENTE_A_60>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_6>=1)))||((((i1.u2.NB_ATTENTE_A_61>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_1>=1)))||((((i1.u2.NB_ATTENTE_A_5>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_4>=1)))||((((i1.u2.NB_ATTENTE_A_4>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_9>=1)))||((((i1.u2.NB_ATTENTE_A_39>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_0>=1)))||((((i1.u2.NB_ATTENTE_A_28>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_0>=1)))||((((i1.u2.NB_ATTENTE_A_27>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_5>=1)))||((((i1.u2.NB_ATTENTE_A_20>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_8>=1)))||((((i1.u2.NB_ATTENTE_A_38>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_5>=1)))||((((i1.u2.NB_ATTENTE_A_37>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_2>=1)))||((((i1.u2.NB_ATTENTE_A_76>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_5>=1)))||((((i1.u2.NB_ATTENTE_A_75>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_10>=1)))||((((i1.u2.NB_ATTENTE_A_72>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_2>=1)))||((((i1.u2.NB_ATTENTE_A_36>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_7>=1)))||((((i1.u2.NB_ATTENTE_A_41>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_7>=1)))||((((i1.u2.NB_ATTENTE_A_32>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_3>=1)))||((((i1.u2.NB_ATTENTE_A_80>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_9>=1)))||((((i1.u2.NB_ATTENTE_A_12>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_1>=1)))||((((i1.u2.NB_ATTENTE_A_23>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_1>=1)))||((((i1.u2.NB_ATTENTE_A_21>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_3>=1)))||((((i1.u2.NB_ATTENTE_A_31>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_8>=1)))||((((i1.u2.NB_ATTENTE_A_26>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_3>=1)))||((((i1.u2.NB_ATTENTE_A_69>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_10>=1)))||((((i1.u2.NB_ATTENTE_A_30>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_7>=1)))||((((i1.u2.NB_ATTENTE_A_48>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_4>=1)))||((((i1.u2.NB_ATTENTE_A_29>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_10>=1)))||((((i1.u2.NB_ATTENTE_A_47>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_7>=1)))||((((i1.u2.NB_ATTENTE_A_14>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_6>=1)))||((((i1.u2.NB_ATTENTE_A_52>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_8>=1)))||((((i1.u2.NB_ATTENTE_A_25>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_6>=1)))||((((i1.u2.NB_ATTENTE_A_63>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_8>=1)))||((((i1.u2.NB_ATTENTE_A_3>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_7>=1)))||((((i1.u2.NB_ATTENTE_A_16>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_4>=1)))||((((i1.u2.NB_ATTENTE_A_15>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_3>=1)))||((((i1.u2.NB_ATTENTE_A_74>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_7>=1)))||((((i1.u2.NB_ATTENTE_A_58>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_10>=1)))||((((i1.u2.NB_ATTENTE_A_1>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_0>=1)))||((((i1.u2.NB_ATTENTE_A_71>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_0>=1)))||((((i1.u2.NB_ATTENTE_A_54>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_6>=1)))||((((i1.u2.NB_ATTENTE_A_59>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_3>=1)))||((((i1.u2.NB_ATTENTE_A_53>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_9>=1)))||((((i1.u2.NB_ATTENTE_A_42>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_9>=1)))||((((i1.u2.NB_ATTENTE_A_70>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_3>=1)))||((((i1.u2.NB_ATTENTE_A_43>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_6>=1)))||((((i1.u2.NB_ATTENTE_A_34>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_0>=1)))||((((i1.u2.NB_ATTENTE_A_9>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_9>=1)))||((((i1.u2.NB_ATTENTE_A_10>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_2>=1)))||((((i1.u2.NB_ATTENTE_A_65>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_3>=1)))||((((i1.u2.NB_ATTENTE_A_44>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_1>=1)))||((((i1.u2.NB_ATTENTE_A_6>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_1>=1)))||((((i1.u2.NB_ATTENTE_A_49>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_4>=1)))||((((i1.u2.NB_ATTENTE_A_64>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_8>=1)))||((((i1.u2.NB_ATTENTE_A_43>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_2>=1)))||((((i1.u2.NB_ATTENTE_A_66>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_2>=1)))||((((i1.u2.NB_ATTENTE_A_60>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_4>=1)))||((((i1.u2.NB_ATTENTE_A_5>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_2>=1)))||((((i1.u2.NB_ATTENTE_A_4>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_7>=1)))||((((i1.u2.NB_ATTENTE_A_27>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_3>=1)))||((((i1.u2.NB_ATTENTE_A_37>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_4>=1)))||((((i1.u2.NB_ATTENTE_A_2>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_10>=1)))||((((i1.u2.NB_ATTENTE_A_20>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_6>=1)))||((((i1.u2.NB_ATTENTE_A_38>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_3>=1)))||((((i1.u2.NB_ATTENTE_A_17>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_1>=1)))||((((i1.u2.NB_ATTENTE_A_76>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_3>=1)))||((((i1.u2.NB_ATTENTE_A_75>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_8>=1)))||((((i1.u2.NB_ATTENTE_A_41>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_9>=1)))||((((i1.u2.NB_ATTENTE_A_36>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_9>=1)))||((((i1.u2.NB_ATTENTE_A_77>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_2>=1)))||((((i1.u2.NB_ATTENTE_A_35>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_10>=1)))||((((i1.u2.NB_ATTENTE_A_72>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_0>=1)))||((((i1.u2.NB_ATTENTE_A_22>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_0>=1)))||((((i1.u2.NB_ATTENTE_A_80>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_7>=1)))||((((i1.u2.NB_ATTENTE_A_32>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_5>=1)))||((((i1.u2.NB_ATTENTE_A_31>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_6>=1)))||((((i1.u2.NB_ATTENTE_A_33>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_0>=1)))||((((i1.u2.NB_ATTENTE_A_21>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_5>=1)))||((((i1.u2.NB_ATTENTE_A_30>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_9>=1)))||((((i1.u2.NB_ATTENTE_A_10>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_4>=1)))||((((i1.u2.NB_ATTENTE_A_48>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_6>=1)))||((((i1.u2.NB_ATTENTE_A_47>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_9>=1)))||((((i1.u2.NB_ATTENTE_A_52>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_10>=1)))||((((i1.u2.NB_ATTENTE_A_63>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_10>=1)))||((((i1.u2.NB_ATTENTE_A_25>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_8>=1)))||((((i1.u2.NB_ATTENTE_A_26>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_5>=1)))||((((i1.u2.NB_ATTENTE_A_16>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_2>=1)))||((((i1.u2.NB_ATTENTE_A_3>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_9>=1)))||((((i1.u2.NB_ATTENTE_A_74>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_9>=1)))||((((i1.u2.NB_ATTENTE_A_14>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_8>=1)))||((((i1.u2.NB_ATTENTE_A_15>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_5>=1)))||((((i1.u2.NB_ATTENTE_A_55>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_1>=1)))||((((i1.u2.NB_ATTENTE_A_58>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_8>=1)))||((((i1.u2.NB_ATTENTE_A_71>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_2>=1)))||((((i1.u2.NB_ATTENTE_A_54>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_4>=1)))||((((i1.u2.NB_ATTENTE_A_59>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_5>=1)))||((((i1.u2.NB_ATTENTE_A_53>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_7>=1)))||((((i1.u2.NB_ATTENTE_A_70>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_5>=1)))||((((i1.u2.NB_ATTENTE_A_42>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_7>=1)))||((((i1.u2.NB_ATTENTE_A_43>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_4>=1)))||((((i1.u2.NB_ATTENTE_A_69>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_8>=1)))||((((i1.u2.NB_ATTENTE_A_11>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_1>=1)))||((((i1.u2.NB_ATTENTE_A_9>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_7>=1)))||((((i1.u2.NB_ATTENTE_A_8>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_10>=1)))||((((i1.u2.NB_ATTENTE_A_27>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_1>=1)))||((((i1.u2.NB_ATTENTE_A_8>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_1>=1)))||((((i1.u2.NB_ATTENTE_A_48>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_7>=1)))||((((i1.u2.NB_ATTENTE_A_67>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_7>=1)))||((((i1.u2.NB_ATTENTE_A_43>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_0>=1)))||((((i1.u2.NB_ATTENTE_A_7>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_6>=1)))||((((i1.u2.NB_ATTENTE_A_24>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_0>=1)))||((((i1.u2.NB_ATTENTE_A_52>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_3>=1)))||((((i1.u2.NB_ATTENTE_A_51>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_8>=1)))||((((i1.u2.NB_ATTENTE_A_16>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_9>=1)))||((((i1.u2.NB_ATTENTE_A_3>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_10>=1)))||((((i1.u2.NB_ATTENTE_A_39>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_4>=1)))||((((i1.u2.NB_ATTENTE_A_1>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_5>=1)))||((((i1.u2.NB_ATTENTE_A_22>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_10>=1)))||((((i1.u2.NB_ATTENTE_A_2>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_0>=1)))||((((i1.u2.NB_ATTENTE_A_23>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_5>=1)))||((((i1.u2.NB_ATTENTE_A_45>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_7>=1)))||((((i1.u2.NB_ATTENTE_A_68>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_2>=1)))||((((i1.u2.NB_ATTENTE_A_46>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_2>=1)))||((((i1.u2.NB_ATTENTE_A_10>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_6>=1)))||((((i1.u2.NB_ATTENTE_A_29>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_6>=1)))||((((i1.u2.NB_ATTENTE_A_65>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_2>=1)))||((((i1.u2.NB_ATTENTE_A_64>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_5>=1)))||((((i1.u2.NB_ATTENTE_A_11>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_3>=1)))||((((i1.u2.NB_ATTENTE_A_30>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_3>=1)))||((((i1.u2.NB_ATTENTE_A_49>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_1>=1)))||((((i1.u2.NB_ATTENTE_A_61>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_6>=1)))||((((i1.u2.NB_ATTENTE_A_25>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_10>=1)))||((((i1.u2.NB_ATTENTE_A_4>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_4>=1)))||((((i1.u2.NB_ATTENTE_A_5>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_1>=1)))||((((i1.u2.NB_ATTENTE_A_14>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_2>=1)))||((((i1.u2.NB_ATTENTE_A_60>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_9>=1)))||((((i1.u2.NB_ATTENTE_A_13>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_9>=1)))||((((i1.u2.NB_ATTENTE_A_26>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_7>=1)))||((((i1.u2.NB_ATTENTE_A_38>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_9>=1)))||((((i1.u2.NB_ATTENTE_A_73>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_10>=1)))||((((i1.u2.NB_ATTENTE_A_74>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_3>=1)))||((((i1.u2.NB_ATTENTE_A_56>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_0>=1)))||((((i1.u2.NB_ATTENTE_A_20>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_5>=1)))||((((i1.u2.NB_ATTENTE_A_58>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_6>=1)))||((((i1.u2.NB_ATTENTE_A_55>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_3>=1)))||((((i1.u2.NB_ATTENTE_A_17>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_2>=1)))||((((i1.u2.NB_ATTENTE_A_19>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_8>=1)))||((((i1.u2.NB_ATTENTE_A_57>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_9>=1)))||((((i1.u2.NB_ATTENTE_A_71>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_4>=1)))||((((i1.u2.NB_ATTENTE_A_36>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_2>=1)))||((((i1.u2.NB_ATTENTE_A_77>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_3>=1)))||((((i1.u2.NB_ATTENTE_A_35>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_9>=1)))||((((i1.u2.NB_ATTENTE_A_76>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_10>=1)))||((((i1.u2.NB_ATTENTE_A_78>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_0>=1)))||((((i1.u2.NB_ATTENTE_A_42>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_5>=1)))||((((i1.u2.NB_ATTENTE_A_80>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_6>=1)))||((((i1.u2.NB_ATTENTE_A_70>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_7>=1)))||((((i1.u2.NB_ATTENTE_A_54>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_10>=1)))||((((i1.u2.NB_ATTENTE_A_32>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_6>=1)))||((((i1.u2.NB_ATTENTE_A_33>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_3>=1)))||((((i1.u2.NB_ATTENTE_A_79>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_9>=1)))||((((i1.u2.NB_ATTENTE_A_48>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_9>=1)))||((((i1.u2.NB_ATTENTE_A_67>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_9>=1)))||((((i1.u2.NB_ATTENTE_A_42>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_3>=1)))||((((i1.u2.NB_ATTENTE_A_16>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_7>=1)))||((((i1.u2.NB_ATTENTE_A_52>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_5>=1)))||((((i1.u2.NB_ATTENTE_A_51>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_10>=1)))||((((i1.u2.NB_ATTENTE_A_39>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_2>=1)))||((((i1.u2.NB_ATTENTE_A_40>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_1>=1)))||((((i1.u2.NB_ATTENTE_A_38>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_7>=1)))||((((i1.u2.NB_ATTENTE_A_1>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_3>=1)))||((((i1.u2.NB_ATTENTE_A_23>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_3>=1)))||((((i1.u2.NB_ATTENTE_A_68>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_0>=1)))||((((i1.u2.NB_ATTENTE_A_7>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_8>=1)))||((((i1.u2.NB_ATTENTE_A_45>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_9>=1)))||((((i1.u2.NB_ATTENTE_A_29>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_8>=1)))||((((i1.u2.NB_ATTENTE_A_46>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_0>=1)))||((((i1.u2.NB_ATTENTE_A_12>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_10>=1)))||((((i1.u2.NB_ATTENTE_A_65>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_0>=1)))||((((i1.u2.NB_ATTENTE_A_11>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_5>=1)))||((((i1.u2.NB_ATTENTE_A_64>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_3>=1)))||((((i1.u2.NB_ATTENTE_A_10>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_8>=1)))||((((i1.u2.NB_ATTENTE_A_70>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_9>=1)))||((((i1.u2.NB_ATTENTE_A_30>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_5>=1)))||((((i1.u2.NB_ATTENTE_A_4>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_2>=1)))||((((i1.u2.NB_ATTENTE_A_14>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_4>=1)))||((((i1.u2.NB_ATTENTE_A_60>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_7>=1)))||((((i1.u2.NB_ATTENTE_A_61>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_4>=1)))||((((i1.u2.NB_ATTENTE_A_13>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_7>=1)))||((((i1.u2.NB_ATTENTE_A_62>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_1>=1)))||((((i1.u2.NB_ATTENTE_A_73>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_8>=1)))||((((i1.u2.NB_ATTENTE_A_15>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_1>=1)))||((((i1.u2.NB_ATTENTE_A_74>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_5>=1)))||((((i1.u2.NB_ATTENTE_A_26>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_9>=1)))||((((i1.u2.NB_ATTENTE_A_19>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_6>=1)))||((((i1.u2.NB_ATTENTE_A_57>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_7>=1)))||((((i1.u2.NB_ATTENTE_A_76>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_8>=1)))||((((i1.u2.NB_ATTENTE_A_37>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_1>=1)))||((((i1.u2.NB_ATTENTE_A_56>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_2>=1)))||((((i1.u2.NB_ATTENTE_A_18>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_1>=1)))||((((i1.u2.NB_ATTENTE_A_55>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_5>=1)))||((((i1.u2.NB_ATTENTE_A_17>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_4>=1)))||((((i1.u2.NB_ATTENTE_A_20>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_3>=1)))||((((i1.u2.NB_ATTENTE_A_58>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_4>=1)))||((((i1.u2.NB_ATTENTE_A_77>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_5>=1)))||((((i1.u2.NB_ATTENTE_A_36>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_4>=1)))||((((i1.u2.NB_ATTENTE_A_35>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_7>=1)))||((((i1.u2.NB_ATTENTE_A_71>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_6>=1)))||((((i1.u2.NB_ATTENTE_A_33>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_5>=1)))||((((i1.u2.NB_ATTENTE_A_59>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_1>=1)))||((((i1.u2.NB_ATTENTE_A_78>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_2>=1)))||((((i1.u2.NB_ATTENTE_A_34>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_10>=1)))||((((i1.u2.NB_ATTENTE_A_21>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_0>=1)))||((((i1.u2.NB_ATTENTE_A_54>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_8>=1)))||((((i1.u2.NB_ATTENTE_A_79>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_7>=1)))||((((i1.u2.NB_ATTENTE_A_32>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_8>=1)))||((((i1.u2.NB_ATTENTE_A_80>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_4>=1)))||((((i1.u2.NB_ATTENTE_A_9>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_0>=1)))||((((i1.u2.NB_ATTENTE_A_8>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_5>=1)))||((((i1.u2.NB_ATTENTE_A_67>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_3>=1)))||((((i1.u2.NB_ATTENTE_A_66>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_8>=1)))||((((i1.u2.NB_ATTENTE_A_42>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_1>=1)))||((((i1.u2.NB_ATTENTE_A_7>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_2>=1)))||((((i1.u2.NB_ATTENTE_A_6>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_7>=1)))||((((i1.u2.NB_ATTENTE_A_24>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_4>=1)))||((((i1.u2.NB_ATTENTE_A_51>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_4>=1)))||((((i1.u2.NB_ATTENTE_A_15>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_10>=1)))||((((i1.u2.NB_ATTENTE_A_40>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_3>=1)))||((((i1.u2.NB_ATTENTE_A_50>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_9>=1)))||((((i1.u2.NB_ATTENTE_A_75>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_2>=1)))||((((i1.u2.NB_ATTENTE_A_2>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_4>=1)))||((((i1.u2.NB_ATTENTE_A_1>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_9>=1)))||((((i1.u2.NB_ATTENTE_A_53>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_2>=1)))||((((i1.u2.NB_ATTENTE_A_23>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_9>=1)))||((((i1.u2.NB_ATTENTE_A_69>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_1>=1)))||((((i1.u2.NB_ATTENTE_A_31>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_0>=1)))||((((i1.u2.NB_ATTENTE_A_22>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_6>=1)))||((((i1.u2.NB_ATTENTE_A_68>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_6>=1)))||((((i1.u2.NB_ATTENTE_A_45>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_3>=1)))||((((i1.u2.NB_ATTENTE_A_44>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_8>=1)))||((((i1.u2.NB_ATTENTE_A_64>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_1>=1)))||((((i1.u2.NB_ATTENTE_A_11>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_7>=1)))||((((i1.u2.NB_ATTENTE_A_46>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_6>=1)))||((((i1.u2.NB_ATTENTE_A_28>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_9>=1)))||((((i1.u2.NB_ATTENTE_A_10>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_10>=1)))||((((i1.u2.NB_ATTENTE_A_29>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_2>=1)))||((((i1.u2.NB_ATTENTE_A_12>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_8>=1)))||((((i1.u2.NB_ATTENTE_A_71>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_8>=1)))||((((i1.u2.NB_ATTENTE_A_13>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_5>=1)))||((((i1.u2.NB_ATTENTE_A_72>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_9>=1)))||((((i1.u2.NB_ATTENTE_A_62>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_3>=1)))||((((i1.u2.NB_ATTENTE_A_73>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_6>=1)))||((((i1.u2.NB_ATTENTE_A_63>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_0>=1)))||((((i1.u2.NB_ATTENTE_A_61>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_10>=1)))||((((i1.u2.NB_ATTENTE_A_4>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_0>=1)))||((((i1.u2.NB_ATTENTE_A_56>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_4>=1)))||((((i1.u2.NB_ATTENTE_A_39>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_10>=1)))||((((i1.u2.NB_ATTENTE_A_18>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_3>=1)))||((((i1.u2.NB_ATTENTE_A_19>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_4>=1)))||((((i1.u2.NB_ATTENTE_A_57>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_5>=1)))||((((i1.u2.NB_ATTENTE_A_20>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_1>=1)))||((((i1.u2.NB_ATTENTE_A_77>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_7>=1)))||((((i1.u2.NB_ATTENTE_A_35>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_5>=1)))||((((i1.u2.NB_ATTENTE_A_17>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_6>=1)))||((((i1.u2.NB_ATTENTE_A_34>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_8>=1)))||((((i1.u2.NB_ATTENTE_A_79>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_5>=1)))||((((i1.u2.NB_ATTENTE_A_33>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_7>=1)))||((((i1.u2.NB_ATTENTE_A_78>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_4>=1)))||((((i1.u2.NB_ATTENTE_A_32>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_10>=1)))||((((i1.u2.NB_ATTENTE_A_58>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_2>=1)))||((((i1.u2.NB_ATTENTE_A_55>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_7>=1)))||((((i1.u2.NB_ATTENTE_A_80>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_2>=1)))||((((i1.u2.NB_ATTENTE_A_67>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_5>=1)))||((((i1.u2.NB_ATTENTE_A_66>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_10>=1)))||((((i1.u2.NB_ATTENTE_A_8>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_3>=1)))||((((i1.u2.NB_ATTENTE_A_7>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_4>=1)))||((((i1.u2.NB_ATTENTE_A_25>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_1>=1)))||((((i1.u2.NB_ATTENTE_A_24>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_2>=1)))||((((i1.u2.NB_ATTENTE_A_51>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_6>=1)))||((((i1.u2.NB_ATTENTE_A_41>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_0>=1)))||((((i1.u2.NB_ATTENTE_A_40>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_5>=1)))||((((i1.u2.NB_ATTENTE_A_52>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_1>=1)))||((((i1.u2.NB_ATTENTE_A_39>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_6>=1)))||((((i1.u2.NB_ATTENTE_A_75>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_0>=1)))||((((i1.u2.NB_ATTENTE_A_50>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_7>=1)))||((((i1.u2.NB_ATTENTE_A_1>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_7>=1)))||((((i1.u2.NB_ATTENTE_A_53>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_0>=1)))||((((i1.u2.NB_ATTENTE_A_22>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_8>=1)))||((((i1.u2.NB_ATTENTE_A_2>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_2>=1)))||((((i1.u2.NB_ATTENTE_A_23>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_7>=1)))||((((i1.u2.NB_ATTENTE_A_5>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_10>=1)))||((((i1.u2.NB_ATTENTE_A_6>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_9>=1)))||((((i1.u2.NB_ATTENTE_A_44>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_10>=1)))||((((i1.u2.NB_ATTENTE_A_45>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_5>=1)))||((((i1.u2.NB_ATTENTE_A_68>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_4>=1)))||((((i1.u2.NB_ATTENTE_A_11>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_9>=1)))||((((i1.u2.NB_ATTENTE_A_29>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_4>=1)))||((((i1.u2.NB_ATTENTE_A_47>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_1>=1)))||((((i1.u2.NB_ATTENTE_A_46>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_4>=1)))||((((i1.u2.NB_ATTENTE_A_28>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_7>=1)))||((((i1.u2.NB_ATTENTE_A_30>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_1>=1)))||((((i1.u2.NB_ATTENTE_A_12>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_6>=1)))||((((i1.u2.NB_ATTENTE_A_13>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_3>=1)))||((((i1.u2.NB_ATTENTE_A_61>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_8>=1)))||((((i1.u2.NB_ATTENTE_A_71>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_10>=1)))||((((i1.u2.NB_ATTENTE_A_14>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_0>=1)))||((((i1.u2.NB_ATTENTE_A_72>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_7>=1)))||((((i1.u2.NB_ATTENTE_A_3>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_1>=1)))||((((i1.u2.NB_ATTENTE_A_27>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_10>=1)))||((((i1.u2.NB_ATTENTE_A_62>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_5>=1)))||((((i1.u2.NB_ATTENTE_A_63>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_2>=1)))||((((i1.u2.NB_ATTENTE_A_36>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_0>=1)))||((((i1.u2.NB_ATTENTE_A_39>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_8>=1)))||((((i1.u2.NB_ATTENTE_A_74>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_1>=1)))||((((i1.u2.NB_ATTENTE_A_77>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_9>=1)))||((((i1.u2.NB_ATTENTE_A_73>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_4>=1)))||((((i1.u2.NB_ATTENTE_A_35>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_3>=1)))||((((i1.u2.NB_ATTENTE_A_17>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_8>=1)))||((((i1.u2.NB_ATTENTE_A_19>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_2>=1)))||((((i1.u2.NB_ATTENTE_A_18>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_5>=1)))||((((i1.u2.NB_ATTENTE_A_79>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_3>=1)))||((((i1.u2.NB_ATTENTE_A_33>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_9>=1)))||((((i1.u2.NB_ATTENTE_A_78>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_6>=1)))||((((i1.u2.NB_ATTENTE_A_34>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_6>=1)))||((((i1.u2.NB_ATTENTE_A_55>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_9>=1)))||((((i1.u2.NB_ATTENTE_A_58>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_0>=1)))||((((i1.u2.NB_ATTENTE_A_80>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_0>=1)))||((((i1.u2.NB_ATTENTE_A_57>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_3>=1)))||((((i1.u2.NB_ATTENTE_A_56>=1)&&(i1.u2.NB_ATTENTE_B_0>=1))&&(i1.u2.CONTROLEUR_1>=1))&&(i1.u1.COMPTEUR_6>=1)))")))))

========== file over 1MB has been truncated ======
retrieve it from the run archives if needed

Sequence of Actions to be Executed by the VM

This is useful if one wants to reexecute the tool in the VM from the submitted image disk.

set -x
# this is for BenchKit: configuration of major elements for the test
export BK_INPUT="BridgeAndVehicles-COL-V80P20N10"
export BK_EXAMINATION="LTLFireability"
export BK_TOOL="itstools"
export BK_RESULT_DIR="/tmp/BK_RESULTS/OUTPUTS"
export BK_TIME_CONFINEMENT="3600"
export BK_MEMORY_CONFINEMENT="16384"
export BK_BIN_PATH="/home/mcc/BenchKit/bin/"

# this is specific to your benchmark or test

export BIN_DIR="$HOME/BenchKit/bin"

# remove the execution directoty if it exists (to avoid increse of .vmdk images)
if [ -d execution ] ; then
rm -rf execution
fi

# this is for BenchKit: explicit launching of the test
echo "====================================================================="
echo " Generated by BenchKit 2-4028"
echo " Executing tool itstools"
echo " Input is BridgeAndVehicles-COL-V80P20N10, examination is LTLFireability"
echo " Time confinement is $BK_TIME_CONFINEMENT seconds"
echo " Memory confinement is 16384 MBytes"
echo " Number of cores is 4"
echo " Run identifier is r026-tajo-162038143400117"
echo "====================================================================="
echo
echo "--------------------"
echo "preparation of the directory to be used:"

tar xzf /home/mcc/BenchKit/INPUTS/BridgeAndVehicles-COL-V80P20N10.tgz
mv BridgeAndVehicles-COL-V80P20N10 execution
cd execution
if [ "LTLFireability" = "ReachabilityDeadlock" ] || [ "LTLFireability" = "UpperBounds" ] || [ "LTLFireability" = "QuasiLiveness" ] || [ "LTLFireability" = "StableMarking" ] || [ "LTLFireability" = "Liveness" ] || [ "LTLFireability" = "OneSafe" ] || [ "LTLFireability" = "StateSpace" ]; then
rm -f GenericPropertiesVerdict.xml
fi
pwd
ls -lh

echo
echo "--------------------"
echo "content from stdout:"
echo
echo "=== Data for post analysis generated by BenchKit (invocation template)"
echo
if [ "LTLFireability" = "UpperBounds" ] ; then
echo "The expected result is a vector of positive values"
echo NUM_VECTOR
elif [ "LTLFireability" != "StateSpace" ] ; then
echo "The expected result is a vector of booleans"
echo BOOL_VECTOR
else
echo "no data necessary for post analysis"
fi
echo
if [ -f "LTLFireability.txt" ] ; then
echo "here is the order used to build the result vector(from text file)"
for x in $(grep Property LTLFireability.txt | cut -d ' ' -f 2 | sort -u) ; do
echo "FORMULA_NAME $x"
done
elif [ -f "LTLFireability.xml" ] ; then # for cunf (txt files deleted;-)
echo echo "here is the order used to build the result vector(from xml file)"
for x in $(grep '' LTLFireability.xml | cut -d '>' -f 2 | cut -d '<' -f 1 | sort -u) ; do
echo "FORMULA_NAME $x"
done
elif [ "LTLFireability" = "ReachabilityDeadlock" ] || [ "LTLFireability" = "QuasiLiveness" ] || [ "LTLFireability" = "StableMarking" ] || [ "LTLFireability" = "Liveness" ] || [ "LTLFireability" = "OneSafe" ] ; then
echo "FORMULA_NAME LTLFireability"
fi
echo
echo "=== Now, execution of the tool begins"
echo
echo -n "BK_START "
date -u +%s%3N
echo
timeout -s 9 $BK_TIME_CONFINEMENT bash -c "/home/mcc/BenchKit/BenchKit_head.sh 2> STDERR ; echo ; echo -n \"BK_STOP \" ; date -u +%s%3N"
if [ $? -eq 137 ] ; then
echo
echo "BK_TIME_CONFINEMENT_REACHED"
fi
echo
echo "--------------------"
echo "content from stderr:"
echo
cat STDERR ;