fond
Model Checking Contest 2021
11th edition, Paris, France, June 23, 2021
Execution of r026-tajo-162038143300045
Last Updated
Jun 28, 2021

About the Execution of ITS-Tools for BridgeAndVehicles-COL-V20P20N10

Execution Summary
Max Memory
Used (MB)
Time wait (ms) CPU Usage (ms) I/O Wait (ms) Computed Result Execution
Status
16243.088 3600000.00 3682628.00 90321.60 TFFF?FTF??FT?FF? normal

Execution Chart

We display below the execution chart for this examination (boot time has been removed).

Trace from the execution

Formatting '/mnt/tpsp/fkordon/mcc2021-input.r026-tajo-162038143300045.qcow2', fmt=qcow2 size=4294967296 backing_file='/mnt/tpsp/fkordon/mcc2021-input.qcow2' encryption=off cluster_size=65536 lazy_refcounts=off
Waiting for the VM to be ready (probing ssh)
........................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................
=====================================================================
Generated by BenchKit 2-4028
Executing tool itstools
Input is BridgeAndVehicles-COL-V20P20N10, examination is LTLFireability
Time confinement is 3600 seconds
Memory confinement is 16384 MBytes
Number of cores is 4
Run identifier is r026-tajo-162038143300045
=====================================================================

--------------------
preparation of the directory to be used:
/home/mcc/execution
total 404K
-rw-r--r-- 1 mcc users 12K May 5 16:58 CTLCardinality.txt
-rw-r--r-- 1 mcc users 83K May 5 16:58 CTLCardinality.xml
-rw-r--r-- 1 mcc users 17K May 5 16:58 CTLFireability.txt
-rw-r--r-- 1 mcc users 111K May 5 16:58 CTLFireability.xml
-rw-r--r-- 1 mcc users 4.2K May 6 14:48 GenericPropertiesDefinition.xml
-rw-r--r-- 1 mcc users 6.4K May 6 14:48 GenericPropertiesVerdict.xml
-rw-r--r-- 1 mcc users 3.7K Mar 28 15:46 LTLCardinality.txt
-rw-r--r-- 1 mcc users 22K Mar 28 15:46 LTLCardinality.xml
-rw-r--r-- 1 mcc users 2.4K Mar 28 15:46 LTLFireability.txt
-rw-r--r-- 1 mcc users 15K Mar 28 15:46 LTLFireability.xml
-rw-r--r-- 1 mcc users 4.5K Mar 23 02:49 ReachabilityCardinality.txt
-rw-r--r-- 1 mcc users 21K Mar 23 02:49 ReachabilityCardinality.xml
-rw-r--r-- 1 mcc users 3.0K Mar 22 11:06 ReachabilityFireability.txt
-rw-r--r-- 1 mcc users 13K Mar 22 11:06 ReachabilityFireability.xml
-rw-r--r-- 1 mcc users 1.9K Mar 22 09:10 UpperBounds.txt
-rw-r--r-- 1 mcc users 4.0K Mar 22 09:10 UpperBounds.xml
-rw-r--r-- 1 mcc users 5 May 5 16:51 equiv_pt
-rw-r--r-- 1 mcc users 10 May 5 16:51 instance
-rw-r--r-- 1 mcc users 5 May 5 16:51 iscolored
-rw-r--r-- 1 mcc users 39K May 5 16:51 model.pnml

--------------------
content from stdout:

=== Data for post analysis generated by BenchKit (invocation template)

The expected result is a vector of booleans
BOOL_VECTOR

here is the order used to build the result vector(from text file)
FORMULA_NAME BridgeAndVehicles-COL-V20P20N10-00
FORMULA_NAME BridgeAndVehicles-COL-V20P20N10-01
FORMULA_NAME BridgeAndVehicles-COL-V20P20N10-02
FORMULA_NAME BridgeAndVehicles-COL-V20P20N10-03
FORMULA_NAME BridgeAndVehicles-COL-V20P20N10-04
FORMULA_NAME BridgeAndVehicles-COL-V20P20N10-05
FORMULA_NAME BridgeAndVehicles-COL-V20P20N10-06
FORMULA_NAME BridgeAndVehicles-COL-V20P20N10-07
FORMULA_NAME BridgeAndVehicles-COL-V20P20N10-08
FORMULA_NAME BridgeAndVehicles-COL-V20P20N10-09
FORMULA_NAME BridgeAndVehicles-COL-V20P20N10-10
FORMULA_NAME BridgeAndVehicles-COL-V20P20N10-11
FORMULA_NAME BridgeAndVehicles-COL-V20P20N10-12
FORMULA_NAME BridgeAndVehicles-COL-V20P20N10-13
FORMULA_NAME BridgeAndVehicles-COL-V20P20N10-14
FORMULA_NAME BridgeAndVehicles-COL-V20P20N10-15

=== Now, execution of the tool begins

BK_START 1620511791263

Running Version 0
[2021-05-08 22:09:53] [INFO ] Running its-tools with arguments : [-pnfolder, /home/mcc/execution, -examination, LTLFireability, -spotpath, /home/mcc/BenchKit/bin//..//ltlfilt, -z3path, /home/mcc/BenchKit/bin//..//z3/bin/z3, -yices2path, /home/mcc/BenchKit/bin//..//yices/bin/yices, -its, -ltsmin, -greatspnpath, /home/mcc/BenchKit/bin//..//greatspn/, -order, META, -manyOrder, -smt, -timeout, 3600]
[2021-05-08 22:09:53] [INFO ] Parsing pnml file : /home/mcc/execution/model.pnml
[2021-05-08 22:09:53] [INFO ] Detected file is not PT type :http://www.pnml.org/version-2009/grammar/symmetricnet
log4j:WARN No appenders could be found for logger (org.apache.axiom.locator.DefaultOMMetaFactoryLocator).
log4j:WARN Please initialize the log4j system properly.
[2021-05-08 22:09:53] [WARNING] Using fallBack plugin, rng conformance not checked
[2021-05-08 22:09:53] [INFO ] Load time of PNML (colored model parsed with PNMLFW) : 600 ms
[2021-05-08 22:09:53] [INFO ] Imported 15 HL places and 11 HL transitions for a total of 68 PT places and 19578.0 transition bindings in 18 ms.
Parsed 16 properties from file /home/mcc/execution/LTLFireability.xml in 7 ms.
Working with output stream class java.io.PrintStream
[2021-05-08 22:09:53] [INFO ] Built PT skeleton of HLPN with 15 places and 11 transitions in 4 ms.
[2021-05-08 22:09:53] [INFO ] Skeletonized HLPN properties in 0 ms.
Successfully produced net in file /tmp/petri1000_8459590291937708110.dot
Reduce places removed 3 places and 0 transitions.
Ensure Unique test removed 1 transitions
Reduce redundant transitions removed 1 transitions.
Finished random walk after 0 steps, including 0 resets, run visited all 0 properties in 1 ms. (steps per millisecond=0 )
[2021-05-08 22:09:53] [INFO ] Flatten gal took : 15 ms
[2021-05-08 22:09:53] [INFO ] Flatten gal took : 1 ms
[2021-05-08 22:09:53] [INFO ] Unfolded HLPN to a Petri net with 68 places and 548 transitions in 17 ms.
[2021-05-08 22:09:53] [INFO ] Unfolded HLPN properties in 1 ms.
Successfully produced net in file /tmp/petri1001_4521229994717024286.dot
[2021-05-08 22:09:53] [INFO ] Initial state test concluded for 1 properties.
FORMULA BridgeAndVehicles-COL-V20P20N10-00 TRUE TECHNIQUES TOPOLOGICAL INITIAL_STATE
Support contains 66 out of 68 places. Attempting structural reductions.
Starting structural reductions, iteration 0 : 68/68 places, 548/548 transitions.
Reduce places removed 2 places and 0 transitions.
Iterating post reduction 0 with 2 rules applied. Total rules applied 2 place count 66 transition count 548
Applied a total of 2 rules in 17 ms. Remains 66 /68 variables (removed 2) and now considering 548/548 (removed 0) transitions.
[2021-05-08 22:09:54] [INFO ] Flow matrix only has 130 transitions (discarded 418 similar events)
// Phase 1: matrix 130 rows 66 cols
[2021-05-08 22:09:54] [INFO ] Computed 5 place invariants in 12 ms
[2021-05-08 22:09:54] [INFO ] Dead Transitions using invariants and state equation in 338 ms returned []
[2021-05-08 22:09:54] [INFO ] Flow matrix only has 130 transitions (discarded 418 similar events)
// Phase 1: matrix 130 rows 66 cols
[2021-05-08 22:09:54] [INFO ] Computed 5 place invariants in 7 ms
[2021-05-08 22:09:54] [INFO ] Implicit Places using invariants in 26 ms returned []
[2021-05-08 22:09:54] [INFO ] Flow matrix only has 130 transitions (discarded 418 similar events)
// Phase 1: matrix 130 rows 66 cols
[2021-05-08 22:09:54] [INFO ] Computed 5 place invariants in 1 ms
[2021-05-08 22:09:54] [INFO ] State equation strengthened by 22 read => feed constraints.
[2021-05-08 22:09:54] [INFO ] Implicit Places using invariants and state equation in 57 ms returned []
Implicit Place search using SMT with State Equation took 85 ms to find 0 implicit places.
[2021-05-08 22:09:54] [INFO ] Flow matrix only has 130 transitions (discarded 418 similar events)
// Phase 1: matrix 130 rows 66 cols
[2021-05-08 22:09:54] [INFO ] Computed 5 place invariants in 3 ms
[2021-05-08 22:09:54] [INFO ] Dead Transitions using invariants and state equation in 172 ms returned []
Finished structural reductions, in 1 iterations. Remains : 66/68 places, 548/548 transitions.
[2021-05-08 22:09:54] [INFO ] Initial state reduction rules for LTL removed 2 formulas.
[2021-05-08 22:09:54] [INFO ] Flatten gal took : 74 ms
FORMULA BridgeAndVehicles-COL-V20P20N10-06 TRUE TECHNIQUES TOPOLOGICAL INITIAL_STATE
FORMULA BridgeAndVehicles-COL-V20P20N10-05 FALSE TECHNIQUES TOPOLOGICAL INITIAL_STATE
[2021-05-08 22:09:55] [INFO ] Flatten gal took : 73 ms
[2021-05-08 22:09:55] [INFO ] Input system was already deterministic with 548 transitions.
Incomplete random walk after 100001 steps, including 563 resets, run finished after 1123 ms. (steps per millisecond=89 ) properties (out of 18) seen :17
Running SMT prover for 1 properties.
[2021-05-08 22:09:56] [INFO ] Flow matrix only has 130 transitions (discarded 418 similar events)
// Phase 1: matrix 130 rows 66 cols
[2021-05-08 22:09:56] [INFO ] Computed 5 place invariants in 5 ms
[2021-05-08 22:09:56] [INFO ] [Real]Absence check using 5 positive place invariants in 5 ms returned unsat
Successfully simplified 1 atomic propositions for a total of 13 simplifications.
[2021-05-08 22:09:56] [INFO ] Initial state test concluded for 1 properties.
FORMULA BridgeAndVehicles-COL-V20P20N10-11 TRUE TECHNIQUES TOPOLOGICAL INITIAL_STATE
Running Spot : CommandLine [args=[/home/mcc/BenchKit/bin//..//ltl2tgba, --hoaf=tv, -f, !((G(p0)||(G(p1)&&F(p2))))], workingDir=/home/mcc/execution]
Support contains 28 out of 66 places. Attempting structural reductions.
Starting structural reductions, iteration 0 : 66/66 places, 548/548 transitions.
Discarding 1 places :
Symmetric choice reduction at 0 with 1 rule applications. Total rules 1 place count 65 transition count 547
Iterating global reduction 0 with 1 rules applied. Total rules applied 2 place count 65 transition count 547
Applied a total of 2 rules in 29 ms. Remains 65 /66 variables (removed 1) and now considering 547/548 (removed 1) transitions.
[2021-05-08 22:09:56] [INFO ] Flow matrix only has 129 transitions (discarded 418 similar events)
// Phase 1: matrix 129 rows 65 cols
[2021-05-08 22:09:56] [INFO ] Computed 5 place invariants in 1 ms
[2021-05-08 22:09:57] [INFO ] Dead Transitions using invariants and state equation in 204 ms returned []
[2021-05-08 22:09:57] [INFO ] Flow matrix only has 129 transitions (discarded 418 similar events)
// Phase 1: matrix 129 rows 65 cols
[2021-05-08 22:09:57] [INFO ] Computed 5 place invariants in 5 ms
[2021-05-08 22:09:57] [INFO ] Implicit Places using invariants in 87 ms returned []
[2021-05-08 22:09:57] [INFO ] Flow matrix only has 129 transitions (discarded 418 similar events)
// Phase 1: matrix 129 rows 65 cols
[2021-05-08 22:09:57] [INFO ] Computed 5 place invariants in 1 ms
[2021-05-08 22:09:57] [INFO ] State equation strengthened by 22 read => feed constraints.
[2021-05-08 22:09:57] [INFO ] Implicit Places using invariants and state equation in 194 ms returned [23, 46]
Discarding 2 places :
Implicit Place search using SMT with State Equation took 286 ms to find 2 implicit places.
[2021-05-08 22:09:57] [INFO ] Redundant transitions in 29 ms returned []
[2021-05-08 22:09:57] [INFO ] Flow matrix only has 129 transitions (discarded 418 similar events)
// Phase 1: matrix 129 rows 63 cols
[2021-05-08 22:09:57] [INFO ] Computed 5 place invariants in 1 ms
[2021-05-08 22:09:57] [INFO ] Dead Transitions using invariants and state equation in 161 ms returned []
Starting structural reductions, iteration 1 : 63/66 places, 547/548 transitions.
Applied a total of 0 rules in 15 ms. Remains 63 /63 variables (removed 0) and now considering 547/547 (removed 0) transitions.
[2021-05-08 22:09:57] [INFO ] Redundant transitions in 3 ms returned []
[2021-05-08 22:09:57] [INFO ] Flow matrix only has 129 transitions (discarded 418 similar events)
// Phase 1: matrix 129 rows 63 cols
[2021-05-08 22:09:57] [INFO ] Computed 5 place invariants in 23 ms
[2021-05-08 22:09:57] [INFO ] Dead Transitions using invariants and state equation in 217 ms returned []
Finished structural reductions, in 2 iterations. Remains : 63/66 places, 547/548 transitions.
Stuttering acceptance computed with spot in 351 ms :[(OR (NOT p2) (NOT p1)), (NOT p1), (AND (NOT p1) (NOT p0)), true, (OR (AND (NOT p0) (NOT p2)) (AND (NOT p0) (NOT p1))), (NOT p0)]
Running random walk in product with property : BridgeAndVehicles-COL-V20P20N10-01 automaton TGBA [mat=[[{ cond=(AND p1 (NOT p2)), acceptance={0} source=0 dest: 0}, { cond=(AND p1 p2), acceptance={0} source=0 dest: 1}, { cond=(NOT p1), acceptance={0} source=0 dest: 3}], [{ cond=p1, acceptance={} source=1 dest: 1}, { cond=(NOT p1), acceptance={} source=1 dest: 3}], [{ cond=(AND (NOT p0) p1), acceptance={} source=2 dest: 1}, { cond=(AND p0 p1), acceptance={} source=2 dest: 2}, { cond=(AND (NOT p0) (NOT p1)), acceptance={} source=2 dest: 3}, { cond=(AND p0 (NOT p1)), acceptance={} source=2 dest: 5}], [{ cond=true, acceptance={0} source=3 dest: 3}], [{ cond=(AND (NOT p0) p1 (NOT p2)), acceptance={} source=4 dest: 0}, { cond=(AND (NOT p0) p1 p2), acceptance={} source=4 dest: 1}, { cond=(AND p0 p1 p2), acceptance={} source=4 dest: 2}, { cond=(AND (NOT p0) (NOT p1)), acceptance={} source=4 dest: 3}, { cond=(AND p0 p1 (NOT p2)), acceptance={} source=4 dest: 4}, { cond=(AND p0 (NOT p1)), acceptance={} source=4 dest: 5}], [{ cond=(NOT p0), acceptance={} source=5 dest: 3}, { cond=p0, acceptance={} source=5 dest: 5}]], initial=4, aps=[p1:(OR (AND (GEQ s0 20) (GEQ s61 1)) (AND (GEQ s0 20) (GEQ s62 1)) (AND (GEQ s48 1) (GEQ s60 1)) (AND (GEQ s49 1) (GEQ s60 1))), p2:(OR (AND (GEQ s27 1) (GEQ s45 1)) (AND (GEQ s44 1) (GEQ s45 1)) (AND (GEQ s37 1) (GEQ s45 1)) (AND (GEQ s34 1) (GEQ s45 1)) (AND (GEQ s32 1) (GEQ s45 1)) (AND (GEQ s30 1) (GEQ s45 1)) (AND (GEQ s35 1) (GEQ s45 1)) (AND (GEQ s26 1) (GEQ s45 1)) (AND (GEQ s39 1) (GEQ s45 1)) (AND (GEQ s43 1) (GEQ s45 1)) (AND (GEQ s24 1) (GEQ s45 1)) (AND (GEQ s41 1) (GEQ s45 1)) (AND (GEQ s38 1) (GEQ s45 1)) (AND (GEQ s28 1) (GEQ s45 1)) (AND (GEQ s31 1) (GEQ s45 1)) (AND (GEQ s33 1) (GEQ s45 1)) (AND (GEQ s29 1) (GEQ s45 1)) (AND (GEQ s36 1) (GEQ s45 1)) (AND (GEQ s25 1) (GEQ s45 1)) (AND (GEQ s40 1) (GEQ s45 1)) (AND (GEQ s42 1) (GEQ s45 1))), p0:(AND (OR (LT s48 1) (LT s60 1)) (OR (LT s49 1) (LT s60 1)))], nbAcceptance=1, properties=[trans-labels, explicit-labels, trans-acc, complete, deterministic, no-univ-branch, unambiguous, semi-deterministic, stutter-invariant, very-weak, weak, inherently-weak], stateDesc=[null, null, null, null, null, null][true, true, true, true, true, true]]
Entered a terminal (fully accepting) state of product in 63 steps with 0 reset in 5 ms.
FORMULA BridgeAndVehicles-COL-V20P20N10-01 FALSE TECHNIQUES STUTTER_TEST
Treatment of property BridgeAndVehicles-COL-V20P20N10-01 finished in 1381 ms.
Running Spot : CommandLine [args=[/home/mcc/BenchKit/bin//..//ltl2tgba, --hoaf=tv, -f, !(G((X(G(p1))||p0)))], workingDir=/home/mcc/execution]
Support contains 5 out of 66 places. Attempting structural reductions.
Starting structural reductions, iteration 0 : 66/66 places, 548/548 transitions.
Applied a total of 0 rules in 9 ms. Remains 66 /66 variables (removed 0) and now considering 548/548 (removed 0) transitions.
[2021-05-08 22:09:58] [INFO ] Flow matrix only has 130 transitions (discarded 418 similar events)
// Phase 1: matrix 130 rows 66 cols
[2021-05-08 22:09:58] [INFO ] Computed 5 place invariants in 1 ms
[2021-05-08 22:09:58] [INFO ] Dead Transitions using invariants and state equation in 219 ms returned []
[2021-05-08 22:09:58] [INFO ] Flow matrix only has 130 transitions (discarded 418 similar events)
// Phase 1: matrix 130 rows 66 cols
[2021-05-08 22:09:58] [INFO ] Computed 5 place invariants in 1 ms
[2021-05-08 22:09:58] [INFO ] Implicit Places using invariants in 138 ms returned []
[2021-05-08 22:09:58] [INFO ] Flow matrix only has 130 transitions (discarded 418 similar events)
// Phase 1: matrix 130 rows 66 cols
[2021-05-08 22:09:58] [INFO ] Computed 5 place invariants in 8 ms
[2021-05-08 22:09:58] [INFO ] State equation strengthened by 22 read => feed constraints.
[2021-05-08 22:09:58] [INFO ] Implicit Places using invariants and state equation in 208 ms returned [23, 47]
Discarding 2 places :
Implicit Place search using SMT with State Equation took 350 ms to find 2 implicit places.
[2021-05-08 22:09:58] [INFO ] Flow matrix only has 130 transitions (discarded 418 similar events)
// Phase 1: matrix 130 rows 64 cols
[2021-05-08 22:09:58] [INFO ] Computed 5 place invariants in 2 ms
[2021-05-08 22:09:59] [INFO ] Dead Transitions using invariants and state equation in 196 ms returned []
Starting structural reductions, iteration 1 : 64/66 places, 548/548 transitions.
Applied a total of 0 rules in 8 ms. Remains 64 /64 variables (removed 0) and now considering 548/548 (removed 0) transitions.
[2021-05-08 22:09:59] [INFO ] Flow matrix only has 130 transitions (discarded 418 similar events)
// Phase 1: matrix 130 rows 64 cols
[2021-05-08 22:09:59] [INFO ] Computed 5 place invariants in 2 ms
[2021-05-08 22:09:59] [INFO ] Dead Transitions using invariants and state equation in 248 ms returned []
Finished structural reductions, in 2 iterations. Remains : 64/66 places, 548/548 transitions.
Stuttering acceptance computed with spot in 74 ms :[(AND (NOT p0) (NOT p1)), (NOT p1), true]
Running random walk in product with property : BridgeAndVehicles-COL-V20P20N10-02 automaton TGBA [mat=[[{ cond=p0, acceptance={} source=0 dest: 0}, { cond=(NOT p0), acceptance={} source=0 dest: 1}], [{ cond=p1, acceptance={} source=1 dest: 1}, { cond=(NOT p1), acceptance={} source=1 dest: 2}], [{ cond=true, acceptance={0} source=2 dest: 2}]], initial=0, aps=[p0:(OR (LT s23 1) (GEQ s45 1)), p1:(OR (AND (GEQ s0 20) (GEQ s62 1)) (AND (GEQ s0 20) (GEQ s63 1)))], nbAcceptance=1, properties=[trans-labels, explicit-labels, trans-acc, complete, deterministic, no-univ-branch, unambiguous, semi-deterministic, terminal, very-weak, weak, inherently-weak], stateDesc=[null, null, null][false, false, false]]
Entered a terminal (fully accepting) state of product in 4 steps with 0 reset in 0 ms.
FORMULA BridgeAndVehicles-COL-V20P20N10-02 FALSE TECHNIQUES STUTTER_TEST
Treatment of property BridgeAndVehicles-COL-V20P20N10-02 finished in 1126 ms.
Running Spot : CommandLine [args=[/home/mcc/BenchKit/bin//..//ltl2tgba, --hoaf=tv, -f, !(X(F(p0)))], workingDir=/home/mcc/execution]
Support contains 35 out of 66 places. Attempting structural reductions.
Starting structural reductions, iteration 0 : 66/66 places, 548/548 transitions.
Discarding 1 places :
Symmetric choice reduction at 0 with 1 rule applications. Total rules 1 place count 65 transition count 547
Iterating global reduction 0 with 1 rules applied. Total rules applied 2 place count 65 transition count 547
Applied a total of 2 rules in 6 ms. Remains 65 /66 variables (removed 1) and now considering 547/548 (removed 1) transitions.
[2021-05-08 22:09:59] [INFO ] Flow matrix only has 129 transitions (discarded 418 similar events)
// Phase 1: matrix 129 rows 65 cols
[2021-05-08 22:09:59] [INFO ] Computed 5 place invariants in 0 ms
[2021-05-08 22:09:59] [INFO ] Dead Transitions using invariants and state equation in 171 ms returned []
[2021-05-08 22:09:59] [INFO ] Flow matrix only has 129 transitions (discarded 418 similar events)
// Phase 1: matrix 129 rows 65 cols
[2021-05-08 22:09:59] [INFO ] Computed 5 place invariants in 1 ms
[2021-05-08 22:09:59] [INFO ] Implicit Places using invariants in 50 ms returned []
[2021-05-08 22:09:59] [INFO ] Flow matrix only has 129 transitions (discarded 418 similar events)
// Phase 1: matrix 129 rows 65 cols
[2021-05-08 22:09:59] [INFO ] Computed 5 place invariants in 2 ms
[2021-05-08 22:09:59] [INFO ] State equation strengthened by 22 read => feed constraints.
[2021-05-08 22:09:59] [INFO ] Implicit Places using invariants and state equation in 158 ms returned [23, 46]
Discarding 2 places :
Implicit Place search using SMT with State Equation took 212 ms to find 2 implicit places.
[2021-05-08 22:09:59] [INFO ] Flow matrix only has 129 transitions (discarded 418 similar events)
// Phase 1: matrix 129 rows 63 cols
[2021-05-08 22:09:59] [INFO ] Computed 5 place invariants in 1 ms
[2021-05-08 22:09:59] [INFO ] Dead Transitions using invariants and state equation in 198 ms returned []
Starting structural reductions, iteration 1 : 63/66 places, 547/548 transitions.
Applied a total of 0 rules in 3 ms. Remains 63 /63 variables (removed 0) and now considering 547/547 (removed 0) transitions.
[2021-05-08 22:09:59] [INFO ] Flow matrix only has 129 transitions (discarded 418 similar events)
// Phase 1: matrix 129 rows 63 cols
[2021-05-08 22:09:59] [INFO ] Computed 5 place invariants in 1 ms
[2021-05-08 22:10:00] [INFO ] Dead Transitions using invariants and state equation in 175 ms returned []
Finished structural reductions, in 2 iterations. Remains : 63/66 places, 547/548 transitions.
Stuttering acceptance computed with spot in 50 ms :[(NOT p0), (NOT p0)]
Running random walk in product with property : BridgeAndVehicles-COL-V20P20N10-03 automaton TGBA [mat=[[{ cond=true, acceptance={} source=0 dest: 1}], [{ cond=(NOT p0), acceptance={0} source=1 dest: 1}]], initial=0, aps=[p0:(AND (OR (AND (GEQ s27 1) (GEQ s45 1)) (AND (GEQ s44 1) (GEQ s45 1)) (AND (GEQ s37 1) (GEQ s45 1)) (AND (GEQ s34 1) (GEQ s45 1)) (AND (GEQ s32 1) (GEQ s45 1)) (AND (GEQ s30 1) (GEQ s45 1)) (AND (GEQ s35 1) (GEQ s45 1)) (AND (GEQ s26 1) (GEQ s45 1)) (AND (GEQ s39 1) (GEQ s45 1)) (AND (GEQ s43 1) (GEQ s45 1)) (AND (GEQ s24 1) (GEQ s45 1)) (AND (GEQ s41 1) (GEQ s45 1)) (AND (GEQ s38 1) (GEQ s45 1)) (AND (GEQ s28 1) (GEQ s45 1)) (AND (GEQ s31 1) (GEQ s45 1)) (AND (GEQ s33 1) (GEQ s45 1)) (AND (GEQ s29 1) (GEQ s45 1)) (AND (GEQ s36 1) (GEQ s45 1)) (AND (GEQ s25 1) (GEQ s45 1)) (AND (GEQ s40 1) (GEQ s45 1)) (AND (GEQ s42 1) (GEQ s45 1))) (OR (AND (GEQ s1 1) (GEQ s40 1) (GEQ s46 1) (GEQ s54 1)) (AND (GEQ s1 1) (GEQ s28 1) (GEQ s46 1) (GEQ s53 1)) (AND (GEQ s1 1) (GEQ s26 1) (GEQ s46 1) (GEQ s50 1)) (AND (GEQ s1 1) (GEQ s30 1) (GEQ s46 1) (GEQ s56 1)) (AND (GEQ s1 1) (GEQ s26 1) (GEQ s46 1) (GEQ s58 1)) (AND (GEQ s1 1) (GEQ s35 1) (GEQ s46 1) (GEQ s55 1)) (AND (GEQ s1 1) (GEQ s25 1) (GEQ s46 1) (GEQ s57 1)) (AND (GEQ s1 1) (GEQ s38 1) (GEQ s46 1) (GEQ s59 1)) (AND (GEQ s1 1) (GEQ s44 1) (GEQ s46 1) (GEQ s60 1)) (AND (GEQ s1 1) (GEQ s31 1) (GEQ s46 1) (GEQ s57 1)) (AND (GEQ s1 1) (GEQ s43 1) (GEQ s46 1) (GEQ s50 1)) (AND (GEQ s1 1) (GEQ s33 1) (GEQ s46 1) (GEQ s60 1)) (AND (GEQ s1 1) (GEQ s36 1) (GEQ s46 1) (GEQ s56 1)) (AND (GEQ s1 1) (GEQ s38 1) (GEQ s46 1) (GEQ s51 1)) (AND (GEQ s1 1) (GEQ s33 1) (GEQ s46 1) (GEQ s52 1)) (AND (GEQ s1 1) (GEQ s27 1) (GEQ s46 1) (GEQ s51 1)) (AND (GEQ s1 1) (GEQ s41 1) (GEQ s46 1) (GEQ s55 1)) (AND (GEQ s1 1) (GEQ s39 1) (GEQ s46 1) (GEQ s52 1)) (AND (GEQ s1 1) (GEQ s34 1) (GEQ s46 1) (GEQ s53 1)) (AND (GEQ s1 1) (GEQ s44 1) (GEQ s46 1) (GEQ s51 1)) (AND (GEQ s1 1) (GEQ s32 1) (GEQ s46 1) (GEQ s50 1)) (AND (GEQ s1 1) (GEQ s43 1) (GEQ s46 1) (GEQ s59 1)) (AND (GEQ s1 1) (GEQ s39 1) (GEQ s46 1) (GEQ s60 1)) (AND (GEQ s1 1) (GEQ s32 1) (GEQ s46 1) (GEQ s58 1)) (AND (GEQ s1 1) (GEQ s27 1) (GEQ s46 1) (GEQ s59 1)) (AND (GEQ s1 1) (GEQ s29 1) (GEQ s46 1) (GEQ s54 1)) (AND (GEQ s1 1) (GEQ s42 1) (GEQ s46 1) (GEQ s56 1)) (AND (GEQ s1 1) (GEQ s37 1) (GEQ s46 1) (GEQ s57 1)) (AND (GEQ s1 1) (GEQ s40 1) (GEQ s46 1) (GEQ s53 1)) (AND (GEQ s1 1) (GEQ s28 1) (GEQ s46 1) (GEQ s54 1)) (AND (GEQ s1 1) (GEQ s30 1) (GEQ s46 1) (GEQ s55 1)) (AND (GEQ s1 1) (GEQ s26 1) (GEQ s46 1) (GEQ s57 1)) (AND (GEQ s1 1) (GEQ s35 1) (GEQ s46 1) (GEQ s54 1)) (AND (GEQ s1 1) (GEQ s25 1) (GEQ s46 1) (GEQ s56 1)) (AND (GEQ s1 1) (GEQ s38 1) (GEQ s46 1) (GEQ s60 1)) (AND (GEQ s1 1) (GEQ s31 1) (GEQ s46 1) (GEQ s56 1)) (AND (GEQ s1 1) (GEQ s43 1) (GEQ s46 1) (GEQ s51 1)) (AND (GEQ s1 1) (GEQ s36 1) (GEQ s46 1) (GEQ s55 1)) (AND (GEQ s1 1) (GEQ s38 1) (GEQ s46 1) (GEQ s52 1)) (AND (GEQ s1 1) (GEQ s33 1) (GEQ s46 1) (GEQ s53 1)) (AND (GEQ s1 1) (GEQ s27 1) (GEQ s46 1) (GEQ s50 1)) (AND (GEQ s1 1) (GEQ s39 1) (GEQ s46 1) (GEQ s53 1)) (AND (GEQ s1 1) (GEQ s41 1) (GEQ s46 1) (GEQ s54 1)) (AND (GEQ s1 1) (GEQ s34 1) (GEQ s46 1) (GEQ s54 1)) (AND (GEQ s1 1) (GEQ s44 1) (GEQ s46 1) (GEQ s52 1)) (AND (GEQ s1 1) (GEQ s43 1) (GEQ s46 1) (GEQ s60 1)) (AND (GEQ s1 1) (GEQ s32 1) (GEQ s46 1) (GEQ s57 1)) (AND (GEQ s1 1) (GEQ s27 1) (GEQ s46 1) (GEQ s58 1)) (AND (GEQ s1 1) (GEQ s29 1) (GEQ s46 1) (GEQ s55 1)) (AND (GEQ s1 1) (GEQ s42 1) (GEQ s46 1) (GEQ s55 1)) (AND (GEQ s1 1) (GEQ s37 1) (GEQ s46 1) (GEQ s56 1)) (AND (GEQ s1 1) (GEQ s28 1) (GEQ s46 1) (GEQ s55 1)) (AND (GEQ s1 1) (GEQ s40 1) (GEQ s46 1) (GEQ s56 1)) (AND (GEQ s1 1) (GEQ s33 1) (GEQ s46 1) (GEQ s50 1)) (AND (GEQ s1 1) (GEQ s42 1) (GEQ s46 1) (GEQ s59 1)) (AND (GEQ s1 1) (GEQ s30 1) (GEQ s46 1) (GEQ s58 1)) (AND (GEQ s1 1) (GEQ s35 1) (GEQ s46 1) (GEQ s53 1)) (AND (GEQ s1 1) (GEQ s31 1) (GEQ s46 1) (GEQ s51 1)) (AND (GEQ s1 1) (GEQ s26 1) (GEQ s46 1) (GEQ s56 1)) (AND (GEQ s1 1) (GEQ s30 1) (GEQ s46 1) (GEQ s50 1)) (AND (GEQ s1 1) (GEQ s43 1) (GEQ s46 1) (GEQ s56 1)) (AND (GEQ s1 1) (GEQ s44 1) (GEQ s46 1) (GEQ s58 1)) (AND (GEQ s1 1) (GEQ s31 1) (GEQ s46 1) (GEQ s59 1)) (AND (GEQ s1 1) (GEQ s36 1) (GEQ s46 1) (GEQ s54 1)) (AND (GEQ s1 1) (GEQ s25 1) (GEQ s46 1) (GEQ s55 1)) (AND (GEQ s1 1) (GEQ s33 1) (GEQ s46 1) (GEQ s58 1)) (AND (GEQ s1 1) (GEQ s38 1) (GEQ s46 1) (GEQ s53 1)) (AND (GEQ s1 1) (GEQ s41 1) (GEQ s46 1) (GEQ s57 1)) (AND (GEQ s1 1) (GEQ s34 1) (GEQ s46 1) (GEQ s51 1)) (AND (GEQ s1 1) (GEQ s29 1) (GEQ s46 1) (GEQ s56 1)) (AND (GEQ s1 1) (GEQ s27 1) (GEQ s46 1) (GEQ s57 1)) (AND (GEQ s1 1) (GEQ s32 1) (GEQ s46 1) (GEQ s52 1)) (AND (GEQ s1 1) (GEQ s39 1) (GEQ s46 1) (GEQ s54 1)) (AND (GEQ s1 1) (GEQ s34 1) (GEQ s46 1) (GEQ s59 1)) (AND (GEQ s1 1) (GEQ s32 1) (GEQ s46 1) (GEQ s60 1)) (AND (GEQ s1 1) (GEQ s42 1) (GEQ s46 1) (GEQ s50 1)) (AND (GEQ s1 1) (GEQ s37 1) (GEQ s46 1) (GEQ s55 1)) (AND (GEQ s1 1) (GEQ s42 1) (GEQ s46 1) (GEQ s58 1)) (AND (GEQ s1 1) (GEQ s35 1) (GEQ s46 1) (GEQ s60 1)) (AND (GEQ s1 1) (GEQ s28 1) (GEQ s46 1) (GEQ s56 1)) (AND (GEQ s1 1) (GEQ s33 1) (GEQ s46 1) (GEQ s51 1)) (AND (GEQ s1 1) (GEQ s40 1) (GEQ s46 1) (GEQ s55 1)) (AND (GEQ s1 1) (GEQ s35 1) (GEQ s46 1) (GEQ s52 1)) (AND (GEQ s1 1) (GEQ s30 1) (GEQ s46 1) (GEQ s57 1)) (AND (GEQ s1 1) (GEQ s31 1) (GEQ s46 1) (GEQ s50 1)) (AND (GEQ s1 1) (GEQ s26 1) (GEQ s46 1) (GEQ s55 1)) (AND (GEQ s1 1) (GEQ s44 1) (GEQ s46 1) (GEQ s59 1)) (AND (GEQ s1 1) (GEQ s43 1) (GEQ s46 1) (GEQ s57 1)) (AND (GEQ s1 1) (GEQ s36 1) (GEQ s46 1) (GEQ s53 1)) (AND (GEQ s1 1) (GEQ s31 1) (GEQ s46 1) (GEQ s58 1)) (AND (GEQ s1 1) (GEQ s25 1) (GEQ s46 1) (GEQ s54 1)) (AND (GEQ s1 1) (GEQ s33 1) (GEQ s46 1) (GEQ s59 1)) (AND (GEQ s1 1) (GEQ s38 1) (GEQ s46 1) (GEQ s54 1)) (AND (GEQ s1 1) (GEQ s41 1) (GEQ s46 1) (GEQ s56 1)) (AND (GEQ s1 1) (GEQ s29 1) (GEQ s46 1) (GEQ s57 1)) (AND (GEQ s1 1) (GEQ s34 1) (GEQ s46 1) (GEQ s52 1)) (AND (GEQ s1 1) (GEQ s27 1) (GEQ s46 1) (GEQ s56 1)) (AND (GEQ s1 1) (GEQ s32 1) (GEQ s46 1) (GEQ s51 1)) (AND (GEQ s1 1) (GEQ s39 1) (GEQ s46 1) (GEQ s55 1)) (AND (GEQ s1 1) (GEQ s44 1) (GEQ s46 1) (GEQ s50 1)) (AND (GEQ s1 1) (GEQ s43 1) (GEQ s46 1) (GEQ s58 1)) (AND (GEQ s1 1) (GEQ s34 1) (GEQ s46 1) (GEQ s60 1)) (AND (GEQ s1 1) (GEQ s37 1) (GEQ s46 1) (GEQ s54 1)) (AND (GEQ s1 1) (GEQ s32 1) (GEQ s46 1) (GEQ s59 1)) (AND (GEQ s1 1) (GEQ s42 1) (GEQ s46 1) (GEQ s57 1)) (AND (GEQ s1 1) (GEQ s35 1) (GEQ s46 1) (GEQ s59 1)) (AND (GEQ s1 1) (GEQ s40 1) (GEQ s46 1) (GEQ s58 1)) (AND (GEQ s1 1) (GEQ s26 1) (GEQ s46 1) (GEQ s54 1)) (AND (GEQ s1 1) (GEQ s35 1) (GEQ s46 1) (GEQ s51 1)) (AND (GEQ s1 1) (GEQ s40 1) (GEQ s46 1) (GEQ s50 1)) (AND (GEQ s1 1) (GEQ s31 1) (GEQ s46 1) (GEQ s53 1)) (AND (GEQ s1 1) (GEQ s30 1) (GEQ s46 1) (GEQ s60 1)) (AND (GEQ s1 1) (GEQ s43 1) (GEQ s46 1) (GEQ s54 1)) (AND (GEQ s1 1) (GEQ s36 1) (GEQ s46 1) (GEQ s52 1)) (AND (GEQ s1 1) (GEQ s25 1) (GEQ s46 1) (GEQ s53 1)) (AND (GEQ s1 1) (GEQ s38 1) (GEQ s46 1) (GEQ s55 1)) (AND (GEQ s1 1) (GEQ s30 1) (GEQ s46 1) (GEQ s52 1)) (AND (GEQ s1 1) (GEQ s33 1) (GEQ s46 1) (GEQ s56 1)) (AND (GEQ s1 1) (GEQ s36 1) (GEQ s46 1) (GEQ s60 1)) (AND (GEQ s1 1) (GEQ s28 1) (GEQ s46 1) (GEQ s57 1)) (AND (GEQ s1 1) (GEQ s41 1) (GEQ s46 1) (GEQ s60 1)) (AND (GEQ s1 1) (GEQ s41 1) (GEQ s46 1) (GEQ s59 1)) (AND (GEQ s1 1) (GEQ s34 1) (GEQ s46 1) (GEQ s57 1)) (AND (GEQ s1 1) (GEQ s27 1) (GEQ s46 1) (GEQ s55 1)) (AND (GEQ s1 1) (GEQ s29 1) (GEQ s46 1) (GEQ s58 1)) (AND (GEQ s1 1) (GEQ s41 1) (GEQ s46 1) (GEQ s51 1)) (AND (GEQ s1 1) (GEQ s39 1) (GEQ s46 1) (GEQ s56 1)) (AND (GEQ s1 1) (GEQ s44 1) (GEQ s46 1) (GEQ s55 1)) (AND (GEQ s1 1) (GEQ s37 1) (GEQ s46 1) (GEQ s53 1)) (AND (GEQ s1 1) (GEQ s32 1) (GEQ s46 1) (GEQ s54 1)) (AND (GEQ s1 1) (GEQ s29 1) (GEQ s46 1) (GEQ s50 1)) (AND (GEQ s1 1) (GEQ s42 1) (GEQ s46 1) (GEQ s52 1)) (AND (GEQ s1 1) (GEQ s35 1) (GEQ s46 1) (GEQ s58 1)) (AND (GEQ s1 1) (GEQ s40 1) (GEQ s46 1) (GEQ s57 1)) (AND (GEQ s1 1) (GEQ s28 1) (GEQ s46 1) (GEQ s50 1)) (AND (GEQ s1 1) (GEQ s42 1) (GEQ s46 1) (GEQ s60 1)) (AND (GEQ s1 1) (GEQ s26 1) (GEQ s46 1) (GEQ s53 1)) (AND (GEQ s1 1) (GEQ s35 1) (GEQ s46 1) (GEQ s50 1)) (AND (GEQ s1 1) (GEQ s25 1) (GEQ s46 1) (GEQ s60 1)) (AND (GEQ s1 1) (GEQ s31 1) (GEQ s46 1) (GEQ s52 1)) (AND (GEQ s1 1) (GEQ s30 1) (GEQ s46 1) (GEQ s59 1)) (AND (GEQ s1 1) (GEQ s43 1) (GEQ s46 1) (GEQ s55 1)) (AND (GEQ s1 1) (GEQ s36 1) (GEQ s46 1) (GEQ s51 1)) (AND (GEQ s1 1) (GEQ s44 1) (GEQ s46 1) (GEQ s57 1)) (AND (GEQ s1 1) (GEQ s25 1) (GEQ s46 1) (GEQ s52 1)) (AND (GEQ s1 1) (GEQ s38 1) (GEQ s46 1) (GEQ s56 1)) (AND (GEQ s1 1) (GEQ s30 1) (GEQ s46 1) (GEQ s51 1)) (AND (GEQ s1 1) (GEQ s31 1) (GEQ s46 1) (GEQ s60 1)) (AND (GEQ s1 1) (GEQ s36 1) (GEQ s46 1) (GEQ s59 1)) (AND (GEQ s1 1) (GEQ s33 1) (GEQ s46 1) (GEQ s57 1)) (AND (GEQ s1 1) (GEQ s28 1) (GEQ s46 1) (GEQ s58 1)) (AND (GEQ s1 1) (GEQ s41 1) (GEQ s46 1) (GEQ s58 1)) (AND (GEQ s1 1) (GEQ s34 1) (GEQ s46 1) (GEQ s58 1)) (AND (GEQ s1 1) (GEQ s27 1) (GEQ s46 1) (GEQ s54 1)) (AND (GEQ s1 1) (GEQ s29 1) (GEQ s46 1) (GEQ s59 1)) (AND (GEQ s1 1) (GEQ s39 1) (GEQ s46 1) (GEQ s57 1)) (AND (GEQ s1 1) (GEQ s41 1) (GEQ s46 1) (GEQ s50 1)) (AND (GEQ s1 1) (GEQ s44 1) (GEQ s46 1) (GEQ s56 1)) (AND (GEQ s1 1) (GEQ s37 1) (GEQ s46 1) (GEQ s52 1)) (AND (GEQ s1 1) (GEQ s32 1) (GEQ s46 1) (GEQ s53 1)) (AND (GEQ s1 1) (GEQ s34 1) (GEQ s46 1) (GEQ s50 1)) (AND (GEQ s1 1) (GEQ s37 1) (GEQ s46 1) (GEQ s60 1)) (AND (GEQ s1 1) (GEQ s29 1) (GEQ s46 1) (GEQ s51 1)) (AND (GEQ s1 1) (GEQ s42 1) (GEQ s46 1) (GEQ s51 1)) (AND (GEQ s1 1) (GEQ s28 1) (GEQ s46 1) (GEQ s51 1)) (AND (GEQ s1 1) (GEQ s40 1) (GEQ s46 1) (GEQ s60 1)) (AND (GEQ s1 1) (GEQ s26 1) (GEQ s46 1) (GEQ s52 1)) (AND (GEQ s1 1) (GEQ s35 1) (GEQ s46 1) (GEQ s57 1)) (AND (GEQ s1 1) (GEQ s40 1) (GEQ s46 1) (GEQ s52 1)) (AND (GEQ s1 1) (GEQ s31 1) (GEQ s46 1) (GEQ s55 1)) (AND (GEQ s1 1) (GEQ s36 1) (GEQ s46 1) (GEQ s50 1)) (AND (GEQ s1 1) (GEQ s25 1) (GEQ s46 1) (GEQ s59 1)) (AND (GEQ s1 1) (GEQ s26 1) (GEQ s46 1) (GEQ s60 1)) (AND (GEQ s1 1) (GEQ s30 1) (GEQ s46 1) (GEQ s54 1)) (AND (GEQ s1 1) (GEQ s43 1) (GEQ s46 1) (GEQ s52 1)) (AND (GEQ s1 1) (GEQ s38 1) (GEQ s46 1) (GEQ s57 1)) (AND (GEQ s1 1) (GEQ s36 1) (GEQ s46 1) (GEQ s58 1)) (AND (GEQ s1 1) (GEQ s25 1) (GEQ s46 1) (GEQ s51 1)) (AND (GEQ s1 1) (GEQ s33 1) (GEQ s46 1) (GEQ s54 1)) (AND (GEQ s1 1) (GEQ s28 1) (GEQ s46 1) (GEQ s59 1)) (AND (GEQ s1 1) (GEQ s34 1) (GEQ s46 1) (GEQ s55 1)) (AND (GEQ s1 1) (GEQ s29 1) (GEQ s46 1) (GEQ s60 1)) (AND (GEQ s1 1) (GEQ s27 1) (GEQ s46 1) (GEQ s53 1)) (AND (GEQ s1 1) (GEQ s41 1) (GEQ s46 1) (GEQ s53 1)) (AND (GEQ s1 1) (GEQ s39 1) (GEQ s46 1) (GEQ s50 1)) (AND (GEQ s1 1) (GEQ s44 1) (GEQ s46 1) (GEQ s53 1)) (AND (GEQ s1 1) (GEQ s39 1) (GEQ s46 1) (GEQ s58 1)) (AND (GEQ s1 1) (GEQ s32 1) (GEQ s46 1) (GEQ s56 1)) (AND (GEQ s1 1) (GEQ s37 1) (GEQ s46 1) (GEQ s51 1)) (AND (GEQ s1 1) (GEQ s29 1) (GEQ s46 1) (GEQ s52 1)) (AND (GEQ s1 1) (GEQ s37 1) (GEQ s46 1) (GEQ s59 1)) (AND (GEQ s1 1) (GEQ s42 1) (GEQ s46 1) (GEQ s54 1)) (AND (GEQ s1 1) (GEQ s28 1) (GEQ s46 1) (GEQ s52 1)) (AND (GEQ s1 1) (GEQ s40 1) (GEQ s46 1) (GEQ s59 1)) (AND (GEQ s1 1) (GEQ s26 1) (GEQ s46 1) (GEQ s51 1)) (AND (GEQ s1 1) (GEQ s35 1) (GEQ s46 1) (GEQ s56 1)) (AND (GEQ s1 1) (GEQ s40 1) (GEQ s46 1) (GEQ s51 1)) (AND (GEQ s1 1) (GEQ s31 1) (GEQ s46 1) (GEQ s54 1)) (AND (GEQ s1 1) (GEQ s25 1) (GEQ s46 1) (GEQ s58 1)) (AND (GEQ s1 1) (GEQ s26 1) (GEQ s46 1) (GEQ s59 1)) (AND (GEQ s1 1) (GEQ s30 1) (GEQ s46 1) (GEQ s53 1)) (AND (GEQ s1 1) (GEQ s38 1) (GEQ s46 1) (GEQ s58 1)) (AND (GEQ s1 1) (GEQ s43 1) (GEQ s46 1) (GEQ s53 1)) (AND (GEQ s1 1) (GEQ s36 1) (GEQ s46 1) (GEQ s57 1)) (AND (GEQ s1 1) (GEQ s25 1) (GEQ s46 1) (GEQ s50 1)) (AND (GEQ s1 1) (GEQ s33 1) (GEQ s46 1) (GEQ s55 1)) (AND (GEQ s1 1) (GEQ s28 1) (GEQ s46 1) (GEQ s60 1)) (AND (GEQ s1 1) (GEQ s38 1) (GEQ s46 1) (GEQ s50 1)) (AND (GEQ s1 1) (GEQ s34 1) (GEQ s46 1) (GEQ s56 1)) (AND (GEQ s1 1) (GEQ s27 1) (GEQ s46 1) (GEQ s52 1)) (AND (GEQ s1 1) (GEQ s39 1) (GEQ s46 1) (GEQ s51 1)) (AND (GEQ s1 1) (GEQ s41 1) (GEQ s46 1) (GEQ s52 1)) (AND (GEQ s1 1) (GEQ s39 1) (GEQ s46 1) (GEQ s59 1)) (AND (GEQ s1 1) (GEQ s44 1) (GEQ s46 1) (GEQ s54 1)) (AND (GEQ s1 1) (GEQ s37 1) (GEQ s46 1) (GEQ s50 1)) (AND (GEQ s1 1) (GEQ s32 1) (GEQ s46 1) (GEQ s55 1)) (AND (GEQ s1 1) (GEQ s29 1) (GEQ s46 1) (GEQ s53 1)) (AND (GEQ s1 1) (GEQ s27 1) (GEQ s46 1) (GEQ s60 1)) (AND (GEQ s1 1) (GEQ s42 1) (GEQ s46 1) (GEQ s53 1)) (AND (GEQ s1 1) (GEQ s37 1) (GEQ s46 1) (GEQ s58 1))))], nbAcceptance=1, properties=[trans-labels, explicit-labels, trans-acc, deterministic, no-univ-branch, unambiguous, semi-deterministic, very-weak, weak, inherently-weak], stateDesc=[null, null][false, false]]
Stuttering criterion allowed to conclude after 175 steps with 2 reset in 4 ms.
FORMULA BridgeAndVehicles-COL-V20P20N10-03 FALSE TECHNIQUES STUTTER_TEST
Treatment of property BridgeAndVehicles-COL-V20P20N10-03 finished in 848 ms.
Running Spot : CommandLine [args=[/home/mcc/BenchKit/bin//..//ltl2tgba, --hoaf=tv, -f, !(F((G(F(p0))||(p1 U (G(p1)||(G(p3)&&p2))))))], workingDir=/home/mcc/execution]
Support contains 57 out of 66 places. Attempting structural reductions.
Starting structural reductions, iteration 0 : 66/66 places, 548/548 transitions.
Discarding 1 places :
Symmetric choice reduction at 0 with 1 rule applications. Total rules 1 place count 65 transition count 547
Iterating global reduction 0 with 1 rules applied. Total rules applied 2 place count 65 transition count 547
Applied a total of 2 rules in 13 ms. Remains 65 /66 variables (removed 1) and now considering 547/548 (removed 1) transitions.
[2021-05-08 22:10:00] [INFO ] Flow matrix only has 129 transitions (discarded 418 similar events)
// Phase 1: matrix 129 rows 65 cols
[2021-05-08 22:10:00] [INFO ] Computed 5 place invariants in 1 ms
[2021-05-08 22:10:00] [INFO ] Dead Transitions using invariants and state equation in 168 ms returned []
[2021-05-08 22:10:00] [INFO ] Flow matrix only has 129 transitions (discarded 418 similar events)
// Phase 1: matrix 129 rows 65 cols
[2021-05-08 22:10:00] [INFO ] Computed 5 place invariants in 1 ms
[2021-05-08 22:10:00] [INFO ] Implicit Places using invariants in 28 ms returned []
[2021-05-08 22:10:00] [INFO ] Flow matrix only has 129 transitions (discarded 418 similar events)
// Phase 1: matrix 129 rows 65 cols
[2021-05-08 22:10:00] [INFO ] Computed 5 place invariants in 1 ms
[2021-05-08 22:10:00] [INFO ] State equation strengthened by 22 read => feed constraints.
[2021-05-08 22:10:00] [INFO ] Implicit Places using invariants and state equation in 105 ms returned []
Implicit Place search using SMT with State Equation took 134 ms to find 0 implicit places.
[2021-05-08 22:10:00] [INFO ] Redundant transitions in 4 ms returned []
[2021-05-08 22:10:00] [INFO ] Flow matrix only has 129 transitions (discarded 418 similar events)
// Phase 1: matrix 129 rows 65 cols
[2021-05-08 22:10:00] [INFO ] Computed 5 place invariants in 1 ms
[2021-05-08 22:10:00] [INFO ] Dead Transitions using invariants and state equation in 217 ms returned []
Finished structural reductions, in 1 iterations. Remains : 65/66 places, 547/548 transitions.
Stuttering acceptance computed with spot in 233 ms :[(OR (AND (NOT p0) (NOT p1) (NOT p2)) (AND (NOT p0) (NOT p1) (NOT p3))), (AND (NOT p0) (NOT p1) (NOT p3)), (AND (NOT p0) (NOT p1) (NOT p3)), (OR (AND (NOT p0) (NOT p1) (NOT p2)) (AND (NOT p0) (NOT p1) (NOT p3)))]
Running random walk in product with property : BridgeAndVehicles-COL-V20P20N10-04 automaton TGBA [mat=[[{ cond=(OR (NOT p2) (NOT p3)), acceptance={} source=0 dest: 0}, { cond=(AND p2 p3), acceptance={} source=0 dest: 1}, { cond=(AND (NOT p0) p2 p3 (NOT p1)), acceptance={} source=0 dest: 2}, { cond=(OR (AND (NOT p0) (NOT p2)) (AND (NOT p0) (NOT p3))), acceptance={} source=0 dest: 3}], [{ cond=(NOT p3), acceptance={} source=1 dest: 0}, { cond=p3, acceptance={} source=1 dest: 1}, { cond=(AND (NOT p0) p3 (NOT p1)), acceptance={} source=1 dest: 2}, { cond=(AND (NOT p0) (NOT p3)), acceptance={} source=1 dest: 3}], [{ cond=(AND (NOT p0) p3 (NOT p1)), acceptance={1} source=2 dest: 2}, { cond=(AND (NOT p0) p3 p1), acceptance={} source=2 dest: 2}, { cond=(AND (NOT p0) (NOT p3) (NOT p1)), acceptance={0, 1} source=2 dest: 3}, { cond=(AND (NOT p0) (NOT p3) p1), acceptance={0} source=2 dest: 3}], [{ cond=(AND (NOT p0) p2 p3 (NOT p1)), acceptance={1} source=3 dest: 2}, { cond=(AND (NOT p0) p2 p3 p1), acceptance={} source=3 dest: 2}, { cond=(OR (AND (NOT p0) (NOT p2) (NOT p1)) (AND (NOT p0) (NOT p3) (NOT p1))), acceptance={0, 1} source=3 dest: 3}, { cond=(OR (AND (NOT p0) (NOT p2) p1) (AND (NOT p0) (NOT p3) p1)), acceptance={0} source=3 dest: 3}]], initial=0, aps=[p2:(AND (OR (LT s20 1) (LT s25 1) (LT s49 1) (LT s55 1)) (OR (LT s5 1) (LT s25 1) (LT s49 1) (LT s59 1)) (OR (LT s3 1) (LT s25 1) (LT s49 1) (LT s53 1)) (OR (LT s2 1) (LT s25 1) (LT s49 1) (LT s58 1)) (OR (LT s19 1) (LT s25 1) (LT s49 1) (LT s52 1)) (OR (LT s7 1) (LT s25 1) (LT s49 1) (LT s57 1)) (OR (LT s6 1) (LT s25 1) (LT s49 1) (LT s62 1)) (OR (LT s17 1) (LT s25 1) (LT s49 1) (LT s54 1)) (OR (LT s18 1) (LT s25 1) (LT s49 1) (LT s57 1)) (OR (LT s6 1) (LT s25 1) (LT s49 1) (LT s54 1)) (OR (LT s15 1) (LT s25 1) (LT s49 1) (LT s56 1)) (OR (LT s16 1) (LT s25 1) (LT s49 1) (LT s59 1)) (OR (LT s4 1) (LT s25 1) (LT s49 1) (LT s56 1)) (OR (LT s3 1) (LT s25 1) (LT s49 1) (LT s61 1)) (OR (LT s11 1) (LT s25 1) (LT s49 1) (LT s52 1)) (OR (LT s12 1) (LT s25 1) (LT s49 1) (LT s55 1)) (OR (LT s13 1) (LT s25 1) (LT s49 1) (LT s58 1)) (OR (LT s14 1) (LT s25 1) (LT s49 1) (LT s61 1)) (OR (LT s11 1) (LT s25 1) (LT s49 1) (LT s60 1)) (OR (LT s14 1) (LT s25 1) (LT s49 1) (LT s53 1)) (OR (LT s19 1) (LT s25 1) (LT s49 1) (LT s61 1)) (OR (LT s9 1) (LT s25 1) (LT s49 1) (LT s54 1)) (OR (LT s8 1) (LT s25 1) (LT s49 1) (LT s59 1)) (OR (LT s21 1) (LT s25 1) (LT s49 1) (LT s59 1)) (OR (LT s10 1) (LT s25 1) (LT s49 1) (LT s57 1)) (OR (LT s9 1) (LT s25 1) (LT s49 1) (LT s62 1)) (OR (LT s21 1) (LT s25 1) (LT s49 1) (LT s57 1)) (OR (LT s2 1) (LT s25 1) (LT s49 1) (LT s57 1)) (OR (LT s20 1) (LT s25 1) (LT s49 1) (LT s56 1)) (OR (LT s3 1) (LT s25 1) (LT s49 1) (LT s54 1)) (OR (LT s5 1) (LT s25 1) (LT s49 1) (LT s60 1)) (OR (LT s7 1) (LT s25 1) (LT s49 1) (LT s58 1)) (OR (LT s19 1) (LT s25 1) (LT s49 1) (LT s59 1)) (OR (LT s6 1) (LT s25 1) (LT s49 1) (LT s61 1)) (OR (LT s18 1) (LT s25 1) (LT s49 1) (LT s58 1)) (OR (LT s16 1) (LT s25 1) (LT s49 1) (LT s52 1)) (OR (LT s17 1) (LT s25 1) (LT s49 1) (LT s61 1)) (OR (LT s15 1) (LT s25 1) (LT s49 1) (LT s55 1)) (OR (LT s6 1) (LT s25 1) (LT s49 1) (LT s53 1)) (OR (LT s16 1) (LT s25 1) (LT s49 1) (LT s60 1)) (OR (LT s17 1) (LT s25 1) (LT s49 1) (LT s53 1)) (OR (LT s4 1) (LT s25 1) (LT s49 1) (LT s55 1)) (OR (LT s3 1) (LT s25 1) (LT s49 1) (LT s62 1)) (OR (LT s5 1) (LT s25 1) (LT s49 1) (LT s52 1)) (OR (LT s14 1) (LT s25 1) (LT s49 1) (LT s62 1)) (OR (LT s12 1) (LT s25 1) (LT s49 1) (LT s56 1)) (OR (LT s11 1) (LT s25 1) (LT s49 1) (LT s59 1)) (OR (LT s9 1) (LT s25 1) (LT s49 1) (LT s53 1)) (OR (LT s14 1) (LT s25 1) (LT s49 1) (LT s54 1)) (OR (LT s17 1) (LT s25 1) (LT s49 1) (LT s62 1)) (OR (LT s8 1) (LT s25 1) (LT s49 1) (LT s52 1)) (OR (LT s13 1) (LT s25 1) (LT s49 1) (LT s57 1)) (OR (LT s9 1) (LT s25 1) (LT s49 1) (LT s61 1)) (OR (LT s19 1) (LT s25 1) (LT s49 1) (LT s60 1)) (OR (LT s8 1) (LT s25 1) (LT s49 1) (LT s60 1)) (OR (LT s21 1) (LT s25 1) (LT s49 1) (LT s58 1)) (OR (LT s10 1) (LT s25 1) (LT s49 1) (LT s58 1)) (OR (LT s20 1) (LT s25 1) (LT s49 1) (LT s53 1)) (OR (LT s4 1) (LT s25 1) (LT s49 1) (LT s62 1)) (OR (LT s21 1) (LT s25 1) (LT s49 1) (LT s52 1)) (OR (LT s3 1) (LT s25 1) (LT s49 1) (LT s55 1)) (OR (LT s2 1) (LT s25 1) (LT s49 1) (LT s60 1)) (OR (LT s19 1) (LT s25 1) (LT s49 1) (LT s58 1)) (OR (LT s7 1) (LT s25 1) (LT s49 1) (LT s59 1)) (OR (LT s2 1) (LT s25 1) (LT s49 1) (LT s52 1)) (OR (LT s17 1) (LT s25 1) (LT s49 1) (LT s56 1)) (OR (LT s18 1) (LT s25 1) (LT s49 1) (LT s59 1)) (OR (LT s15 1) (LT s25 1) (LT s49 1) (LT s54 1)) (OR (LT s6 1) (LT s25 1) (LT s49 1) (LT s56 1)) (OR (LT s4 1) (LT s25 1) (LT s49 1) (LT s54 1)) (OR (LT s5 1) (LT s25 1) (LT s49 1) (LT s57 1)) (OR (LT s16 1) (LT s25 1) (LT s49 1) (LT s57 1)) (OR (LT s15 1) (LT s25 1) (LT s49 1) (LT s62 1)) (OR (LT s13 1) (LT s25 1) (LT s49 1) (LT s60 1)) (OR (LT s12 1) (LT s25 1) (LT s49 1) (LT s53 1)) (OR (LT s11 1) (LT s25 1) (LT s49 1) (LT s58 1)) (OR (LT s13 1) (LT s25 1) (LT s49 1) (LT s52 1)) (OR (LT s14 1) (LT s25 1) (LT s49 1) (LT s55 1)) (OR (LT s12 1) (LT s25 1) (LT s49 1) (LT s61 1)) (OR (LT s8 1) (LT s25 1) (LT s49 1) (LT s57 1)) (OR (LT s9 1) (LT s25 1) (LT s49 1) (LT s56 1)) (OR (LT s20 1) (LT s25 1) (LT s49 1) (LT s62 1)) (OR (LT s10 1) (LT s25 1) (LT s49 1) (LT s59 1)) (OR (LT s21 1) (LT s25 1) (LT s49 1) (LT s61 1)) (OR (LT s3 1) (LT s25 1) (LT s49 1) (LT s56 1)) (OR (LT s20 1) (LT s25 1) (LT s49 1) (LT s54 1)) (OR (LT s4 1) (LT s25 1) (LT s49 1) (LT s61 1)) (OR (LT s5 1) (LT s25 1) (LT s49 1) (LT s58 1)) (OR (LT s2 1) (LT s25 1) (LT s49 1) (LT s59 1)) (OR (LT s7 1) (LT s25 1) (LT s49 1) (LT s60 1)) (OR (LT s19 1) (LT s25 1) (LT s49 1) (LT s57 1)) (OR (LT s18 1) (LT s25 1) (LT s49 1) (LT s60 1)) (OR (LT s7 1) (LT s25 1) (LT s49 1) (LT s52 1)) (OR (LT s17 1) (LT s25 1) (LT s49 1) (LT s55 1)) (OR (LT s6 1) (LT s25 1) (LT s49 1) (LT s55 1)) (OR (LT s15 1) (LT s25 1) (LT s49 1) (LT s53 1)) (OR (LT s18 1) (LT s25 1) (LT s49 1) (LT s52 1)) (OR (LT s4 1) (LT s25 1) (LT s49 1) (LT s53 1)) (OR (LT s16 1) (LT s25 1) (LT s49 1) (LT s58 1)) (OR (LT s15 1) (LT s25 1) (LT s49 1) (LT s61 1)) (OR (LT s13 1) (LT s25 1) (LT s49 1) (LT s59 1)) (OR (LT s10 1) (LT s25 1) (LT s49 1) (LT s52 1)) (OR (LT s11 1) (LT s25 1) (LT s49 1) (LT s57 1)) (OR (LT s12 1) (LT s25 1) (LT s49 1) (LT s54 1)) (OR (LT s14 1) (LT s25 1) (LT s49 1) (LT s56 1)) (OR (LT s18 1) (LT s25 1) (LT s49 1) (LT s61 1)) (OR (LT s12 1) (LT s25 1) (LT s49 1) (LT s62 1)) (OR (LT s8 1) (LT s25 1) (LT s49 1) (LT s58 1)) (OR (LT s9 1) (LT s25 1) (LT s49 1) (LT s55 1)) (OR (LT s10 1) (LT s25 1) (LT s49 1) (LT s60 1)) (OR (LT s21 1) (LT s25 1) (LT s49 1) (LT s60 1)) (OR (LT s21 1) (LT s25 1) (LT s49 1) (LT s54 1)) (OR (LT s4 1) (LT s25 1) (LT s49 1) (LT s60 1)) (OR (LT s2 1) (LT s25 1) (LT s49 1) (LT s54 1)) (OR (LT s3 1) (LT s25 1) (LT s49 1) (LT s57 1)) (OR (LT s6 1) (LT s25 1) (LT s49 1) (LT s58 1)) (OR (LT s7 1) (LT s25 1) (LT s49 1) (LT s61 1)) (OR (LT s19 1) (LT s25 1) (LT s49 1) (LT s56 1)) (OR (LT s7 1) (LT s25 1) (LT s49 1) (LT s53 1)) (OR (LT s15 1) (LT s25 1) (LT s49 1) (LT s52 1)) (OR (LT s17 1) (LT s25 1) (LT s49 1) (LT s58 1)) (OR (LT s18 1) (LT s25 1) (LT s49 1) (LT s53 1)) (OR (LT s4 1) (LT s25 1) (LT s49 1) (LT s52 1)) (OR (LT s5 1) (LT s25 1) (LT s49 1) (LT s55 1)) (OR (LT s2 1) (LT s25 1) (LT s49 1) (LT s62 1)) (OR (LT s16 1) (LT s25 1) (LT s49 1) (LT s55 1)) (OR (LT s15 1) (LT s25 1) (LT s49 1) (LT s60 1)) (OR (LT s11 1) (LT s25 1) (LT s49 1) (LT s56 1)) (OR (LT s14 1) (LT s25 1) (LT s49 1) (LT s57 1)) (OR (LT s13 1) (LT s25 1) (LT s49 1) (LT s62 1)) (OR (LT s12 1) (LT s25 1) (LT s49 1) (LT s59 1)) (OR (LT s13 1) (LT s25 1) (LT s49 1) (LT s54 1)) (OR (LT s18 1) (LT s25 1) (LT s49 1) (LT s62 1)) (OR (LT s8 1) (LT s25 1) (LT s49 1) (LT s55 1)) (OR (LT s9 1) (LT s25 1) (LT s49 1) (LT s58 1)) (OR (LT s10 1) (LT s25 1) (LT s49 1) (LT s61 1)) (OR (LT s20 1) (LT s25 1) (LT s49 1) (LT s60 1)) (OR (LT s10 1) (LT s25 1) (LT s49 1) (LT s53 1)) (OR (LT s2 1) (LT s25 1) (LT s49 1) (LT s53 1)) (OR (LT s20 1) (LT s25 1) (LT s49 1) (LT s52 1)) (OR (LT s4 1) (LT s25 1) (LT s49 1) (LT s59 1)) (OR (LT s3 1) (LT s25 1) (LT s49 1) (LT s58 1)) (OR (LT s21 1) (LT s25 1) (LT s49 1) (LT s53 1)) (OR (LT s19 1) (LT s25 1) (LT s49 1) (LT s55 1)) (OR (LT s7 1) (LT s25 1) (LT s49 1) (LT s62 1)) (OR (LT s6 1) (LT s25 1) (LT s49 1) (LT s57 1)) (OR (LT s7 1) (LT s25 1) (LT s49 1) (LT s54 1)) (OR (LT s17 1) (LT s25 1) (LT s49 1) (LT s57 1)) (OR (LT s18 1) (LT s25 1) (LT s49 1) (LT s54 1)) (OR (LT s2 1) (LT s25 1) (LT s49 1) (LT s61 1)) (OR (LT s15 1) (LT s25 1) (LT s49 1) (LT s59 1)) (OR (LT s5 1) (LT s25 1) (LT s49 1) (LT s56 1)) (OR (LT s16 1) (LT s25 1) (LT s49 1) (LT s56 1)) (OR (LT s13 1) (LT s25 1) (LT s49 1) (LT s61 1)) (OR (LT s11 1) (LT s25 1) (LT s49 1) (LT s55 1)) (OR (LT s14 1) (LT s25 1) (LT s49 1) (LT s58 1)) (OR (LT s12 1) (LT s25 1) (LT s49 1) (LT s52 1)) (OR (LT s13 1) (LT s25 1) (LT s49 1) (LT s53 1)) (OR (LT s12 1) (LT s25 1) (LT s49 1) (LT s60 1)) (OR (LT s8 1) (LT s25 1) (LT s49 1) (LT s56 1)) (OR (LT s9 1) (LT s25 1) (LT s49 1) (LT s57 1)) (OR (LT s10 1) (LT s25 1) (LT s49 1) (LT s62 1)) (OR (LT s21 1) (LT s25 1) (LT s49 1) (LT s62 1)) (OR (LT s10 1) (LT s25 1) (LT s49 1) (LT s54 1)) (OR (LT s20 1) (LT s25 1) (LT s49 1) (LT s61 1)) (OR (LT s21 1) (LT s25 1) (LT s49 1) (LT s56 1)) (OR (LT s2 1) (LT s25 1) (LT s49 1) (LT s56 1)) (OR (LT s3 1) (LT s25 1) (LT s49 1) (LT s59 1)) (OR (LT s20 1) (LT s25 1) (LT s49 1) (LT s57 1)) (OR (LT s5 1) (LT s25 1) (LT s49 1) (LT s61 1)) (OR (LT s19 1) (LT s25 1) (LT s49 1) (LT s54 1)) (OR (LT s6 1) (LT s25 1) (LT s49 1) (LT s60 1)) (OR (LT s16 1) (LT s25 1) (LT s49 1) (LT s53 1)) (OR (LT s6 1) (LT s25 1) (LT s49 1) (LT s52 1)) (OR (LT s7 1) (LT s25 1) (LT s49 1) (LT s55 1)) (OR (LT s18 1) (LT s25 1) (LT s49 1) (LT s55 1)) (OR (LT s17 1) (LT s25 1) (LT s49 1) (LT s60 1)) (OR (LT s15 1) (LT s25 1) (LT s49 1) (LT s58 1)) (OR (LT s16 1) (LT s25 1) (LT s49 1) (LT s61 1)) (OR (LT s17 1) (LT s25 1) (LT s49 1) (LT s52 1)) (OR (LT s5 1) (LT s25 1) (LT s49 1) (LT s53 1)) (OR (LT s4 1) (LT s25 1) (LT s49 1) (LT s58 1)) (OR (LT s11 1) (LT s25 1) (LT s49 1) (LT s54 1)) (OR (LT s12 1) (LT s25 1) (LT s49 1) (LT s57 1)) (OR (LT s14 1) (LT s25 1) (LT s49 1) (LT s59 1)) (OR (LT s9 1) (LT s25 1) (LT s49 1) (LT s52 1)) (OR (LT s11 1) (LT s25 1) (LT s49 1) (LT s62 1)) (OR (LT s8 1) (LT s25 1) (LT s49 1) (LT s53 1)) (OR (LT s13 1) (LT s25 1) (LT s49 1) (LT s56 1)) (OR (LT s9 1) (LT s25 1) (LT s49 1) (LT s60 1)) (OR (LT s8 1) (LT s25 1) (LT s49 1) (LT s61 1)) (OR (LT s10 1) (LT s25 1) (LT s49 1) (LT s55 1)) (OR (LT s21 1) (LT s25 1) (LT s49 1) (LT s55 1)) (OR (LT s5 1) (LT s25 1) (LT s49 1) (LT s62 1)) (OR (LT s2 1) (LT s25 1) (LT s49 1) (LT s55 1)) (OR (LT s3 1) (LT s25 1) (LT s49 1) (LT s52 1)) (OR (LT s20 1) (LT s25 1) (LT s49 1) (LT s58 1)) (OR (LT s6 1) (LT s25 1) (LT s49 1) (LT s59 1)) (OR (LT s19 1) (LT s25 1) (LT s49 1) (LT s53 1)) (OR (LT s7 1) (LT s25 1) (LT s49 1) (LT s56 1)) (OR (LT s16 1) (LT s25 1) (LT s49 1) (LT s54 1)) (OR (LT s18 1) (LT s25 1) (LT s49 1) (LT s56 1)) (OR (LT s17 1) (LT s25 1) (LT s49 1) (LT s59 1)) (OR (LT s5 1) (LT s25 1) (LT s49 1) (LT s54 1)) (OR (LT s16 1) (LT s25 1) (LT s49 1) (LT s62 1)) (OR (LT s15 1) (LT s25 1) (LT s49 1) (LT s57 1)) (OR (LT s3 1) (LT s25 1) (LT s49 1) (LT s60 1)) (OR (LT s4 1) (LT s25 1) (LT s49 1) (LT s57 1)) (OR (LT s11 1) (LT s25 1) (LT s49 1) (LT s53 1)) (OR (LT s12 1) (LT s25 1) (LT s49 1) (LT s58 1)) (OR (LT s14 1) (LT s25 1) (LT s49 1) (LT s60 1)) (OR (LT s11 1) (LT s25 1) (LT s49 1) (LT s61 1)) (OR (LT s8 1) (LT s25 1) (LT s49 1) (LT s54 1)) (OR (LT s13 1) (LT s25 1) (LT s49 1) (LT s55 1)) (OR (LT s14 1) (LT s25 1) (LT s49 1) (LT s52 1)) (OR (LT s19 1) (LT s25 1) (LT s49 1) (LT s62 1)) (OR (LT s9 1) (LT s25 1) (LT s49 1) (LT s59 1)) (OR (LT s8 1) (LT s25 1) (LT s49 1) (LT s62 1)) (OR (LT s10 1) (LT s25 1) (LT s49 1) (LT s56 1)) (OR (LT s20 1) (LT s25 1) (LT s49 1) (LT s59 1))), p3:(OR (AND (GEQ s0 1) (GEQ s17 1) (GEQ s23 1) (GEQ s48 1)) (AND (GEQ s0 1) (GEQ s11 1) (GEQ s23 1) (GEQ s48 1)) (AND (GEQ s0 1) (GEQ s5 1) (GEQ s23 1) (GEQ s48 1)) (AND (GEQ s0 1) (GEQ s4 1) (GEQ s23 1) (GEQ s48 1)) (AND (GEQ s0 1) (GEQ s10 1) (GEQ s23 1) (GEQ s48 1)) (AND (GEQ s0 1) (GEQ s6 1) (GEQ s23 1) (GEQ s48 1)) (AND (GEQ s0 1) (GEQ s12 1) (GEQ s23 1) (GEQ s48 1)) (AND (GEQ s0 1) (GEQ s15 1) (GEQ s23 1) (GEQ s48 1)) (AND (GEQ s0 1) (GEQ s3 1) (GEQ s23 1) (GEQ s48 1)) (AND (GEQ s0 1) (GEQ s7 1) (GEQ s23 1) (GEQ s48 1)) (AND (GEQ s0 1) (GEQ s16 1) (GEQ s23 1) (GEQ s48 1)) (AND (GEQ s0 1) (GEQ s20 1) (GEQ s23 1) (GEQ s48 1)) (AND (GEQ s0 1) (GEQ s2 1) (GEQ s23 1) (GEQ s48 1)) (AND (GEQ s0 1) (GEQ s14 1) (GEQ s23 1) (GEQ s48 1)) (AND (GEQ s0 1) (GEQ s21 1) (GEQ s23 1) (GEQ s48 1)) (AND (GEQ s0 1) (GEQ s8 1) (GEQ s23 1) (GEQ s48 1)) (AND (GEQ s0 1) (GEQ s19 1) (GEQ s23 1) (GEQ s48 1)) (AND (GEQ s0 1) (GEQ s13 1) (GEQ s23 1) (GEQ s48 1)) (AND (GEQ s0 1) (GEQ s9 1) (GEQ s23 1) (GEQ s48 1)) (AND (GEQ s0 1) (GEQ s18 1) (GEQ s23 1) (GEQ s48 1))), p0:(OR (AND (GEQ s0 1) (GEQ s29 1) (GEQ s46 1) (GEQ s49 1)) (AND (GEQ s0 1) (GEQ s36 1) (GEQ s46 1) (GEQ s49 1)) (AND (GEQ s0 1) (GEQ s41 1) (GEQ s46 1) (GEQ s49 1)) (AND (GEQ s0 1) (GEQ s30 1) (GEQ s46 1) (GEQ s49 1)) (AND (GEQ s0 1) (GEQ s43 1) (GEQ s46 1) (GEQ s49 1)) (AND (GEQ s0 1) (GEQ s31 1) (GEQ s46 1) (GEQ s49 1)) (AND (GEQ s0 1) (GEQ s40 1) (GEQ s46 1) (GEQ s49 1)) (AND (GEQ s0 1) (GEQ s44 1) (GEQ s46 1) (GEQ s49 1)) (AND (GEQ s0 1) (GEQ s35 1) (GEQ s46 1) (GEQ s49 1)) (AND (GEQ s0 1) (GEQ s45 1) (GEQ s46 1) (GEQ s49 1)) (AND (GEQ s0 1) (GEQ s26 1) (GEQ s46 1) (GEQ s49 1)) (AND (GEQ s0 1) (GEQ s39 1) (GEQ s46 1) (GEQ s49 1)) (AND (GEQ s0 1) (GEQ s27 1) (GEQ s46 1) (GEQ s49 1)) (AND (GEQ s0 1) (GEQ s38 1) (GEQ s46 1) (GEQ s49 1)) (AND (GEQ s0 1) (GEQ s32 1) (GEQ s46 1) (GEQ s49 1)) (AND (GEQ s0 1) (GEQ s28 1) (GEQ s46 1) (GEQ s49 1)) (AND (GEQ s0 1) (GEQ s34 1) (GEQ s46 1) (GEQ s49 1)) (AND (GEQ s0 1) (GEQ s37 1) (GEQ s46 1) (GEQ s49 1)) (AND (GEQ s0 1) (GEQ s33 1) (GEQ s46 1) (GEQ s49 1)) (AND (GEQ s0 1) (GEQ s42 1) (GEQ s46 1) (GEQ s49 1))), p1:(AND (OR (LT s20 1) (LT s25 1) (LT s49 1) (LT s55 1)) (OR (LT s5 1) (LT s25 1) (LT s49 1) (LT s59 1)) (OR (LT s3 1) (LT s25 1) (LT s49 1) (LT s53 1)) (OR (LT s2 1) (LT s25 1) (LT s49 1) (LT s58 1)) (OR (LT s19 1) (LT s25 1) (LT s49 1) (LT s52 1)) (OR (LT s7 1) (LT s25 1) (LT s49 1) (LT s57 1)) (OR (LT s6 1) (LT s25 1) (LT s49 1) (LT s62 1)) (OR (LT s17 1) (LT s25 1) (LT s49 1) (LT s54 1)) (OR (LT s18 1) (LT s25 1) (LT s49 1) (LT s57 1)) (OR (LT s6 1) (LT s25 1) (LT s49 1) (LT s54 1)) (OR (LT s15 1) (LT s25 1) (LT s49 1) (LT s56 1)) (OR (LT s16 1) (LT s25 1) (LT s49 1) (LT s59 1)) (OR (LT s4 1) (LT s25 1) (LT s49 1) (LT s56 1)) (OR (LT s3 1) (LT s25 1) (LT s49 1) (LT s61 1)) (OR (LT s11 1) (LT s25 1) (LT s49 1) (LT s52 1)) (OR (LT s12 1) (LT s25 1) (LT s49 1) (LT s55 1)) (OR (LT s13 1) (LT s25 1) (LT s49 1) (LT s58 1)) (OR (LT s14 1) (LT s25 1) (LT s49 1) (LT s61 1)) (OR (LT s11 1) (LT s25 1) (LT s49 1) (LT s60 1)) (OR (LT s14 1) (LT s25 1) (LT s49 1) (LT s53 1)) (OR (LT s19 1) (LT s25 1) (LT s49 1) (LT s61 1)) (OR (LT s9 1) (LT s25 1) (LT s49 1) (LT s54 1)) (OR (LT s8 1) (LT s25 1) (LT s49 1) (LT s59 1)) (OR (LT s21 1) (LT s25 1) (LT s49 1) (LT s59 1)) (OR (LT s10 1) (LT s25 1) (LT s49 1) (LT s57 1)) (OR (LT s9 1) (LT s25 1) (LT s49 1) (LT s62 1)) (OR (LT s21 1) (LT s25 1) (LT s49 1) (LT s57 1)) (OR (LT s2 1) (LT s25 1) (LT s49 1) (LT s57 1)) (OR (LT s20 1) (LT s25 1) (LT s49 1) (LT s56 1)) (OR (LT s3 1) (LT s25 1) (LT s49 1) (LT s54 1)) (OR (LT s5 1) (LT s25 1) (LT s49 1) (LT s60 1)) (OR (LT s7 1) (LT s25 1) (LT s49 1) (LT s58 1)) (OR (LT s19 1) (LT s25 1) (LT s49 1) (LT s59 1)) (OR (LT s6 1) (LT s25 1) (LT s49 1) (LT s61 1)) (OR (LT s18 1) (LT s25 1) (LT s49 1) (LT s58 1)) (OR (LT s16 1) (LT s25 1) (LT s49 1) (LT s52 1)) (OR (LT s17 1) (LT s25 1) (LT s49 1) (LT s61 1)) (OR (LT s15 1) (LT s25 1) (LT s49 1) (LT s55 1)) (OR (LT s6 1) (LT s25 1) (LT s49 1) (LT s53 1)) (OR (LT s16 1) (LT s25 1) (LT s49 1) (LT s60 1)) (OR (LT s17 1) (LT s25 1) (LT s49 1) (LT s53 1)) (OR (LT s4 1) (LT s25 1) (LT s49 1) (LT s55 1)) (OR (LT s3 1) (LT s25 1) (LT s49 1) (LT s62 1)) (OR (LT s5 1) (LT s25 1) (LT s49 1) (LT s52 1)) (OR (LT s14 1) (LT s25 1) (LT s49 1) (LT s62 1)) (OR (LT s12 1) (LT s25 1) (LT s49 1) (LT s56 1)) (OR (LT s11 1) (LT s25 1) (LT s49 1) (LT s59 1)) (OR (LT s9 1) (LT s25 1) (LT s49 1) (LT s53 1)) (OR (LT s14 1) (LT s25 1) (LT s49 1) (LT s54 1)) (OR (LT s17 1) (LT s25 1) (LT s49 1) (LT s62 1)) (OR (LT s8 1) (LT s25 1) (LT s49 1) (LT s52 1)) (OR (LT s13 1) (LT s25 1) (LT s49 1) (LT s57 1)) (OR (LT s9 1) (LT s25 1) (LT s49 1) (LT s61 1)) (OR (LT s19 1) (LT s25 1) (LT s49 1) (LT s60 1)) (OR (LT s8 1) (LT s25 1) (LT s49 1) (LT s60 1)) (OR (LT s21 1) (LT s25 1) (LT s49 1) (LT s58 1)) (OR (LT s10 1) (LT s25 1) (LT s49 1) (LT s58 1)) (OR (LT s20 1) (LT s25 1) (LT s49 1) (LT s53 1)) (OR (LT s4 1) (LT s25 1) (LT s49 1) (LT s62 1)) (OR (LT s21 1) (LT s25 1) (LT s49 1) (LT s52 1)) (OR (LT s3 1) (LT s25 1) (LT s49 1) (LT s55 1)) (OR (LT s2 1) (LT s25 1) (LT s49 1) (LT s60 1)) (OR (LT s19 1) (LT s25 1) (LT s49 1) (LT s58 1)) (OR (LT s7 1) (LT s25 1) (LT s49 1) (LT s59 1)) (OR (LT s2 1) (LT s25 1) (LT s49 1) (LT s52 1)) (OR (LT s17 1) (LT s25 1) (LT s49 1) (LT s56 1)) (OR (LT s18 1) (LT s25 1) (LT s49 1) (LT s59 1)) (OR (LT s15 1) (LT s25 1) (LT s49 1) (LT s54 1)) (OR (LT s6 1) (LT s25 1) (LT s49 1) (LT s56 1)) (OR (LT s4 1) (LT s25 1) (LT s49 1) (LT s54 1)) (OR (LT s5 1) (LT s25 1) (LT s49 1) (LT s57 1)) (OR (LT s16 1) (LT s25 1) (LT s49 1) (LT s57 1)) (OR (LT s15 1) (LT s25 1) (LT s49 1) (LT s62 1)) (OR (LT s13 1) (LT s25 1) (LT s49 1) (LT s60 1)) (OR (LT s12 1) (LT s25 1) (LT s49 1) (LT s53 1)) (OR (LT s11 1) (LT s25 1) (LT s49 1) (LT s58 1)) (OR (LT s13 1) (LT s25 1) (LT s49 1) (LT s52 1)) (OR (LT s14 1) (LT s25 1) (LT s49 1) (LT s55 1)) (OR (LT s12 1) (LT s25 1) (LT s49 1) (LT s61 1)) (OR (LT s8 1) (LT s25 1) (LT s49 1) (LT s57 1)) (OR (LT s9 1) (LT s25 1) (LT s49 1) (LT s56 1)) (OR (LT s20 1) (LT s25 1) (LT s49 1) (LT s62 1)) (OR (LT s10 1) (LT s25 1) (LT s49 1) (LT s59 1)) (OR (LT s21 1) (LT s25 1) (LT s49 1) (LT s61 1)) (OR (LT s3 1) (LT s25 1) (LT s49 1) (LT s56 1)) (OR (LT s20 1) (LT s25 1) (LT s49 1) (LT s54 1)) (OR (LT s4 1) (LT s25 1) (LT s49 1) (LT s61 1)) (OR (LT s5 1) (LT s25 1) (LT s49 1) (LT s58 1)) (OR (LT s2 1) (LT s25 1) (LT s49 1) (LT s59 1)) (OR (LT s7 1) (LT s25 1) (LT s49 1) (LT s60 1)) (OR (LT s19 1) (LT s25 1) (LT s49 1) (LT s57 1)) (OR (LT s18 1) (LT s25 1) (LT s49 1) (LT s60 1)) (OR (LT s7 1) (LT s25 1) (LT s49 1) (LT s52 1)) (OR (LT s17 1) (LT s25 1) (LT s49 1) (LT s55 1)) (OR (LT s6 1) (LT s25 1) (LT s49 1) (LT s55 1)) (OR (LT s15 1) (LT s25 1) (LT s49 1) (LT s53 1)) (OR (LT s18 1) (LT s25 1) (LT s49 1) (LT s52 1)) (OR (LT s4 1) (LT s25 1) (LT s49 1) (LT s53 1)) (OR (LT s16 1) (LT s25 1) (LT s49 1) (LT s58 1)) (OR (LT s15 1) (LT s25 1) (LT s49 1) (LT s61 1)) (OR (LT s13 1) (LT s25 1) (LT s49 1) (LT s59 1)) (OR (LT s10 1) (LT s25 1) (LT s49 1) (LT s52 1)) (OR (LT s11 1) (LT s25 1) (LT s49 1) (LT s57 1)) (OR (LT s12 1) (LT s25 1) (LT s49 1) (LT s54 1)) (OR (LT s14 1) (LT s25 1) (LT s49 1) (LT s56 1)) (OR (LT s18 1) (LT s25 1) (LT s49 1) (LT s61 1)) (OR (LT s12 1) (LT s25 1) (LT s49 1) (LT s62 1)) (OR (LT s8 1) (LT s25 1) (LT s49 1) (LT s58 1)) (OR (LT s9 1) (LT s25 1) (LT s49 1) (LT s55 1)) (OR (LT s10 1) (LT s25 1) (LT s49 1) (LT s60 1)) (OR (LT s21 1) (LT s25 1) (LT s49 1) (LT s60 1)) (OR (LT s21 1) (LT s25 1) (LT s49 1) (LT s54 1)) (OR (LT s4 1) (LT s25 1) (LT s49 1) (LT s60 1)) (OR (LT s2 1) (LT s25 1) (LT s49 1) (LT s54 1)) (OR (LT s3 1) (LT s25 1) (LT s49 1) (LT s57 1)) (OR (LT s6 1) (LT s25 1) (LT s49 1) (LT s58 1)) (OR (LT s7 1) (LT s25 1) (LT s49 1) (LT s61 1)) (OR (LT s19 1) (LT s25 1) (LT s49 1) (LT s56 1)) (OR (LT s7 1) (LT s25 1) (LT s49 1) (LT s53 1)) (OR (LT s15 1) (LT s25 1) (LT s49 1) (LT s52 1)) (OR (LT s17 1) (LT s25 1) (LT s49 1) (LT s58 1)) (OR (LT s18 1) (LT s25 1) (LT s49 1) (LT s53 1)) (OR (LT s4 1) (LT s25 1) (LT s49 1) (LT s52 1)) (OR (LT s5 1) (LT s25 1) (LT s49 1) (LT s55 1)) (OR (LT s2 1) (LT s25 1) (LT s49 1) (LT s62 1)) (OR (LT s16 1) (LT s25 1) (LT s49 1) (LT s55 1)) (OR (LT s15 1) (LT s25 1) (LT s49 1) (LT s60 1)) (OR (LT s11 1) (LT s25 1) (LT s49 1) (LT s56 1)) (OR (LT s14 1) (LT s25 1) (LT s49 1) (LT s57 1)) (OR (LT s13 1) (LT s25 1) (LT s49 1) (LT s62 1)) (OR (LT s12 1) (LT s25 1) (LT s49 1) (LT s59 1)) (OR (LT s13 1) (LT s25 1) (LT s49 1) (LT s54 1)) (OR (LT s18 1) (LT s25 1) (LT s49 1) (LT s62 1)) (OR (LT s8 1) (LT s25 1) (LT s49 1) (LT s55 1)) (OR (LT s9 1) (LT s25 1) (LT s49 1) (LT s58 1)) (OR (LT s10 1) (LT s25 1) (LT s49 1) (LT s61 1)) (OR (LT s20 1) (LT s25 1) (LT s49 1) (LT s60 1)) (OR (LT s10 1) (LT s25 1) (LT s49 1) (LT s53 1)) (OR (LT s2 1) (LT s25 1) (LT s49 1) (LT s53 1)) (OR (LT s20 1) (LT s25 1) (LT s49 1) (LT s52 1)) (OR (LT s4 1) (LT s25 1) (LT s49 1) (LT s59 1)) (OR (LT s3 1) (LT s25 1) (LT s49 1) (LT s58 1)) (OR (LT s21 1) (LT s25 1) (LT s49 1) (LT s53 1)) (OR (LT s19 1) (LT s25 1) (LT s49 1) (LT s55 1)) (OR (LT s7 1) (LT s25 1) (LT s49 1) (LT s62 1)) (OR (LT s6 1) (LT s25 1) (LT s49 1) (LT s57 1)) (OR (LT s7 1) (LT s25 1) (LT s49 1) (LT s54 1)) (OR (LT s17 1) (LT s25 1) (LT s49 1) (LT s57 1)) (OR (LT s18 1) (LT s25 1) (LT s49 1) (LT s54 1)) (OR (LT s2 1) (LT s25 1) (LT s49 1) (LT s61 1)) (OR (LT s15 1) (LT s25 1) (LT s49 1) (LT s59 1)) (OR (LT s5 1) (LT s25 1) (LT s49 1) (LT s56 1)) (OR (LT s16 1) (LT s25 1) (LT s49 1) (LT s56 1)) (OR (LT s13 1) (LT s25 1) (LT s49 1) (LT s61 1)) (OR (LT s11 1) (LT s25 1) (LT s49 1) (LT s55 1)) (OR (LT s14 1) (LT s25 1) (LT s49 1) (LT s58 1)) (OR (LT s12 1) (LT s25 1) (LT s49 1) (LT s52 1)) (OR (LT s13 1) (LT s25 1) (LT s49 1) (LT s53 1)) (OR (LT s12 1) (LT s25 1) (LT s49 1) (LT s60 1)) (OR (LT s8 1) (LT s25 1) (LT s49 1) (LT s56 1)) (OR (LT s9 1) (LT s25 1) (LT s49 1) (LT s57 1)) (OR (LT s10 1) (LT s25 1) (LT s49 1) (LT s62 1)) (OR (LT s21 1) (LT s25 1) (LT s49 1) (LT s62 1)) (OR (LT s10 1) (LT s25 1) (LT s49 1) (LT s54 1)) (OR (LT s20 1) (LT s25 1) (LT s49 1) (LT s61 1)) (OR (LT s21 1) (LT s25 1) (LT s49 1) (LT s56 1)) (OR (LT s2 1) (LT s25 1) (LT s49 1) (LT s56 1)) (OR (LT s3 1) (LT s25 1) (LT s49 1) (LT s59 1)) (OR (LT s20 1) (LT s25 1) (LT s49 1) (LT s57 1)) (OR (LT s5 1) (LT s25 1) (LT s49 1) (LT s61 1)) (OR (LT s19 1) (LT s25 1) (LT s49 1) (LT s54 1)) (OR (LT s6 1) (LT s25 1) (LT s49 1) (LT s60 1)) (OR (LT s16 1) (LT s25 1) (LT s49 1) (LT s53 1)) (OR (LT s6 1) (LT s25 1) (LT s49 1) (LT s52 1)) (OR (LT s7 1) (LT s25 1) (LT s49 1) (LT s55 1)) (OR (LT s18 1) (LT s25 1) (LT s49 1) (LT s55 1)) (OR (LT s17 1) (LT s25 1) (LT s49 1) (LT s60 1)) (OR (LT s15 1) (LT s25 1) (LT s49 1) (LT s58 1)) (OR (LT s16 1) (LT s25 1) (LT s49 1) (LT s61 1)) (OR (LT s17 1) (LT s25 1) (LT s49 1) (LT s52 1)) (OR (LT s5 1) (LT s25 1) (LT s49 1) (LT s53 1)) (OR (LT s4 1) (LT s25 1) (LT s49 1) (LT s58 1)) (OR (LT s11 1) (LT s25 1) (LT s49 1) (LT s54 1)) (OR (LT s12 1) (LT s25 1) (LT s49 1) (LT s57 1)) (OR (LT s14 1) (LT s25 1) (LT s49 1) (LT s59 1)) (OR (LT s9 1) (LT s25 1) (LT s49 1) (LT s52 1)) (OR (LT s11 1) (LT s25 1) (LT s49 1) (LT s62 1)) (OR (LT s8 1) (LT s25 1) (LT s49 1) (LT s53 1)) (OR (LT s13 1) (LT s25 1) (LT s49 1) (LT s56 1)) (OR (LT s9 1) (LT s25 1) (LT s49 1) (LT s60 1)) (OR (LT s8 1) (LT s25 1) (LT s49 1) (LT s61 1)) (OR (LT s10 1) (LT s25 1) (LT s49 1) (LT s55 1)) (OR (LT s21 1) (LT s25 1) (LT s49 1) (LT s55 1)) (OR (LT s5 1) (LT s25 1) (LT s49 1) (LT s62 1)) (OR (LT s2 1) (LT s25 1) (LT s49 1) (LT s55 1)) (OR (LT s3 1) (LT s25 1) (LT s49 1) (LT s52 1)) (OR (LT s20 1) (LT s25 1) (LT s49 1) (LT s58 1)) (OR (LT s6 1) (LT s25 1) (LT s49 1) (LT s59 1)) (OR (LT s19 1) (LT s25 1) (LT s49 1) (LT s53 1)) (OR (LT s7 1) (LT s25 1) (LT s49 1) (LT s56 1)) (OR (LT s16 1) (LT s25 1) (LT s49 1) (LT s54 1)) (OR (LT s18 1) (LT s25 1) (LT s49 1) (LT s56 1)) (OR (LT s17 1) (LT s25 1) (LT s49 1) (LT s59 1)) (OR (LT s5 1) (LT s25 1) (LT s49 1) (LT s54 1)) (OR (LT s16 1) (LT s25 1) (LT s49 1) (LT s62 1)) (OR (LT s15 1) (LT s25 1) (LT s49 1) (LT s57 1)) (OR (LT s3 1) (LT s25 1) (LT s49 1) (LT s60 1)) (OR (LT s4 1) (LT s25 1) (LT s49 1) (LT s57 1)) (OR (LT s11 1) (LT s25 1) (LT s49 1) (LT s53 1)) (OR (LT s12 1) (LT s25 1) (LT s49 1) (LT s58 1)) (OR (LT s14 1) (LT s25 1) (LT s49 1) (LT s60 1)) (OR (LT s11 1) (LT s25 1) (LT s49 1) (LT s61 1)) (OR (LT s8 1) (LT s25 1) (LT s49 1) (LT s54 1)) (OR (LT s13 1) (LT s25 1) (LT s49 1) (LT s55 1)) (OR (LT s14 1) (LT s25 1) (LT s49 1) (LT s52 1)) (OR (LT s19 1) (LT s25 1) (LT s49 1) (LT s62 1)) (OR (LT s9 1) (LT s25 1) (LT s49 1) (LT s59 1)) (OR (LT s8 1) (LT s25 1) (LT s49 1) (LT s62 1)) (OR (LT s10 1) (LT s25 1) (LT s49 1) (LT s56 1)) (OR (LT s20 1) (LT s25 1) (LT s49 1) (LT s59 1)))], nbAcceptance=2, properties=[trans-labels, explicit-labels, trans-acc, no-univ-branch, stutter-invariant], stateDesc=[null, null, null, null][true, true, true, true]]
Product exploration explored 100000 steps with 1762 reset in 4831 ms.
Product exploration explored 100000 steps with 1786 reset in 4360 ms.
Knowledge obtained : [p2, (NOT p3), (NOT p0), p1]
Stuttering acceptance computed with spot in 219 ms :[(OR (AND (NOT p0) (NOT p1) (NOT p2)) (AND (NOT p0) (NOT p1) (NOT p3))), (AND (NOT p0) (NOT p1) (NOT p3)), (AND (NOT p0) (NOT p1) (NOT p3)), (OR (AND (NOT p0) (NOT p1) (NOT p2)) (AND (NOT p0) (NOT p1) (NOT p3)))]
Product exploration explored 100000 steps with 1827 reset in 4145 ms.
Product exploration explored 100000 steps with 1838 reset in 3913 ms.
[2021-05-08 22:10:18] [INFO ] Flatten gal took : 36 ms
[2021-05-08 22:10:18] [INFO ] Flatten gal took : 33 ms
[2021-05-08 22:10:18] [INFO ] Time to serialize gal into /tmp/LTL2289056742751856656.gal : 8 ms
[2021-05-08 22:10:18] [INFO ] Time to serialize properties into /tmp/LTL1563600852127399837.ltl : 11 ms
Invoking ITS tools like this :CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64, --gc-threshold, 2000000, -i, /tmp/LTL2289056742751856656.gal, -t, CGAL, -LTL, /tmp/LTL1563600852127399837.ltl, -c, -stutter-deadlock, --gen-order, FOLLOW], workingDir=/home/mcc/execution]

its-ltl command run as :

/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64 --gc-threshold 2000000 -i /tmp/LTL2289056742751856656.gal -t CGAL -LTL /tmp/LTL1563600852127399837.ltl -c -stutter-deadlock --gen-order FOLLOW
Read 1 LTL properties
Checking formula 0 : !((F((G(F("(((((((((((((((((((((((CAPACITE_0>=1)&&(NB_ATTENTE_B_4>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_11>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_16>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_5>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_18>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_6>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_15>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_19>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_10>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_20>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_1>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_14>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_2>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_13>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_7>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_3>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_9>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_12>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_8>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_17>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))")))||(("(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((NB_ATTENTE_A_19<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1))&&((((NB_ATTENTE_A_4<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_2<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_1<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_18<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_6<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_5<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_16<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_17<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_5<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_14<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_15<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_3<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_2<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_10<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_11<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_12<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_13<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_10<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_13<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_18<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_8<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_7<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_20<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_9<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_8<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_20<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_1<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_19<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_2<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_4<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_6<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_18<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_5<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_17<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_15<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_16<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_14<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_5<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_15<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_16<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_3<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_2<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_4<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_13<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_11<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_10<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_8<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_13<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_16<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_7<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_12<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_8<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_18<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_7<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_20<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_9<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_19<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_3<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_20<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_2<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_1<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_18<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_6<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_1<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_16<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_17<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_14<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_5<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_3<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_4<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_15<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_14<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_12<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_11<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_10<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_12<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_13<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_11<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_7<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_8<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_19<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_9<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_20<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_2<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_19<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_3<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_4<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_1<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_6<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_18<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_17<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_6<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_16<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_5<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_14<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_17<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_3<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_15<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_14<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_12<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_9<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_10<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_11<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_13<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_17<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_11<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_7<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_8<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_9<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_20<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_20<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_3<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_1<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_2<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_5<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_6<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_18<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_6<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_14<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_16<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_17<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_3<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_4<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_1<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_15<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_14<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_10<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_13<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_12<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_11<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_12<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_17<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_7<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_8<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_9<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_19<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_9<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_1<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_19<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_3<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_2<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_20<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_18<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_6<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_5<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_6<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_16<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_17<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_1<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_14<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_4<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_15<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_12<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_10<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_13<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_11<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_12<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_11<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_7<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_8<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_9<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_20<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_9<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_19<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_20<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_1<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_2<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_19<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_4<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_18<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_5<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_15<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_5<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_6<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_17<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_16<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_14<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_15<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_16<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_4<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_3<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_10<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_11<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_13<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_8<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_10<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_7<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_12<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_8<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_7<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_9<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_20<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_4<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_1<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_2<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_19<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_5<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_18<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_6<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_15<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_17<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_16<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_4<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_15<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_14<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_2<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_3<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_10<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_11<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_13<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_10<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_7<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_12<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_13<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_18<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_8<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_7<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_9<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_19<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))")U((G("(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((NB_ATTENTE_A_19<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1))&&((((NB_ATTENTE_A_4<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_2<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_1<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_18<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_6<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_5<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_16<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_17<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_5<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_14<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_15<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_3<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_2<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_10<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_11<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_12<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_13<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_10<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_13<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_18<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_8<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_7<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_20<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_9<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_8<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_20<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_1<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_19<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_2<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_4<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_6<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_18<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_5<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_17<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_15<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_16<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_14<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_5<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_15<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_16<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_3<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_2<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_4<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_13<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_11<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_10<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_8<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_13<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_16<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_7<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_12<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_8<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_18<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_7<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_20<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_9<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_19<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_3<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_20<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_2<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_1<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_18<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_6<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_1<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_16<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_17<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_14<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_5<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_3<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_4<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_15<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_14<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_12<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_11<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_10<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_12<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_13<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_11<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_7<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_8<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_19<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_9<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_20<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_2<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_19<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_3<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_4<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_1<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_6<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_18<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_17<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_6<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_16<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_5<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_14<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_17<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_3<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_15<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_14<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_12<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_9<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_10<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_11<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_13<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_17<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_11<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_7<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_8<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_9<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_20<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_20<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_3<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_1<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_2<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_5<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_6<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_18<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_6<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_14<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_16<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_17<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_3<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_4<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_1<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_15<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_14<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_10<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_13<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_12<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_11<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_12<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_17<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_7<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_8<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_9<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_19<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_9<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_1<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_19<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_3<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_2<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_20<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_18<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_6<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_5<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_6<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_16<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_17<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_1<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_14<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_4<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_15<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_12<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_10<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_13<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_11<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_12<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_11<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_7<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_8<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_9<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_20<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_9<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_19<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_20<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_1<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_2<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_19<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_4<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_18<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_5<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_15<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_5<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_6<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_17<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_16<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_14<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_15<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_16<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_4<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_3<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_10<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_11<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_13<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_8<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_10<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_7<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_12<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_8<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_7<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_9<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_20<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_4<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_1<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_2<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_19<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_5<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_18<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_6<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_15<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_17<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_16<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_4<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_15<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_14<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_2<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_3<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_10<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_11<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_13<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_10<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_7<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_12<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_13<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_18<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_8<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_7<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_9<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_19<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))"))||(("(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((NB_ATTENTE_A_19<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1))&&((((NB_ATTENTE_A_4<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_2<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_1<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_18<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_6<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_5<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_16<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_17<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_5<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_14<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_15<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_3<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_2<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_10<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_11<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_12<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_13<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_10<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_13<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_18<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_8<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_7<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_20<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_9<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_8<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_20<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_1<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_19<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_2<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_4<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_6<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_18<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_5<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_17<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_15<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_16<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_14<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_5<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_15<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_16<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_3<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_2<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_4<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_13<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_11<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_10<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_8<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_13<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_16<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_7<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_12<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_8<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_18<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_7<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_20<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_9<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_19<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_3<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_20<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_2<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_1<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_18<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_6<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_1<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_16<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_17<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_14<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_5<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_3<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_4<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_15<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_14<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_12<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_11<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_10<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_12<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_13<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_11<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_7<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_8<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_19<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_9<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_20<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_2<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_19<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_3<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_4<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_1<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_6<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_18<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_17<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_6<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_16<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_5<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_14<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_17<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_3<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_15<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_14<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_12<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_9<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_10<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_11<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_13<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_17<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_11<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_7<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_8<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_9<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_20<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_20<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_3<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_1<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_2<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_5<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_6<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_18<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_6<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_14<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_16<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_17<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_3<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_4<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_1<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_15<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_14<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_10<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_13<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_12<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_11<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_12<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_17<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_7<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_8<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_9<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_19<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_9<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_1<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_19<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_3<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_2<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_20<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_18<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_6<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_5<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_6<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_16<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_17<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_1<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_14<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_4<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_15<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_12<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_10<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_13<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_11<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_12<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_11<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_7<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_8<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_9<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_20<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_9<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_19<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_20<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_1<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_2<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_19<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_4<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_18<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_5<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_15<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_5<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_6<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_17<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_16<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_14<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_15<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_16<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_4<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_3<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_10<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_11<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_13<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_8<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_10<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_7<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_12<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_8<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_7<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_9<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_20<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_4<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_1<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_2<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_19<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_5<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_18<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_6<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_15<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_17<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_16<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_4<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_15<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_14<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_2<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_3<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_10<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_11<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_13<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_10<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_7<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_12<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_13<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_18<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_8<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_7<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_9<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_19<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))")&&(G("(((((((((((((((((((((((CAPACITE_0>=1)&&(NB_ATTENTE_A_16>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_10>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_4>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_3>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_9>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_5>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_11>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_14>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_2>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_6>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_15>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_19>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_1>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_13>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_20>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_7>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_18>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_12>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_8>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_17>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))"))))))))
Formula 0 simplified : !F(GF"(((((((((((((((((((((((CAPACITE_0>=1)&&(NB_ATTENTE_B_4>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_11>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_16>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_5>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_18>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_6>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_15>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_19>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_10>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_20>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_1>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_14>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_2>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_13>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_7>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_3>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_9>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_12>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_8>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_17>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))" | ("(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((NB_ATTENTE_A_19<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1))&&((((NB_ATTENTE_A_4<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_2<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_1<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_18<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_6<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_5<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_16<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_17<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_5<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_14<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_15<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_3<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_2<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_10<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_11<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_12<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_13<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_10<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_13<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_18<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_8<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_7<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_20<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_9<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_8<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_20<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_1<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_19<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_2<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_4<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_6<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_18<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_5<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_17<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_15<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_16<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_14<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_5<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_15<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_16<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_3<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_2<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_4<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_13<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_11<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_10<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_8<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_13<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_16<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_7<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_12<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_8<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_18<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_7<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_20<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_9<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_19<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_3<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_20<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_2<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_1<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_18<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_6<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_1<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_16<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_17<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_14<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_5<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_3<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_4<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_15<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_14<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_12<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_11<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_10<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_12<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_13<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_11<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_7<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_8<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_19<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_9<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_20<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_2<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_19<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_3<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_4<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_1<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_6<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_18<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_17<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_6<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_16<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_5<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_14<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_17<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_3<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_15<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_14<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_12<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_9<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_10<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_11<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_13<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_17<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_11<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_7<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_8<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_9<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_20<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_20<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_3<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_1<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_2<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_5<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_6<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_18<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_6<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_14<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_16<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_17<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_3<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_4<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_1<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_15<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_14<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_10<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_13<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_12<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_11<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_12<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_17<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_7<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_8<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_9<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_19<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_9<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_1<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_19<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_3<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_2<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_20<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_18<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_6<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_5<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_6<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_16<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_17<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_1<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_14<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_4<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_15<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_12<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_10<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_13<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_11<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_12<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_11<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_7<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_8<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_9<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_20<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_9<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_19<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_20<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_1<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_2<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_19<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_4<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_18<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_5<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_15<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_5<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_6<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_17<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_16<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_14<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_15<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_16<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_4<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_3<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_10<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_11<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_13<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_8<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_10<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_7<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_12<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_8<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_7<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_9<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_20<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_4<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_1<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_2<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_19<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_5<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_18<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_6<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_15<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_17<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_16<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_4<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_15<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_14<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_2<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_3<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_10<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_11<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_13<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_10<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_7<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_12<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_13<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_18<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_8<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_7<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_9<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_19<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))" U (G"(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((NB_ATTENTE_A_19<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1))&&((((NB_ATTENTE_A_4<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_2<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_1<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_18<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_6<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_5<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_16<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_17<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_5<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_14<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_15<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_3<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_2<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_10<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_11<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_12<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_13<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_10<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_13<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_18<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_8<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_7<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_20<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_9<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_8<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_20<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_1<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_19<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_2<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_4<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_6<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_18<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_5<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_17<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_15<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_16<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_14<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_5<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_15<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_16<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_3<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_2<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_4<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_13<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_11<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_10<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_8<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_13<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_16<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_7<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_12<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_8<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_18<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_7<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_20<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_9<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_19<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_3<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_20<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_2<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_1<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_18<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_6<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_1<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_16<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_17<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_14<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_5<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_3<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_4<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_15<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_14<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_12<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_11<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_10<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_12<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_13<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_11<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_7<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_8<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_19<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_9<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_20<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_2<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_19<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_3<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_4<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_1<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_6<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_18<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_17<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_6<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_16<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_5<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_14<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_17<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_3<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_15<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_14<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_12<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_9<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_10<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_11<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_13<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_17<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_11<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_7<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_8<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_9<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_20<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_20<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_3<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_1<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_2<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_5<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_6<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_18<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_6<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_14<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_16<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_17<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_3<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_4<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_1<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_15<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_14<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_10<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_13<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_12<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_11<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_12<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_17<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_7<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_8<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_9<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_19<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_9<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_1<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_19<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_3<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_2<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_20<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_18<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_6<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_5<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_6<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_16<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_17<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_1<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_14<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_4<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_15<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_12<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_10<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_13<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_11<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_12<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_11<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_7<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_8<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_9<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_20<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_9<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_19<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_20<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_1<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_2<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_19<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_4<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_18<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_5<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_15<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_5<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_6<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_17<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_16<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_14<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_15<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_16<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_4<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_3<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_10<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_11<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_13<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_8<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_10<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_7<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_12<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_8<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_7<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_9<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_20<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_4<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_1<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_2<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_19<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_5<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_18<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_6<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_15<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_17<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_16<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_4<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_15<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_14<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_2<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_3<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_10<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_11<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_13<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_10<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_7<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_12<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_13<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_18<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_8<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_7<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_9<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_19<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))" | ("(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((NB_ATTENTE_A_19<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1))&&((((NB_ATTENTE_A_4<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_2<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_1<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_18<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_6<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_5<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_16<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_17<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_5<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_14<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_15<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_3<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_2<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_10<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_11<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_12<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_13<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_10<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_13<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_18<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_8<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_7<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_20<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_9<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_8<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_20<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_1<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_19<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_2<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_4<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_6<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_18<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_5<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_17<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_15<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_16<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_14<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_5<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_15<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_16<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_3<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_2<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_4<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_13<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_11<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_10<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_8<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_13<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_16<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_7<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_12<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_8<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_18<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_7<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_20<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_9<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_19<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_3<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_20<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_2<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_1<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_18<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_6<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_1<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_16<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_17<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_14<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_5<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_3<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_4<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_15<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_14<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_12<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_11<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_10<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_12<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_13<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_11<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_7<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_8<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_19<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_9<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_20<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_2<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_19<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_3<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_4<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_1<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_6<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_18<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_17<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_6<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_16<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_5<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_14<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_17<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_3<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_15<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_14<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_12<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_9<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_10<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_11<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_13<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_17<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_11<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_7<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_8<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_9<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_20<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_20<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_3<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_1<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_2<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_5<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_6<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_18<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_6<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_14<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_16<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_17<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_3<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_4<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_1<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_15<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_14<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_10<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_13<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_12<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_11<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_12<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_17<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_7<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_8<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_9<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_19<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_9<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_1<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_19<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_3<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_2<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_20<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_18<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_6<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_5<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_6<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_16<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_17<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_1<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_14<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_4<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_15<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_12<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_10<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_13<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_11<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_12<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_11<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_7<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_8<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_9<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_20<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_9<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_19<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_20<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_1<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_2<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_19<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_4<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_18<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_5<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_15<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_5<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_6<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_17<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_16<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_14<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_15<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_16<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_4<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_3<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_10<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_11<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_13<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_8<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_10<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_7<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_12<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_8<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_7<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_9<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_20<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_4<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_1<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_2<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_19<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_5<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_18<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_6<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_15<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_17<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_16<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_4<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_15<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_14<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_2<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_3<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_10<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_11<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_13<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_10<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_7<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_12<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_13<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_18<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_8<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_7<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_9<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_19<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))" & G"(((((((((((((((((((((((CAPACITE_0>=1)&&(NB_ATTENTE_A_16>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_10>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_4>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_3>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_9>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_5>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_11>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_14>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_2>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_6>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_15>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_19>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_1>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_13>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_20>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_7>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_18>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_12>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_8>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_17>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))"))))
Detected timeout of ITS tools.
[2021-05-08 22:10:34] [INFO ] Flatten gal took : 46 ms
[2021-05-08 22:10:34] [INFO ] Applying decomposition
[2021-05-08 22:10:34] [INFO ] Flatten gal took : 30 ms
Converted graph to binary with : CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.louvain.binaries_1.0.0.202104292328/bin/convert-linux64, -i, /tmp/graph12643473384089988070.txt, -o, /tmp/graph12643473384089988070.bin, -w, /tmp/graph12643473384089988070.weights], workingDir=null]
Built communities with : CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.louvain.binaries_1.0.0.202104292328/bin/louvain-linux64, /tmp/graph12643473384089988070.bin, -l, -1, -v, -w, /tmp/graph12643473384089988070.weights, -q, 0, -e, 0.001], workingDir=null]
[2021-05-08 22:10:34] [INFO ] Decomposing Gal with order
[2021-05-08 22:10:34] [INFO ] Rewriting arrays to variables to allow decomposition.
[2021-05-08 22:10:34] [INFO ] Removed a total of 1101 redundant transitions.
[2021-05-08 22:10:34] [INFO ] Flatten gal took : 229 ms
[2021-05-08 22:10:34] [INFO ] Fuse similar labels procedure discarded/fused a total of 223 labels/synchronizations in 10 ms.
[2021-05-08 22:10:34] [INFO ] Time to serialize gal into /tmp/LTL2712452284362340017.gal : 2 ms
[2021-05-08 22:10:34] [INFO ] Time to serialize properties into /tmp/LTL12938561469238483980.ltl : 7 ms
Invoking ITS tools like this :CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64, --gc-threshold, 2000000, -i, /tmp/LTL2712452284362340017.gal, -t, CGAL, -LTL, /tmp/LTL12938561469238483980.ltl, -c, -stutter-deadlock], workingDir=/home/mcc/execution]

its-ltl command run as :

/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64 --gc-threshold 2000000 -i /tmp/LTL2712452284362340017.gal -t CGAL -LTL /tmp/LTL12938561469238483980.ltl -c -stutter-deadlock
Read 1 LTL properties
Checking formula 0 : !((F((G(F("(((((((((((((((((((((((i1.u0.CAPACITE_0>=1)&&(i0.u5.NB_ATTENTE_B_4>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))||((((i1.u0.CAPACITE_0>=1)&&(i0.u5.NB_ATTENTE_B_11>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1)))||((((i1.u0.CAPACITE_0>=1)&&(i0.u5.NB_ATTENTE_B_16>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1)))||((((i1.u0.CAPACITE_0>=1)&&(i0.u5.NB_ATTENTE_B_5>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1)))||((((i1.u0.CAPACITE_0>=1)&&(i0.u5.NB_ATTENTE_B_18>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1)))||((((i1.u0.CAPACITE_0>=1)&&(i0.u5.NB_ATTENTE_B_6>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1)))||((((i1.u0.CAPACITE_0>=1)&&(i0.u5.NB_ATTENTE_B_15>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1)))||((((i1.u0.CAPACITE_0>=1)&&(i0.u5.NB_ATTENTE_B_19>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1)))||((((i1.u0.CAPACITE_0>=1)&&(i0.u5.NB_ATTENTE_B_10>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1)))||((((i1.u0.CAPACITE_0>=1)&&(i0.u5.NB_ATTENTE_B_20>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1)))||((((i1.u0.CAPACITE_0>=1)&&(i0.u5.NB_ATTENTE_B_1>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1)))||((((i1.u0.CAPACITE_0>=1)&&(i0.u5.NB_ATTENTE_B_14>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1)))||((((i1.u0.CAPACITE_0>=1)&&(i0.u5.NB_ATTENTE_B_2>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1)))||((((i1.u0.CAPACITE_0>=1)&&(i0.u5.NB_ATTENTE_B_13>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1)))||((((i1.u0.CAPACITE_0>=1)&&(i0.u5.NB_ATTENTE_B_7>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1)))||((((i1.u0.CAPACITE_0>=1)&&(i0.u5.NB_ATTENTE_B_3>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1)))||((((i1.u0.CAPACITE_0>=1)&&(i0.u5.NB_ATTENTE_B_9>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1)))||((((i1.u0.CAPACITE_0>=1)&&(i0.u5.NB_ATTENTE_B_12>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1)))||((((i1.u0.CAPACITE_0>=1)&&(i0.u5.NB_ATTENTE_B_8>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1)))||((((i1.u0.CAPACITE_0>=1)&&(i0.u5.NB_ATTENTE_B_17>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1)))")))||(("(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((i2.u2.NB_ATTENTE_A_19<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1))&&((((i2.u3.NB_ATTENTE_A_4<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_2<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_1<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u2.NB_ATTENTE_A_18<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_6<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_5<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u2.NB_ATTENTE_A_16<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u2.NB_ATTENTE_A_17<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_5<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u2.NB_ATTENTE_A_14<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u2.NB_ATTENTE_A_15<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_3<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_2<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_10<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_11<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_12<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u2.NB_ATTENTE_A_13<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_10<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u2.NB_ATTENTE_A_13<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u2.NB_ATTENTE_A_18<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_8<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_7<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u2.NB_ATTENTE_A_20<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_9<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_8<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u2.NB_ATTENTE_A_20<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_1<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u2.NB_ATTENTE_A_19<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_2<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_4<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_6<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u2.NB_ATTENTE_A_18<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_5<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u2.NB_ATTENTE_A_17<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u2.NB_ATTENTE_A_15<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u2.NB_ATTENTE_A_16<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u2.NB_ATTENTE_A_14<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_5<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u2.NB_ATTENTE_A_15<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u2.NB_ATTENTE_A_16<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_3<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_2<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_4<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u2.NB_ATTENTE_A_13<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_11<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_10<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_8<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u2.NB_ATTENTE_A_13<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u2.NB_ATTENTE_A_16<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_7<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_12<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_8<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u2.NB_ATTENTE_A_18<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_7<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u2.NB_ATTENTE_A_20<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_9<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u2.NB_ATTENTE_A_19<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_3<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u2.NB_ATTENTE_A_20<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_2<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_1<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u2.NB_ATTENTE_A_18<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_6<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_1<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u2.NB_ATTENTE_A_16<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u2.NB_ATTENTE_A_17<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u2.NB_ATTENTE_A_14<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_5<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_3<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_4<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u2.NB_ATTENTE_A_15<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u2.NB_ATTENTE_A_14<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_12<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_11<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_10<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_12<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u2.NB_ATTENTE_A_13<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_11<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_7<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_8<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u2.NB_ATTENTE_A_19<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_9<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u2.NB_ATTENTE_A_20<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_2<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u2.NB_ATTENTE_A_19<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_3<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_4<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_1<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_6<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u2.NB_ATTENTE_A_18<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u2.NB_ATTENTE_A_17<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_6<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u2.NB_ATTENTE_A_16<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_5<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u2.NB_ATTENTE_A_14<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u2.NB_ATTENTE_A_17<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_3<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u2.NB_ATTENTE_A_15<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u2.NB_ATTENTE_A_14<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_12<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_9<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_10<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_11<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u2.NB_ATTENTE_A_13<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u2.NB_ATTENTE_A_17<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_11<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_7<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_8<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_9<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u2.NB_ATTENTE_A_20<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u2.NB_ATTENTE_A_20<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_3<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_1<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_2<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_5<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_6<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u2.NB_ATTENTE_A_18<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_6<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u2.NB_ATTENTE_A_14<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u2.NB_ATTENTE_A_16<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u2.NB_ATTENTE_A_17<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_3<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_4<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_1<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u2.NB_ATTENTE_A_15<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u2.NB_ATTENTE_A_14<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_10<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u2.NB_ATTENTE_A_13<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_12<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_11<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_12<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u2.NB_ATTENTE_A_17<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_7<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_8<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_9<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u2.NB_ATTENTE_A_19<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_9<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_1<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u2.NB_ATTENTE_A_19<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_3<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_2<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u2.NB_ATTENTE_A_20<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u2.NB_ATTENTE_A_18<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_6<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_5<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_6<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u2.NB_ATTENTE_A_16<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u2.NB_ATTENTE_A_17<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_1<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u2.NB_ATTENTE_A_14<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_4<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u2.NB_ATTENTE_A_15<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_12<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_10<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u2.NB_ATTENTE_A_13<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_11<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_12<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_11<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_7<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_8<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_9<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u2.NB_ATTENTE_A_20<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_9<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u2.NB_ATTENTE_A_19<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u2.NB_ATTENTE_A_20<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_1<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_2<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u2.NB_ATTENTE_A_19<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_4<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u2.NB_ATTENTE_A_18<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_5<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u2.NB_ATTENTE_A_15<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_5<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_6<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u2.NB_ATTENTE_A_17<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u2.NB_ATTENTE_A_16<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u2.NB_ATTENTE_A_14<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u2.NB_ATTENTE_A_15<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u2.NB_ATTENTE_A_16<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_4<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_3<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_10<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_11<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u2.NB_ATTENTE_A_13<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_8<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_10<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_7<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_12<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_8<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_7<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_9<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u2.NB_ATTENTE_A_20<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_4<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_1<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_2<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u2.NB_ATTENTE_A_19<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_5<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u2.NB_ATTENTE_A_18<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_6<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u2.NB_ATTENTE_A_15<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u2.NB_ATTENTE_A_17<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u2.NB_ATTENTE_A_16<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_4<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u2.NB_ATTENTE_A_15<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u2.NB_ATTENTE_A_14<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_2<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_3<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_10<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_11<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u2.NB_ATTENTE_A_13<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_10<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_7<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_12<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u2.NB_ATTENTE_A_13<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u2.NB_ATTENTE_A_18<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_8<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_7<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_9<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u2.NB_ATTENTE_A_19<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))")U((G("(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((i2.u2.NB_ATTENTE_A_19<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1))&&((((i2.u3.NB_ATTENTE_A_4<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_2<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_1<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u2.NB_ATTENTE_A_18<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_6<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_5<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u2.NB_ATTENTE_A_16<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u2.NB_ATTENTE_A_17<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_5<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u2.NB_ATTENTE_A_14<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u2.NB_ATTENTE_A_15<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_3<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_2<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_10<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_11<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_12<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u2.NB_ATTENTE_A_13<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_10<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u2.NB_ATTENTE_A_13<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u2.NB_ATTENTE_A_18<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_8<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_7<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u2.NB_ATTENTE_A_20<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_9<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_8<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u2.NB_ATTENTE_A_20<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_1<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u2.NB_ATTENTE_A_19<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_2<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_4<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_6<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u2.NB_ATTENTE_A_18<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_5<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u2.NB_ATTENTE_A_17<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u2.NB_ATTENTE_A_15<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u2.NB_ATTENTE_A_16<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u2.NB_ATTENTE_A_14<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_5<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u2.NB_ATTENTE_A_15<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u2.NB_ATTENTE_A_16<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_3<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_2<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_4<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u2.NB_ATTENTE_A_13<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_11<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_10<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_8<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u2.NB_ATTENTE_A_13<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u2.NB_ATTENTE_A_16<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_7<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_12<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_8<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u2.NB_ATTENTE_A_18<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_7<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u2.NB_ATTENTE_A_20<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_9<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u2.NB_ATTENTE_A_19<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_3<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u2.NB_ATTENTE_A_20<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_2<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_1<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u2.NB_ATTENTE_A_18<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_6<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_1<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u2.NB_ATTENTE_A_16<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u2.NB_ATTENTE_A_17<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u2.NB_ATTENTE_A_14<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_5<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_3<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_4<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u2.NB_ATTENTE_A_15<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u2.NB_ATTENTE_A_14<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_12<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_11<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_10<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_12<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u2.NB_ATTENTE_A_13<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_11<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_7<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_8<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u2.NB_ATTENTE_A_19<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_9<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u2.NB_ATTENTE_A_20<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_2<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u2.NB_ATTENTE_A_19<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_3<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_4<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_1<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_6<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u2.NB_ATTENTE_A_18<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u2.NB_ATTENTE_A_17<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_6<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u2.NB_ATTENTE_A_16<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_5<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u2.NB_ATTENTE_A_14<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u2.NB_ATTENTE_A_17<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_3<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u2.NB_ATTENTE_A_15<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u2.NB_ATTENTE_A_14<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_12<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_9<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_10<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_11<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u2.NB_ATTENTE_A_13<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u2.NB_ATTENTE_A_17<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_11<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_7<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_8<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_9<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u2.NB_ATTENTE_A_20<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u2.NB_ATTENTE_A_20<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_3<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_1<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_2<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_5<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_6<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u2.NB_ATTENTE_A_18<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_6<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u2.NB_ATTENTE_A_14<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u2.NB_ATTENTE_A_16<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u2.NB_ATTENTE_A_17<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_3<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_4<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_1<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u2.NB_ATTENTE_A_15<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u2.NB_ATTENTE_A_14<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_10<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u2.NB_ATTENTE_A_13<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_12<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_11<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_12<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u2.NB_ATTENTE_A_17<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_7<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_8<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_9<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u2.NB_ATTENTE_A_19<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_9<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_1<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u2.NB_ATTENTE_A_19<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_3<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_2<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u2.NB_ATTENTE_A_20<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u2.NB_ATTENTE_A_18<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_6<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_5<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_6<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u2.NB_ATTENTE_A_16<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u2.NB_ATTENTE_A_17<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_1<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u2.NB_ATTENTE_A_14<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_4<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u2.NB_ATTENTE_A_15<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_12<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_10<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u2.NB_ATTENTE_A_13<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_11<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_12<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_11<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_7<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_8<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_9<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u2.NB_ATTENTE_A_20<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_9<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u2.NB_ATTENTE_A_19<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u2.NB_ATTENTE_A_20<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_1<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_2<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u2.NB_ATTENTE_A_19<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_4<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u2.NB_ATTENTE_A_18<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_5<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u2.NB_ATTENTE_A_15<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_5<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_6<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u2.NB_ATTENTE_A_17<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u2.NB_ATTENTE_A_16<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u2.NB_ATTENTE_A_14<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u2.NB_ATTENTE_A_15<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u2.NB_ATTENTE_A_16<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_4<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_3<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_10<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_11<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u2.NB_ATTENTE_A_13<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_8<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_10<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_7<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_12<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_8<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_7<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_9<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u2.NB_ATTENTE_A_20<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_4<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_1<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_2<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u2.NB_ATTENTE_A_19<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_5<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u2.NB_ATTENTE_A_18<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_6<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u2.NB_ATTENTE_A_15<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u2.NB_ATTENTE_A_17<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u2.NB_ATTENTE_A_16<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_4<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u2.NB_ATTENTE_A_15<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u2.NB_ATTENTE_A_14<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_2<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_3<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_10<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_11<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u2.NB_ATTENTE_A_13<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_10<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_7<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_12<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u2.NB_ATTENTE_A_13<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u2.NB_ATTENTE_A_18<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_8<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_7<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_9<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u2.NB_ATTENTE_A_19<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))"))||(("(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((i2.u2.NB_ATTENTE_A_19<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1))&&((((i2.u3.NB_ATTENTE_A_4<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_2<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_1<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u2.NB_ATTENTE_A_18<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_6<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_5<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u2.NB_ATTENTE_A_16<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u2.NB_ATTENTE_A_17<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_5<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u2.NB_ATTENTE_A_14<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u2.NB_ATTENTE_A_15<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_3<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_2<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_10<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_11<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_12<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u2.NB_ATTENTE_A_13<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_10<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u2.NB_ATTENTE_A_13<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u2.NB_ATTENTE_A_18<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_8<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_7<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u2.NB_ATTENTE_A_20<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_9<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_8<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u2.NB_ATTENTE_A_20<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_1<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u2.NB_ATTENTE_A_19<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_2<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_4<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_6<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u2.NB_ATTENTE_A_18<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_5<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u2.NB_ATTENTE_A_17<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u2.NB_ATTENTE_A_15<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u2.NB_ATTENTE_A_16<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u2.NB_ATTENTE_A_14<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_5<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u2.NB_ATTENTE_A_15<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u2.NB_ATTENTE_A_16<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_3<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_2<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_4<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u2.NB_ATTENTE_A_13<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_11<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_10<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_8<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u2.NB_ATTENTE_A_13<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u2.NB_ATTENTE_A_16<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_7<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_12<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_8<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u2.NB_ATTENTE_A_18<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_7<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u2.NB_ATTENTE_A_20<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_9<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u2.NB_ATTENTE_A_19<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_3<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u2.NB_ATTENTE_A_20<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_2<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_1<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u2.NB_ATTENTE_A_18<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_6<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_1<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u2.NB_ATTENTE_A_16<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u2.NB_ATTENTE_A_17<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u2.NB_ATTENTE_A_14<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_5<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_3<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_4<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u2.NB_ATTENTE_A_15<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u2.NB_ATTENTE_A_14<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_12<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_11<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_10<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_12<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u2.NB_ATTENTE_A_13<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_11<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_7<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_8<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u2.NB_ATTENTE_A_19<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_9<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u2.NB_ATTENTE_A_20<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_2<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u2.NB_ATTENTE_A_19<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_3<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_4<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_1<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_6<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u2.NB_ATTENTE_A_18<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u2.NB_ATTENTE_A_17<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_6<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u2.NB_ATTENTE_A_16<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_5<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u2.NB_ATTENTE_A_14<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u2.NB_ATTENTE_A_17<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_3<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u2.NB_ATTENTE_A_15<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u2.NB_ATTENTE_A_14<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_12<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_9<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_10<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_11<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u2.NB_ATTENTE_A_13<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u2.NB_ATTENTE_A_17<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_11<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_7<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_8<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_9<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u2.NB_ATTENTE_A_20<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u2.NB_ATTENTE_A_20<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_3<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_1<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_2<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_5<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_6<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u2.NB_ATTENTE_A_18<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_6<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u2.NB_ATTENTE_A_14<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u2.NB_ATTENTE_A_16<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u2.NB_ATTENTE_A_17<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_3<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_4<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_1<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u2.NB_ATTENTE_A_15<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u2.NB_ATTENTE_A_14<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_10<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u2.NB_ATTENTE_A_13<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_12<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_11<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_12<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u2.NB_ATTENTE_A_17<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_7<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_8<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_9<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u2.NB_ATTENTE_A_19<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_9<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_1<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u2.NB_ATTENTE_A_19<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_3<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_2<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u2.NB_ATTENTE_A_20<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u2.NB_ATTENTE_A_18<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_6<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_5<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_6<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u2.NB_ATTENTE_A_16<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u2.NB_ATTENTE_A_17<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_1<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u2.NB_ATTENTE_A_14<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_4<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u2.NB_ATTENTE_A_15<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_12<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_10<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u2.NB_ATTENTE_A_13<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_11<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_12<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_11<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_7<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_8<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_9<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u2.NB_ATTENTE_A_20<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_9<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u2.NB_ATTENTE_A_19<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u2.NB_ATTENTE_A_20<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_1<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_2<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u2.NB_ATTENTE_A_19<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_4<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u2.NB_ATTENTE_A_18<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_5<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u2.NB_ATTENTE_A_15<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_5<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_6<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u2.NB_ATTENTE_A_17<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u2.NB_ATTENTE_A_16<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u2.NB_ATTENTE_A_14<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u2.NB_ATTENTE_A_15<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u2.NB_ATTENTE_A_16<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_4<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_3<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_10<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_11<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u2.NB_ATTENTE_A_13<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_8<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_10<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_7<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_12<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_8<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_7<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_9<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u2.NB_ATTENTE_A_20<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_4<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_1<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_2<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u2.NB_ATTENTE_A_19<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_5<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u2.NB_ATTENTE_A_18<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_6<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u2.NB_ATTENTE_A_15<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u2.NB_ATTENTE_A_17<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u2.NB_ATTENTE_A_16<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_4<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u2.NB_ATTENTE_A_15<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u2.NB_ATTENTE_A_14<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_2<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_3<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_10<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_11<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u2.NB_ATTENTE_A_13<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_10<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_7<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_12<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u2.NB_ATTENTE_A_13<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u2.NB_ATTENTE_A_18<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_8<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_7<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_9<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u2.NB_ATTENTE_A_19<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))")&&(G("(((((((((((((((((((((((i1.u0.CAPACITE_0>=1)&&(i2.u2.NB_ATTENTE_A_16>=1))&&(i2.u2.ATTENTE_A_0>=1))&&(i0.u5.CONTROLEUR_0>=1))||((((i1.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_A_10>=1))&&(i2.u2.ATTENTE_A_0>=1))&&(i0.u5.CONTROLEUR_0>=1)))||((((i1.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_A_4>=1))&&(i2.u2.ATTENTE_A_0>=1))&&(i0.u5.CONTROLEUR_0>=1)))||((((i1.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_A_3>=1))&&(i2.u2.ATTENTE_A_0>=1))&&(i0.u5.CONTROLEUR_0>=1)))||((((i1.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_A_9>=1))&&(i2.u2.ATTENTE_A_0>=1))&&(i0.u5.CONTROLEUR_0>=1)))||((((i1.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_A_5>=1))&&(i2.u2.ATTENTE_A_0>=1))&&(i0.u5.CONTROLEUR_0>=1)))||((((i1.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_A_11>=1))&&(i2.u2.ATTENTE_A_0>=1))&&(i0.u5.CONTROLEUR_0>=1)))||((((i1.u0.CAPACITE_0>=1)&&(i2.u2.NB_ATTENTE_A_14>=1))&&(i2.u2.ATTENTE_A_0>=1))&&(i0.u5.CONTROLEUR_0>=1)))||((((i1.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_A_2>=1))&&(i2.u2.ATTENTE_A_0>=1))&&(i0.u5.CONTROLEUR_0>=1)))||((((i1.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_A_6>=1))&&(i2.u2.ATTENTE_A_0>=1))&&(i0.u5.CONTROLEUR_0>=1)))||((((i1.u0.CAPACITE_0>=1)&&(i2.u2.NB_ATTENTE_A_15>=1))&&(i2.u2.ATTENTE_A_0>=1))&&(i0.u5.CONTROLEUR_0>=1)))||((((i1.u0.CAPACITE_0>=1)&&(i2.u2.NB_ATTENTE_A_19>=1))&&(i2.u2.ATTENTE_A_0>=1))&&(i0.u5.CONTROLEUR_0>=1)))||((((i1.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_A_1>=1))&&(i2.u2.ATTENTE_A_0>=1))&&(i0.u5.CONTROLEUR_0>=1)))||((((i1.u0.CAPACITE_0>=1)&&(i2.u2.NB_ATTENTE_A_13>=1))&&(i2.u2.ATTENTE_A_0>=1))&&(i0.u5.CONTROLEUR_0>=1)))||((((i1.u0.CAPACITE_0>=1)&&(i2.u2.NB_ATTENTE_A_20>=1))&&(i2.u2.ATTENTE_A_0>=1))&&(i0.u5.CONTROLEUR_0>=1)))||((((i1.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_A_7>=1))&&(i2.u2.ATTENTE_A_0>=1))&&(i0.u5.CONTROLEUR_0>=1)))||((((i1.u0.CAPACITE_0>=1)&&(i2.u2.NB_ATTENTE_A_18>=1))&&(i2.u2.ATTENTE_A_0>=1))&&(i0.u5.CONTROLEUR_0>=1)))||((((i1.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_A_12>=1))&&(i2.u2.ATTENTE_A_0>=1))&&(i0.u5.CONTROLEUR_0>=1)))||((((i1.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_A_8>=1))&&(i2.u2.ATTENTE_A_0>=1))&&(i0.u5.CONTROLEUR_0>=1)))||((((i1.u0.CAPACITE_0>=1)&&(i2.u2.NB_ATTENTE_A_17>=1))&&(i2.u2.ATTENTE_A_0>=1))&&(i0.u5.CONTROLEUR_0>=1)))"))))))))
Formula 0 simplified : !F(GF"(((((((((((((((((((((((i1.u0.CAPACITE_0>=1)&&(i0.u5.NB_ATTENTE_B_4>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))||((((i1.u0.CAPACITE_0>=1)&&(i0.u5.NB_ATTENTE_B_11>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1)))||((((i1.u0.CAPACITE_0>=1)&&(i0.u5.NB_ATTENTE_B_16>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1)))||((((i1.u0.CAPACITE_0>=1)&&(i0.u5.NB_ATTENTE_B_5>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1)))||((((i1.u0.CAPACITE_0>=1)&&(i0.u5.NB_ATTENTE_B_18>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1)))||((((i1.u0.CAPACITE_0>=1)&&(i0.u5.NB_ATTENTE_B_6>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1)))||((((i1.u0.CAPACITE_0>=1)&&(i0.u5.NB_ATTENTE_B_15>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1)))||((((i1.u0.CAPACITE_0>=1)&&(i0.u5.NB_ATTENTE_B_19>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1)))||((((i1.u0.CAPACITE_0>=1)&&(i0.u5.NB_ATTENTE_B_10>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1)))||((((i1.u0.CAPACITE_0>=1)&&(i0.u5.NB_ATTENTE_B_20>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1)))||((((i1.u0.CAPACITE_0>=1)&&(i0.u5.NB_ATTENTE_B_1>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1)))||((((i1.u0.CAPACITE_0>=1)&&(i0.u5.NB_ATTENTE_B_14>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1)))||((((i1.u0.CAPACITE_0>=1)&&(i0.u5.NB_ATTENTE_B_2>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1)))||((((i1.u0.CAPACITE_0>=1)&&(i0.u5.NB_ATTENTE_B_13>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1)))||((((i1.u0.CAPACITE_0>=1)&&(i0.u5.NB_ATTENTE_B_7>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1)))||((((i1.u0.CAPACITE_0>=1)&&(i0.u5.NB_ATTENTE_B_3>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1)))||((((i1.u0.CAPACITE_0>=1)&&(i0.u5.NB_ATTENTE_B_9>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1)))||((((i1.u0.CAPACITE_0>=1)&&(i0.u5.NB_ATTENTE_B_12>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1)))||((((i1.u0.CAPACITE_0>=1)&&(i0.u5.NB_ATTENTE_B_8>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1)))||((((i1.u0.CAPACITE_0>=1)&&(i0.u5.NB_ATTENTE_B_17>=1))&&(i1.u4.ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1)))" | ("(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((i2.u2.NB_ATTENTE_A_19<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1))&&((((i2.u3.NB_ATTENTE_A_4<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_2<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_1<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u2.NB_ATTENTE_A_18<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_6<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_5<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u2.NB_ATTENTE_A_16<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u2.NB_ATTENTE_A_17<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_5<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u2.NB_ATTENTE_A_14<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u2.NB_ATTENTE_A_15<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_3<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_2<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_10<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_11<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_12<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u2.NB_ATTENTE_A_13<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_10<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u2.NB_ATTENTE_A_13<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u2.NB_ATTENTE_A_18<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_8<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_7<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u2.NB_ATTENTE_A_20<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_9<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_8<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u2.NB_ATTENTE_A_20<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_1<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u2.NB_ATTENTE_A_19<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_2<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_4<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_6<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u2.NB_ATTENTE_A_18<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_5<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u2.NB_ATTENTE_A_17<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u2.NB_ATTENTE_A_15<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u2.NB_ATTENTE_A_16<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u2.NB_ATTENTE_A_14<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_5<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u2.NB_ATTENTE_A_15<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u2.NB_ATTENTE_A_16<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_3<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_2<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_4<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u2.NB_ATTENTE_A_13<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_11<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_10<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_8<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u2.NB_ATTENTE_A_13<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u2.NB_ATTENTE_A_16<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_7<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_12<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_8<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u2.NB_ATTENTE_A_18<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_7<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u2.NB_ATTENTE_A_20<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_9<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u2.NB_ATTENTE_A_19<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_3<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u2.NB_ATTENTE_A_20<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_2<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_1<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u2.NB_ATTENTE_A_18<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_6<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_1<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u2.NB_ATTENTE_A_16<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u2.NB_ATTENTE_A_17<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u2.NB_ATTENTE_A_14<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_5<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_3<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_4<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u2.NB_ATTENTE_A_15<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u2.NB_ATTENTE_A_14<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_12<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_11<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_10<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_12<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u2.NB_ATTENTE_A_13<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_11<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_7<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_8<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u2.NB_ATTENTE_A_19<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_9<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u2.NB_ATTENTE_A_20<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_2<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u2.NB_ATTENTE_A_19<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_3<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_4<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_1<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_6<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u2.NB_ATTENTE_A_18<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u2.NB_ATTENTE_A_17<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_6<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u2.NB_ATTENTE_A_16<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_5<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u2.NB_ATTENTE_A_14<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u2.NB_ATTENTE_A_17<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_3<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u2.NB_ATTENTE_A_15<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u2.NB_ATTENTE_A_14<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_12<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_9<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_10<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_11<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u2.NB_ATTENTE_A_13<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u2.NB_ATTENTE_A_17<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_11<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_7<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_8<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_9<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u2.NB_ATTENTE_A_20<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u2.NB_ATTENTE_A_20<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_3<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_1<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_2<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_5<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_6<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u2.NB_ATTENTE_A_18<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_6<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u2.NB_ATTENTE_A_14<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u2.NB_ATTENTE_A_16<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u2.NB_ATTENTE_A_17<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_3<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_4<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_1<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u2.NB_ATTENTE_A_15<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u2.NB_ATTENTE_A_14<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_10<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u2.NB_ATTENTE_A_13<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_12<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_11<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_12<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u2.NB_ATTENTE_A_17<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_7<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_8<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_9<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u2.NB_ATTENTE_A_19<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_9<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_1<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u2.NB_ATTENTE_A_19<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_3<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_2<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u2.NB_ATTENTE_A_20<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u2.NB_ATTENTE_A_18<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_6<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_5<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_6<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u2.NB_ATTENTE_A_16<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u2.NB_ATTENTE_A_17<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_1<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u2.NB_ATTENTE_A_14<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_4<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u2.NB_ATTENTE_A_15<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_12<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_10<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u2.NB_ATTENTE_A_13<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_11<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_12<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_11<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_7<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_8<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_9<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u2.NB_ATTENTE_A_20<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_9<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u2.NB_ATTENTE_A_19<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u2.NB_ATTENTE_A_20<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_1<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_2<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u2.NB_ATTENTE_A_19<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_4<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u2.NB_ATTENTE_A_18<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_5<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u2.NB_ATTENTE_A_15<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_5<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_6<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u2.NB_ATTENTE_A_17<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u2.NB_ATTENTE_A_16<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u2.NB_ATTENTE_A_14<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u2.NB_ATTENTE_A_15<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u2.NB_ATTENTE_A_16<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_4<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_3<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_10<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_11<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u2.NB_ATTENTE_A_13<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_8<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_10<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_7<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_12<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_8<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_7<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_9<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u2.NB_ATTENTE_A_20<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_4<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_1<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_2<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u2.NB_ATTENTE_A_19<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_5<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u2.NB_ATTENTE_A_18<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_6<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u2.NB_ATTENTE_A_15<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u2.NB_ATTENTE_A_17<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u2.NB_ATTENTE_A_16<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_4<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u2.NB_ATTENTE_A_15<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u2.NB_ATTENTE_A_14<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_2<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_3<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_10<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_11<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u2.NB_ATTENTE_A_13<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_10<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_7<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_12<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u2.NB_ATTENTE_A_13<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u2.NB_ATTENTE_A_18<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_8<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_7<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_9<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u2.NB_ATTENTE_A_19<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))" U (G"(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((i2.u2.NB_ATTENTE_A_19<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1))&&((((i2.u3.NB_ATTENTE_A_4<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_2<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_1<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u2.NB_ATTENTE_A_18<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_6<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_5<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u2.NB_ATTENTE_A_16<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u2.NB_ATTENTE_A_17<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_5<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u2.NB_ATTENTE_A_14<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u2.NB_ATTENTE_A_15<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_3<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_2<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_10<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_11<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_12<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u2.NB_ATTENTE_A_13<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_10<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u2.NB_ATTENTE_A_13<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u2.NB_ATTENTE_A_18<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_8<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_7<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u2.NB_ATTENTE_A_20<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_9<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_8<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u2.NB_ATTENTE_A_20<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_1<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u2.NB_ATTENTE_A_19<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_2<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_4<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_6<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u2.NB_ATTENTE_A_18<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_5<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u2.NB_ATTENTE_A_17<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u2.NB_ATTENTE_A_15<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u2.NB_ATTENTE_A_16<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u2.NB_ATTENTE_A_14<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_5<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u2.NB_ATTENTE_A_15<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u2.NB_ATTENTE_A_16<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_3<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_2<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_4<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u2.NB_ATTENTE_A_13<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_11<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_10<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_8<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u2.NB_ATTENTE_A_13<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u2.NB_ATTENTE_A_16<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_7<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_12<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_8<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u2.NB_ATTENTE_A_18<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_7<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u2.NB_ATTENTE_A_20<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_9<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u2.NB_ATTENTE_A_19<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_3<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u2.NB_ATTENTE_A_20<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_2<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_1<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u2.NB_ATTENTE_A_18<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_6<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_1<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u2.NB_ATTENTE_A_16<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u2.NB_ATTENTE_A_17<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u2.NB_ATTENTE_A_14<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_5<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_3<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_4<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u2.NB_ATTENTE_A_15<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u2.NB_ATTENTE_A_14<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_12<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_11<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_10<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_12<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u2.NB_ATTENTE_A_13<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_11<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_7<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_8<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u2.NB_ATTENTE_A_19<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_9<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u2.NB_ATTENTE_A_20<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_2<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u2.NB_ATTENTE_A_19<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_3<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_4<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_1<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_6<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u2.NB_ATTENTE_A_18<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u2.NB_ATTENTE_A_17<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_6<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u2.NB_ATTENTE_A_16<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_5<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u2.NB_ATTENTE_A_14<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u2.NB_ATTENTE_A_17<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_3<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u2.NB_ATTENTE_A_15<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u2.NB_ATTENTE_A_14<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_12<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_9<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_10<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_11<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u2.NB_ATTENTE_A_13<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u2.NB_ATTENTE_A_17<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_11<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_7<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_8<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_9<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u2.NB_ATTENTE_A_20<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u2.NB_ATTENTE_A_20<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_3<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_1<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_2<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_5<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_6<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u2.NB_ATTENTE_A_18<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_6<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u2.NB_ATTENTE_A_14<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u2.NB_ATTENTE_A_16<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u2.NB_ATTENTE_A_17<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_3<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_4<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_1<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u2.NB_ATTENTE_A_15<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u2.NB_ATTENTE_A_14<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_10<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u2.NB_ATTENTE_A_13<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_12<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_11<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_12<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u2.NB_ATTENTE_A_17<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_7<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_8<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_9<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u2.NB_ATTENTE_A_19<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_9<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_1<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u2.NB_ATTENTE_A_19<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_3<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_2<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u2.NB_ATTENTE_A_20<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u2.NB_ATTENTE_A_18<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_6<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_5<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_6<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u2.NB_ATTENTE_A_16<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u2.NB_ATTENTE_A_17<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_1<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u2.NB_ATTENTE_A_14<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_4<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u2.NB_ATTENTE_A_15<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_12<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_10<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u2.NB_ATTENTE_A_13<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_11<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_12<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_11<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_7<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_8<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_9<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u2.NB_ATTENTE_A_20<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_9<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u2.NB_ATTENTE_A_19<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u2.NB_ATTENTE_A_20<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_1<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_2<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u2.NB_ATTENTE_A_19<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_4<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u2.NB_ATTENTE_A_18<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_5<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u2.NB_ATTENTE_A_15<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_5<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_6<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u2.NB_ATTENTE_A_17<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u2.NB_ATTENTE_A_16<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u2.NB_ATTENTE_A_14<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u2.NB_ATTENTE_A_15<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u2.NB_ATTENTE_A_16<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_4<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_3<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_10<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_11<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u2.NB_ATTENTE_A_13<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_8<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_10<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_7<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_12<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_8<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_7<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_9<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u2.NB_ATTENTE_A_20<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_4<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_1<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_2<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u2.NB_ATTENTE_A_19<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_5<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u2.NB_ATTENTE_A_18<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_6<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u2.NB_ATTENTE_A_15<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u2.NB_ATTENTE_A_17<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u2.NB_ATTENTE_A_16<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_4<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u2.NB_ATTENTE_A_15<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u2.NB_ATTENTE_A_14<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_2<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_3<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_10<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_11<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u2.NB_ATTENTE_A_13<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_10<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_7<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_12<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u2.NB_ATTENTE_A_13<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u2.NB_ATTENTE_A_18<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_8<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_7<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_9<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u2.NB_ATTENTE_A_19<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))" | ("(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((i2.u2.NB_ATTENTE_A_19<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1))&&((((i2.u3.NB_ATTENTE_A_4<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_2<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_1<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u2.NB_ATTENTE_A_18<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_6<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_5<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u2.NB_ATTENTE_A_16<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u2.NB_ATTENTE_A_17<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_5<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u2.NB_ATTENTE_A_14<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u2.NB_ATTENTE_A_15<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_3<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_2<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_10<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_11<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_12<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u2.NB_ATTENTE_A_13<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_10<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u2.NB_ATTENTE_A_13<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u2.NB_ATTENTE_A_18<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_8<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_7<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u2.NB_ATTENTE_A_20<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_9<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_8<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u2.NB_ATTENTE_A_20<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_1<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u2.NB_ATTENTE_A_19<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_2<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_4<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_6<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u2.NB_ATTENTE_A_18<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_5<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u2.NB_ATTENTE_A_17<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u2.NB_ATTENTE_A_15<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u2.NB_ATTENTE_A_16<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u2.NB_ATTENTE_A_14<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_5<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u2.NB_ATTENTE_A_15<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u2.NB_ATTENTE_A_16<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_3<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_2<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_4<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u2.NB_ATTENTE_A_13<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_11<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_10<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_8<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u2.NB_ATTENTE_A_13<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u2.NB_ATTENTE_A_16<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_7<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_12<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_8<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u2.NB_ATTENTE_A_18<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_7<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u2.NB_ATTENTE_A_20<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_9<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u2.NB_ATTENTE_A_19<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_3<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u2.NB_ATTENTE_A_20<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_2<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_1<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u2.NB_ATTENTE_A_18<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_6<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_1<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u2.NB_ATTENTE_A_16<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u2.NB_ATTENTE_A_17<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u2.NB_ATTENTE_A_14<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_5<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_3<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_4<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u2.NB_ATTENTE_A_15<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u2.NB_ATTENTE_A_14<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_12<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_11<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_10<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_12<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u2.NB_ATTENTE_A_13<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_11<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_7<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_8<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u2.NB_ATTENTE_A_19<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_9<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u2.NB_ATTENTE_A_20<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_2<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u2.NB_ATTENTE_A_19<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_3<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_4<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_1<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_6<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u2.NB_ATTENTE_A_18<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u2.NB_ATTENTE_A_17<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_6<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u2.NB_ATTENTE_A_16<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_5<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u2.NB_ATTENTE_A_14<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u2.NB_ATTENTE_A_17<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_3<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u2.NB_ATTENTE_A_15<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u2.NB_ATTENTE_A_14<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_12<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_9<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_10<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_11<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u2.NB_ATTENTE_A_13<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u2.NB_ATTENTE_A_17<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_11<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_7<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_8<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_9<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u2.NB_ATTENTE_A_20<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u2.NB_ATTENTE_A_20<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_3<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_1<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_2<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_5<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_6<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u2.NB_ATTENTE_A_18<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_6<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u2.NB_ATTENTE_A_14<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u2.NB_ATTENTE_A_16<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u2.NB_ATTENTE_A_17<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_3<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_4<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_1<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u2.NB_ATTENTE_A_15<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u2.NB_ATTENTE_A_14<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_10<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u2.NB_ATTENTE_A_13<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_12<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_11<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_12<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u2.NB_ATTENTE_A_17<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_7<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_8<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_9<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u2.NB_ATTENTE_A_19<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_9<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_1<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u2.NB_ATTENTE_A_19<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_3<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_2<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u2.NB_ATTENTE_A_20<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u2.NB_ATTENTE_A_18<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_6<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_5<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_6<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u2.NB_ATTENTE_A_16<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u2.NB_ATTENTE_A_17<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_1<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u2.NB_ATTENTE_A_14<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_4<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u2.NB_ATTENTE_A_15<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_12<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_10<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u2.NB_ATTENTE_A_13<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_11<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_12<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_11<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_7<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_8<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_9<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u2.NB_ATTENTE_A_20<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_9<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u2.NB_ATTENTE_A_19<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u2.NB_ATTENTE_A_20<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_1<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_2<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u2.NB_ATTENTE_A_19<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_4<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u2.NB_ATTENTE_A_18<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_5<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u2.NB_ATTENTE_A_15<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_5<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_6<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u2.NB_ATTENTE_A_17<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u2.NB_ATTENTE_A_16<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u2.NB_ATTENTE_A_14<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u2.NB_ATTENTE_A_15<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u2.NB_ATTENTE_A_16<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_4<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_3<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_10<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_11<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u2.NB_ATTENTE_A_13<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_8<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_10<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_7<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_12<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_8<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_7<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_9<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u2.NB_ATTENTE_A_20<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_4<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_1<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_2<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u2.NB_ATTENTE_A_19<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_5<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u2.NB_ATTENTE_A_18<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_6<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u2.NB_ATTENTE_A_15<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u2.NB_ATTENTE_A_17<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u2.NB_ATTENTE_A_16<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_4<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u2.NB_ATTENTE_A_15<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u2.NB_ATTENTE_A_14<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_2<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_3<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_10<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_11<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_6<1)))&&((((i2.u2.NB_ATTENTE_A_13<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_10<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_7<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_12<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_3<1)))&&((((i2.u2.NB_ATTENTE_A_13<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_0<1)))&&((((i2.u2.NB_ATTENTE_A_18<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_8<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_7<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_9<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_4<1)))&&((((i2.u2.NB_ATTENTE_A_19<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i0.u1.COMPTEUR_7<1)))" & G"(((((((((((((((((((((((i1.u0.CAPACITE_0>=1)&&(i2.u2.NB_ATTENTE_A_16>=1))&&(i2.u2.ATTENTE_A_0>=1))&&(i0.u5.CONTROLEUR_0>=1))||((((i1.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_A_10>=1))&&(i2.u2.ATTENTE_A_0>=1))&&(i0.u5.CONTROLEUR_0>=1)))||((((i1.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_A_4>=1))&&(i2.u2.ATTENTE_A_0>=1))&&(i0.u5.CONTROLEUR_0>=1)))||((((i1.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_A_3>=1))&&(i2.u2.ATTENTE_A_0>=1))&&(i0.u5.CONTROLEUR_0>=1)))||((((i1.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_A_9>=1))&&(i2.u2.ATTENTE_A_0>=1))&&(i0.u5.CONTROLEUR_0>=1)))||((((i1.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_A_5>=1))&&(i2.u2.ATTENTE_A_0>=1))&&(i0.u5.CONTROLEUR_0>=1)))||((((i1.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_A_11>=1))&&(i2.u2.ATTENTE_A_0>=1))&&(i0.u5.CONTROLEUR_0>=1)))||((((i1.u0.CAPACITE_0>=1)&&(i2.u2.NB_ATTENTE_A_14>=1))&&(i2.u2.ATTENTE_A_0>=1))&&(i0.u5.CONTROLEUR_0>=1)))||((((i1.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_A_2>=1))&&(i2.u2.ATTENTE_A_0>=1))&&(i0.u5.CONTROLEUR_0>=1)))||((((i1.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_A_6>=1))&&(i2.u2.ATTENTE_A_0>=1))&&(i0.u5.CONTROLEUR_0>=1)))||((((i1.u0.CAPACITE_0>=1)&&(i2.u2.NB_ATTENTE_A_15>=1))&&(i2.u2.ATTENTE_A_0>=1))&&(i0.u5.CONTROLEUR_0>=1)))||((((i1.u0.CAPACITE_0>=1)&&(i2.u2.NB_ATTENTE_A_19>=1))&&(i2.u2.ATTENTE_A_0>=1))&&(i0.u5.CONTROLEUR_0>=1)))||((((i1.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_A_1>=1))&&(i2.u2.ATTENTE_A_0>=1))&&(i0.u5.CONTROLEUR_0>=1)))||((((i1.u0.CAPACITE_0>=1)&&(i2.u2.NB_ATTENTE_A_13>=1))&&(i2.u2.ATTENTE_A_0>=1))&&(i0.u5.CONTROLEUR_0>=1)))||((((i1.u0.CAPACITE_0>=1)&&(i2.u2.NB_ATTENTE_A_20>=1))&&(i2.u2.ATTENTE_A_0>=1))&&(i0.u5.CONTROLEUR_0>=1)))||((((i1.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_A_7>=1))&&(i2.u2.ATTENTE_A_0>=1))&&(i0.u5.CONTROLEUR_0>=1)))||((((i1.u0.CAPACITE_0>=1)&&(i2.u2.NB_ATTENTE_A_18>=1))&&(i2.u2.ATTENTE_A_0>=1))&&(i0.u5.CONTROLEUR_0>=1)))||((((i1.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_A_12>=1))&&(i2.u2.ATTENTE_A_0>=1))&&(i0.u5.CONTROLEUR_0>=1)))||((((i1.u0.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_A_8>=1))&&(i2.u2.ATTENTE_A_0>=1))&&(i0.u5.CONTROLEUR_0>=1)))||((((i1.u0.CAPACITE_0>=1)&&(i2.u2.NB_ATTENTE_A_17>=1))&&(i2.u2.ATTENTE_A_0>=1))&&(i0.u5.CONTROLEUR_0>=1)))"))))
Detected timeout of ITS tools.
Built C files in :
/tmp/ltsmin9886948439770469848
[2021-05-08 22:10:49] [INFO ] Built C files in 33ms conformant to PINS (ltsmin variant)in folder :/tmp/ltsmin9886948439770469848
Running compilation step : CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/include/, -I., -std=c99, -fPIC, -O2, model.c], workingDir=/tmp/ltsmin9886948439770469848]
WARNING : LTS min runner thread failed on error :java.lang.RuntimeException: Compilation or link of executable timed out.java.util.concurrent.TimeoutException: Subprocess running CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/include/, -I., -std=c99, -fPIC, -O2, model.c], workingDir=/tmp/ltsmin9886948439770469848] killed by timeout after 1 SECONDS
java.lang.RuntimeException: Compilation or link of executable timed out.java.util.concurrent.TimeoutException: Subprocess running CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/include/, -I., -std=c99, -fPIC, -O2, model.c], workingDir=/tmp/ltsmin9886948439770469848] killed by timeout after 1 SECONDS
at fr.lip6.move.gal.application.LTSminRunner$1.run(LTSminRunner.java:79)
at java.base/java.lang.Thread.run(Thread.java:834)
Treatment of property BridgeAndVehicles-COL-V20P20N10-04 finished in 50438 ms.
Running Spot : CommandLine [args=[/home/mcc/BenchKit/bin//..//ltl2tgba, --hoaf=tv, -f, !(X(X(G((p0 U ((!p1 U !p2)||F(G(p3))))))))], workingDir=/home/mcc/execution]
Support contains 58 out of 66 places. Attempting structural reductions.
Starting structural reductions, iteration 0 : 66/66 places, 548/548 transitions.
Discarding 1 places :
Symmetric choice reduction at 0 with 1 rule applications. Total rules 1 place count 65 transition count 547
Iterating global reduction 0 with 1 rules applied. Total rules applied 2 place count 65 transition count 547
Applied a total of 2 rules in 11 ms. Remains 65 /66 variables (removed 1) and now considering 547/548 (removed 1) transitions.
[2021-05-08 22:10:50] [INFO ] Flow matrix only has 129 transitions (discarded 418 similar events)
// Phase 1: matrix 129 rows 65 cols
[2021-05-08 22:10:50] [INFO ] Computed 5 place invariants in 1 ms
[2021-05-08 22:10:50] [INFO ] Dead Transitions using invariants and state equation in 268 ms returned []
[2021-05-08 22:10:50] [INFO ] Flow matrix only has 129 transitions (discarded 418 similar events)
// Phase 1: matrix 129 rows 65 cols
[2021-05-08 22:10:50] [INFO ] Computed 5 place invariants in 3 ms
[2021-05-08 22:10:51] [INFO ] Implicit Places using invariants in 44 ms returned []
[2021-05-08 22:10:51] [INFO ] Flow matrix only has 129 transitions (discarded 418 similar events)
// Phase 1: matrix 129 rows 65 cols
[2021-05-08 22:10:51] [INFO ] Computed 5 place invariants in 8 ms
[2021-05-08 22:10:51] [INFO ] State equation strengthened by 22 read => feed constraints.
[2021-05-08 22:10:51] [INFO ] Implicit Places using invariants and state equation in 146 ms returned [23, 46]
Discarding 2 places :
Implicit Place search using SMT with State Equation took 194 ms to find 2 implicit places.
[2021-05-08 22:10:51] [INFO ] Flow matrix only has 129 transitions (discarded 418 similar events)
// Phase 1: matrix 129 rows 63 cols
[2021-05-08 22:10:51] [INFO ] Computed 5 place invariants in 12 ms
[2021-05-08 22:10:51] [INFO ] Dead Transitions using invariants and state equation in 204 ms returned []
Starting structural reductions, iteration 1 : 63/66 places, 547/548 transitions.
Applied a total of 0 rules in 8 ms. Remains 63 /63 variables (removed 0) and now considering 547/547 (removed 0) transitions.
[2021-05-08 22:10:51] [INFO ] Flow matrix only has 129 transitions (discarded 418 similar events)
// Phase 1: matrix 129 rows 63 cols
[2021-05-08 22:10:51] [INFO ] Computed 5 place invariants in 1 ms
[2021-05-08 22:10:51] [INFO ] Dead Transitions using invariants and state equation in 191 ms returned []
Finished structural reductions, in 2 iterations. Remains : 63/66 places, 547/548 transitions.
Stuttering acceptance computed with spot in 202 ms :[(AND p2 (NOT p3)), (AND p2 (NOT p3)), (AND p2 (NOT p3)), (NOT p3), (AND p2 (NOT p3)), (AND p2 (NOT p3))]
Running random walk in product with property : BridgeAndVehicles-COL-V20P20N10-07 automaton TGBA [mat=[[{ cond=true, acceptance={} source=0 dest: 1}], [{ cond=true, acceptance={} source=1 dest: 2}], [{ cond=(OR (NOT p2) (NOT p1) p0), acceptance={} source=2 dest: 2}, { cond=(AND p2 p1 (NOT p0)), acceptance={} source=2 dest: 3}, { cond=(AND p2 (NOT p1) (NOT p0)), acceptance={} source=2 dest: 4}, { cond=(AND p2 (NOT p3) p0), acceptance={} source=2 dest: 5}], [{ cond=(NOT p3), acceptance={0} source=3 dest: 3}, { cond=p3, acceptance={} source=3 dest: 3}], [{ cond=(AND p2 p1), acceptance={} source=4 dest: 3}, { cond=(AND p2 (NOT p1) (NOT p3)), acceptance={0} source=4 dest: 4}, { cond=(AND p2 (NOT p1) p3), acceptance={} source=4 dest: 4}], [{ cond=(AND p2 p1 (NOT p0)), acceptance={} source=5 dest: 3}, { cond=(AND p2 (NOT p1) (NOT p0)), acceptance={} source=5 dest: 4}, { cond=(AND p2 (NOT p3) p0), acceptance={0} source=5 dest: 5}, { cond=(AND p2 p3 p0), acceptance={} source=5 dest: 5}]], initial=0, aps=[p2:(AND (OR (LT s20 1) (LT s24 1) (LT s47 1) (LT s53 1)) (OR (LT s5 1) (LT s24 1) (LT s47 1) (LT s57 1)) (OR (LT s3 1) (LT s24 1) (LT s47 1) (LT s51 1)) (OR (LT s2 1) (LT s24 1) (LT s47 1) (LT s56 1)) (OR (LT s19 1) (LT s24 1) (LT s47 1) (LT s50 1)) (OR (LT s7 1) (LT s24 1) (LT s47 1) (LT s55 1)) (OR (LT s6 1) (LT s24 1) (LT s47 1) (LT s60 1)) (OR (LT s17 1) (LT s24 1) (LT s47 1) (LT s52 1)) (OR (LT s18 1) (LT s24 1) (LT s47 1) (LT s55 1)) (OR (LT s6 1) (LT s24 1) (LT s47 1) (LT s52 1)) (OR (LT s15 1) (LT s24 1) (LT s47 1) (LT s54 1)) (OR (LT s16 1) (LT s24 1) (LT s47 1) (LT s57 1)) (OR (LT s4 1) (LT s24 1) (LT s47 1) (LT s54 1)) (OR (LT s3 1) (LT s24 1) (LT s47 1) (LT s59 1)) (OR (LT s11 1) (LT s24 1) (LT s47 1) (LT s50 1)) (OR (LT s12 1) (LT s24 1) (LT s47 1) (LT s53 1)) (OR (LT s13 1) (LT s24 1) (LT s47 1) (LT s56 1)) (OR (LT s14 1) (LT s24 1) (LT s47 1) (LT s59 1)) (OR (LT s11 1) (LT s24 1) (LT s47 1) (LT s58 1)) (OR (LT s14 1) (LT s24 1) (LT s47 1) (LT s51 1)) (OR (LT s19 1) (LT s24 1) (LT s47 1) (LT s59 1)) (OR (LT s9 1) (LT s24 1) (LT s47 1) (LT s52 1)) (OR (LT s8 1) (LT s24 1) (LT s47 1) (LT s57 1)) (OR (LT s21 1) (LT s24 1) (LT s47 1) (LT s57 1)) (OR (LT s10 1) (LT s24 1) (LT s47 1) (LT s55 1)) (OR (LT s9 1) (LT s24 1) (LT s47 1) (LT s60 1)) (OR (LT s21 1) (LT s24 1) (LT s47 1) (LT s55 1)) (OR (LT s2 1) (LT s24 1) (LT s47 1) (LT s55 1)) (OR (LT s20 1) (LT s24 1) (LT s47 1) (LT s54 1)) (OR (LT s3 1) (LT s24 1) (LT s47 1) (LT s52 1)) (OR (LT s5 1) (LT s24 1) (LT s47 1) (LT s58 1)) (OR (LT s7 1) (LT s24 1) (LT s47 1) (LT s56 1)) (OR (LT s19 1) (LT s24 1) (LT s47 1) (LT s57 1)) (OR (LT s6 1) (LT s24 1) (LT s47 1) (LT s59 1)) (OR (LT s18 1) (LT s24 1) (LT s47 1) (LT s56 1)) (OR (LT s16 1) (LT s24 1) (LT s47 1) (LT s50 1)) (OR (LT s17 1) (LT s24 1) (LT s47 1) (LT s59 1)) (OR (LT s15 1) (LT s24 1) (LT s47 1) (LT s53 1)) (OR (LT s6 1) (LT s24 1) (LT s47 1) (LT s51 1)) (OR (LT s16 1) (LT s24 1) (LT s47 1) (LT s58 1)) (OR (LT s17 1) (LT s24 1) (LT s47 1) (LT s51 1)) (OR (LT s4 1) (LT s24 1) (LT s47 1) (LT s53 1)) (OR (LT s3 1) (LT s24 1) (LT s47 1) (LT s60 1)) (OR (LT s5 1) (LT s24 1) (LT s47 1) (LT s50 1)) (OR (LT s14 1) (LT s24 1) (LT s47 1) (LT s60 1)) (OR (LT s12 1) (LT s24 1) (LT s47 1) (LT s54 1)) (OR (LT s11 1) (LT s24 1) (LT s47 1) (LT s57 1)) (OR (LT s9 1) (LT s24 1) (LT s47 1) (LT s51 1)) (OR (LT s14 1) (LT s24 1) (LT s47 1) (LT s52 1)) (OR (LT s17 1) (LT s24 1) (LT s47 1) (LT s60 1)) (OR (LT s8 1) (LT s24 1) (LT s47 1) (LT s50 1)) (OR (LT s13 1) (LT s24 1) (LT s47 1) (LT s55 1)) (OR (LT s9 1) (LT s24 1) (LT s47 1) (LT s59 1)) (OR (LT s19 1) (LT s24 1) (LT s47 1) (LT s58 1)) (OR (LT s8 1) (LT s24 1) (LT s47 1) (LT s58 1)) (OR (LT s21 1) (LT s24 1) (LT s47 1) (LT s56 1)) (OR (LT s10 1) (LT s24 1) (LT s47 1) (LT s56 1)) (OR (LT s20 1) (LT s24 1) (LT s47 1) (LT s51 1)) (OR (LT s4 1) (LT s24 1) (LT s47 1) (LT s60 1)) (OR (LT s21 1) (LT s24 1) (LT s47 1) (LT s50 1)) (OR (LT s3 1) (LT s24 1) (LT s47 1) (LT s53 1)) (OR (LT s2 1) (LT s24 1) (LT s47 1) (LT s58 1)) (OR (LT s19 1) (LT s24 1) (LT s47 1) (LT s56 1)) (OR (LT s7 1) (LT s24 1) (LT s47 1) (LT s57 1)) (OR (LT s2 1) (LT s24 1) (LT s47 1) (LT s50 1)) (OR (LT s17 1) (LT s24 1) (LT s47 1) (LT s54 1)) (OR (LT s18 1) (LT s24 1) (LT s47 1) (LT s57 1)) (OR (LT s15 1) (LT s24 1) (LT s47 1) (LT s52 1)) (OR (LT s6 1) (LT s24 1) (LT s47 1) (LT s54 1)) (OR (LT s4 1) (LT s24 1) (LT s47 1) (LT s52 1)) (OR (LT s5 1) (LT s24 1) (LT s47 1) (LT s55 1)) (OR (LT s16 1) (LT s24 1) (LT s47 1) (LT s55 1)) (OR (LT s15 1) (LT s24 1) (LT s47 1) (LT s60 1)) (OR (LT s13 1) (LT s24 1) (LT s47 1) (LT s58 1)) (OR (LT s12 1) (LT s24 1) (LT s47 1) (LT s51 1)) (OR (LT s11 1) (LT s24 1) (LT s47 1) (LT s56 1)) (OR (LT s13 1) (LT s24 1) (LT s47 1) (LT s50 1)) (OR (LT s14 1) (LT s24 1) (LT s47 1) (LT s53 1)) (OR (LT s12 1) (LT s24 1) (LT s47 1) (LT s59 1)) (OR (LT s8 1) (LT s24 1) (LT s47 1) (LT s55 1)) (OR (LT s9 1) (LT s24 1) (LT s47 1) (LT s54 1)) (OR (LT s20 1) (LT s24 1) (LT s47 1) (LT s60 1)) (OR (LT s10 1) (LT s24 1) (LT s47 1) (LT s57 1)) (OR (LT s21 1) (LT s24 1) (LT s47 1) (LT s59 1)) (OR (LT s3 1) (LT s24 1) (LT s47 1) (LT s54 1)) (OR (LT s20 1) (LT s24 1) (LT s47 1) (LT s52 1)) (OR (LT s4 1) (LT s24 1) (LT s47 1) (LT s59 1)) (OR (LT s5 1) (LT s24 1) (LT s47 1) (LT s56 1)) (OR (LT s2 1) (LT s24 1) (LT s47 1) (LT s57 1)) (OR (LT s7 1) (LT s24 1) (LT s47 1) (LT s58 1)) (OR (LT s19 1) (LT s24 1) (LT s47 1) (LT s55 1)) (OR (LT s18 1) (LT s24 1) (LT s47 1) (LT s58 1)) (OR (LT s7 1) (LT s24 1) (LT s47 1) (LT s50 1)) (OR (LT s17 1) (LT s24 1) (LT s47 1) (LT s53 1)) (OR (LT s6 1) (LT s24 1) (LT s47 1) (LT s53 1)) (OR (LT s15 1) (LT s24 1) (LT s47 1) (LT s51 1)) (OR (LT s18 1) (LT s24 1) (LT s47 1) (LT s50 1)) (OR (LT s4 1) (LT s24 1) (LT s47 1) (LT s51 1)) (OR (LT s16 1) (LT s24 1) (LT s47 1) (LT s56 1)) (OR (LT s15 1) (LT s24 1) (LT s47 1) (LT s59 1)) (OR (LT s13 1) (LT s24 1) (LT s47 1) (LT s57 1)) (OR (LT s10 1) (LT s24 1) (LT s47 1) (LT s50 1)) (OR (LT s11 1) (LT s24 1) (LT s47 1) (LT s55 1)) (OR (LT s12 1) (LT s24 1) (LT s47 1) (LT s52 1)) (OR (LT s14 1) (LT s24 1) (LT s47 1) (LT s54 1)) (OR (LT s18 1) (LT s24 1) (LT s47 1) (LT s59 1)) (OR (LT s12 1) (LT s24 1) (LT s47 1) (LT s60 1)) (OR (LT s8 1) (LT s24 1) (LT s47 1) (LT s56 1)) (OR (LT s9 1) (LT s24 1) (LT s47 1) (LT s53 1)) (OR (LT s10 1) (LT s24 1) (LT s47 1) (LT s58 1)) (OR (LT s21 1) (LT s24 1) (LT s47 1) (LT s58 1)) (OR (LT s21 1) (LT s24 1) (LT s47 1) (LT s52 1)) (OR (LT s4 1) (LT s24 1) (LT s47 1) (LT s58 1)) (OR (LT s2 1) (LT s24 1) (LT s47 1) (LT s52 1)) (OR (LT s3 1) (LT s24 1) (LT s47 1) (LT s55 1)) (OR (LT s6 1) (LT s24 1) (LT s47 1) (LT s56 1)) (OR (LT s7 1) (LT s24 1) (LT s47 1) (LT s59 1)) (OR (LT s19 1) (LT s24 1) (LT s47 1) (LT s54 1)) (OR (LT s7 1) (LT s24 1) (LT s47 1) (LT s51 1)) (OR (LT s15 1) (LT s24 1) (LT s47 1) (LT s50 1)) (OR (LT s17 1) (LT s24 1) (LT s47 1) (LT s56 1)) (OR (LT s18 1) (LT s24 1) (LT s47 1) (LT s51 1)) (OR (LT s4 1) (LT s24 1) (LT s47 1) (LT s50 1)) (OR (LT s5 1) (LT s24 1) (LT s47 1) (LT s53 1)) (OR (LT s2 1) (LT s24 1) (LT s47 1) (LT s60 1)) (OR (LT s16 1) (LT s24 1) (LT s47 1) (LT s53 1)) (OR (LT s15 1) (LT s24 1) (LT s47 1) (LT s58 1)) (OR (LT s11 1) (LT s24 1) (LT s47 1) (LT s54 1)) (OR (LT s14 1) (LT s24 1) (LT s47 1) (LT s55 1)) (OR (LT s13 1) (LT s24 1) (LT s47 1) (LT s60 1)) (OR (LT s12 1) (LT s24 1) (LT s47 1) (LT s57 1)) (OR (LT s13 1) (LT s24 1) (LT s47 1) (LT s52 1)) (OR (LT s18 1) (LT s24 1) (LT s47 1) (LT s60 1)) (OR (LT s8 1) (LT s24 1) (LT s47 1) (LT s53 1)) (OR (LT s9 1) (LT s24 1) (LT s47 1) (LT s56 1)) (OR (LT s10 1) (LT s24 1) (LT s47 1) (LT s59 1)) (OR (LT s20 1) (LT s24 1) (LT s47 1) (LT s58 1)) (OR (LT s10 1) (LT s24 1) (LT s47 1) (LT s51 1)) (OR (LT s2 1) (LT s24 1) (LT s47 1) (LT s51 1)) (OR (LT s20 1) (LT s24 1) (LT s47 1) (LT s50 1)) (OR (LT s4 1) (LT s24 1) (LT s47 1) (LT s57 1)) (OR (LT s3 1) (LT s24 1) (LT s47 1) (LT s56 1)) (OR (LT s21 1) (LT s24 1) (LT s47 1) (LT s51 1)) (OR (LT s19 1) (LT s24 1) (LT s47 1) (LT s53 1)) (OR (LT s7 1) (LT s24 1) (LT s47 1) (LT s60 1)) (OR (LT s6 1) (LT s24 1) (LT s47 1) (LT s55 1)) (OR (LT s7 1) (LT s24 1) (LT s47 1) (LT s52 1)) (OR (LT s17 1) (LT s24 1) (LT s47 1) (LT s55 1)) (OR (LT s18 1) (LT s24 1) (LT s47 1) (LT s52 1)) (OR (LT s2 1) (LT s24 1) (LT s47 1) (LT s59 1)) (OR (LT s15 1) (LT s24 1) (LT s47 1) (LT s57 1)) (OR (LT s5 1) (LT s24 1) (LT s47 1) (LT s54 1)) (OR (LT s16 1) (LT s24 1) (LT s47 1) (LT s54 1)) (OR (LT s13 1) (LT s24 1) (LT s47 1) (LT s59 1)) (OR (LT s11 1) (LT s24 1) (LT s47 1) (LT s53 1)) (OR (LT s14 1) (LT s24 1) (LT s47 1) (LT s56 1)) (OR (LT s12 1) (LT s24 1) (LT s47 1) (LT s50 1)) (OR (LT s13 1) (LT s24 1) (LT s47 1) (LT s51 1)) (OR (LT s12 1) (LT s24 1) (LT s47 1) (LT s58 1)) (OR (LT s8 1) (LT s24 1) (LT s47 1) (LT s54 1)) (OR (LT s9 1) (LT s24 1) (LT s47 1) (LT s55 1)) (OR (LT s10 1) (LT s24 1) (LT s47 1) (LT s60 1)) (OR (LT s21 1) (LT s24 1) (LT s47 1) (LT s60 1)) (OR (LT s10 1) (LT s24 1) (LT s47 1) (LT s52 1)) (OR (LT s20 1) (LT s24 1) (LT s47 1) (LT s59 1)) (OR (LT s21 1) (LT s24 1) (LT s47 1) (LT s54 1)) (OR (LT s2 1) (LT s24 1) (LT s47 1) (LT s54 1)) (OR (LT s3 1) (LT s24 1) (LT s47 1) (LT s57 1)) (OR (LT s20 1) (LT s24 1) (LT s47 1) (LT s55 1)) (OR (LT s5 1) (LT s24 1) (LT s47 1) (LT s59 1)) (OR (LT s19 1) (LT s24 1) (LT s47 1) (LT s52 1)) (OR (LT s6 1) (LT s24 1) (LT s47 1) (LT s58 1)) (OR (LT s16 1) (LT s24 1) (LT s47 1) (LT s51 1)) (OR (LT s6 1) (LT s24 1) (LT s47 1) (LT s50 1)) (OR (LT s7 1) (LT s24 1) (LT s47 1) (LT s53 1)) (OR (LT s18 1) (LT s24 1) (LT s47 1) (LT s53 1)) (OR (LT s17 1) (LT s24 1) (LT s47 1) (LT s58 1)) (OR (LT s15 1) (LT s24 1) (LT s47 1) (LT s56 1)) (OR (LT s16 1) (LT s24 1) (LT s47 1) (LT s59 1)) (OR (LT s17 1) (LT s24 1) (LT s47 1) (LT s50 1)) (OR (LT s5 1) (LT s24 1) (LT s47 1) (LT s51 1)) (OR (LT s4 1) (LT s24 1) (LT s47 1) (LT s56 1)) (OR (LT s11 1) (LT s24 1) (LT s47 1) (LT s52 1)) (OR (LT s12 1) (LT s24 1) (LT s47 1) (LT s55 1)) (OR (LT s14 1) (LT s24 1) (LT s47 1) (LT s57 1)) (OR (LT s9 1) (LT s24 1) (LT s47 1) (LT s50 1)) (OR (LT s11 1) (LT s24 1) (LT s47 1) (LT s60 1)) (OR (LT s8 1) (LT s24 1) (LT s47 1) (LT s51 1)) (OR (LT s13 1) (LT s24 1) (LT s47 1) (LT s54 1)) (OR (LT s9 1) (LT s24 1) (LT s47 1) (LT s58 1)) (OR (LT s8 1) (LT s24 1) (LT s47 1) (LT s59 1)) (OR (LT s10 1) (LT s24 1) (LT s47 1) (LT s53 1)) (OR (LT s21 1) (LT s24 1) (LT s47 1) (LT s53 1)) (OR (LT s5 1) (LT s24 1) (LT s47 1) (LT s60 1)) (OR (LT s2 1) (LT s24 1) (LT s47 1) (LT s53 1)) (OR (LT s3 1) (LT s24 1) (LT s47 1) (LT s50 1)) (OR (LT s20 1) (LT s24 1) (LT s47 1) (LT s56 1)) (OR (LT s6 1) (LT s24 1) (LT s47 1) (LT s57 1)) (OR (LT s19 1) (LT s24 1) (LT s47 1) (LT s51 1)) (OR (LT s7 1) (LT s24 1) (LT s47 1) (LT s54 1)) (OR (LT s16 1) (LT s24 1) (LT s47 1) (LT s52 1)) (OR (LT s18 1) (LT s24 1) (LT s47 1) (LT s54 1)) (OR (LT s17 1) (LT s24 1) (LT s47 1) (LT s57 1)) (OR (LT s5 1) (LT s24 1) (LT s47 1) (LT s52 1)) (OR (LT s16 1) (LT s24 1) (LT s47 1) (LT s60 1)) (OR (LT s15 1) (LT s24 1) (LT s47 1) (LT s55 1)) (OR (LT s3 1) (LT s24 1) (LT s47 1) (LT s58 1)) (OR (LT s4 1) (LT s24 1) (LT s47 1) (LT s55 1)) (OR (LT s11 1) (LT s24 1) (LT s47 1) (LT s51 1)) (OR (LT s12 1) (LT s24 1) (LT s47 1) (LT s56 1)) (OR (LT s14 1) (LT s24 1) (LT s47 1) (LT s58 1)) (OR (LT s11 1) (LT s24 1) (LT s47 1) (LT s59 1)) (OR (LT s8 1) (LT s24 1) (LT s47 1) (LT s52 1)) (OR (LT s13 1) (LT s24 1) (LT s47 1) (LT s53 1)) (OR (LT s14 1) (LT s24 1) (LT s47 1) (LT s50 1)) (OR (LT s19 1) (LT s24 1) (LT s47 1) (LT s60 1)) (OR (LT s9 1) (LT s24 1) (LT s47 1) (LT s57 1)) (OR (LT s8 1) (LT s24 1) (LT s47 1) (LT s60 1)) (OR (LT s10 1) (LT s24 1) (LT s47 1) (LT s54 1)) (OR (LT s20 1) (LT s24 1) (LT s47 1) (LT s57 1))), p1:(AND (OR (LT s48 1) (LT s60 1)) (OR (LT s49 1) (LT s60 1))), p0:(AND (OR (LT s5 1) (LT s22 1)) (OR (LT s10 1) (LT s22 1)) (OR (LT s20 1) (LT s22 1)) (OR (LT s3 1) (LT s22 1)) (OR (LT s7 1) (LT s22 1)) (OR (LT s2 1) (LT s22 1)) (OR (LT s13 1) (LT s22 1)) (OR (LT s19 1) (LT s22 1)) (OR (LT s17 1) (LT s22 1)) (OR (LT s15 1) (LT s22 1)) (OR (LT s16 1) (LT s22 1)) (OR (LT s21 1) (LT s22 1)) (OR (LT s11 1) (LT s22 1)) (OR (LT s9 1) (LT s22 1)) (OR (LT s4 1) (LT s22 1)) (OR (LT s6 1) (LT s22 1)) (OR (LT s8 1) (LT s22 1)) (OR (LT s18 1) (LT s22 1)) (OR (LT s1 1) (LT s22 1)) (OR (LT s12 1) (LT s22 1)) (OR (LT s14 1) (LT s22 1))), p3:(OR (AND (GEQ s1 1) (GEQ s40 1) (GEQ s46 1) (GEQ s54 1)) (AND (GEQ s1 1) (GEQ s28 1) (GEQ s46 1) (GEQ s53 1)) (AND (GEQ s1 1) (GEQ s26 1) (GEQ s46 1) (GEQ s50 1)) (AND (GEQ s1 1) (GEQ s30 1) (GEQ s46 1) (GEQ s56 1)) (AND (GEQ s1 1) (GEQ s26 1) (GEQ s46 1) (GEQ s58 1)) (AND (GEQ s1 1) (GEQ s35 1) (GEQ s46 1) (GEQ s55 1)) (AND (GEQ s1 1) (GEQ s25 1) (GEQ s46 1) (GEQ s57 1)) (AND (GEQ s1 1) (GEQ s38 1) (GEQ s46 1) (GEQ s59 1)) (AND (GEQ s1 1) (GEQ s44 1) (GEQ s46 1) (GEQ s60 1)) (AND (GEQ s1 1) (GEQ s31 1) (GEQ s46 1) (GEQ s57 1)) (AND (GEQ s1 1) (GEQ s43 1) (GEQ s46 1) (GEQ s50 1)) (AND (GEQ s1 1) (GEQ s33 1) (GEQ s46 1) (GEQ s60 1)) (AND (GEQ s1 1) (GEQ s36 1) (GEQ s46 1) (GEQ s56 1)) (AND (GEQ s1 1) (GEQ s38 1) (GEQ s46 1) (GEQ s51 1)) (AND (GEQ s1 1) (GEQ s33 1) (GEQ s46 1) (GEQ s52 1)) (AND (GEQ s1 1) (GEQ s27 1) (GEQ s46 1) (GEQ s51 1)) (AND (GEQ s1 1) (GEQ s41 1) (GEQ s46 1) (GEQ s55 1)) (AND (GEQ s1 1) (GEQ s39 1) (GEQ s46 1) (GEQ s52 1)) (AND (GEQ s1 1) (GEQ s34 1) (GEQ s46 1) (GEQ s53 1)) (AND (GEQ s1 1) (GEQ s44 1) (GEQ s46 1) (GEQ s51 1)) (AND (GEQ s1 1) (GEQ s32 1) (GEQ s46 1) (GEQ s50 1)) (AND (GEQ s1 1) (GEQ s43 1) (GEQ s46 1) (GEQ s59 1)) (AND (GEQ s1 1) (GEQ s39 1) (GEQ s46 1) (GEQ s60 1)) (AND (GEQ s1 1) (GEQ s32 1) (GEQ s46 1) (GEQ s58 1)) (AND (GEQ s1 1) (GEQ s27 1) (GEQ s46 1) (GEQ s59 1)) (AND (GEQ s1 1) (GEQ s29 1) (GEQ s46 1) (GEQ s54 1)) (AND (GEQ s1 1) (GEQ s42 1) (GEQ s46 1) (GEQ s56 1)) (AND (GEQ s1 1) (GEQ s37 1) (GEQ s46 1) (GEQ s57 1)) (AND (GEQ s1 1) (GEQ s40 1) (GEQ s46 1) (GEQ s53 1)) (AND (GEQ s1 1) (GEQ s28 1) (GEQ s46 1) (GEQ s54 1)) (AND (GEQ s1 1) (GEQ s30 1) (GEQ s46 1) (GEQ s55 1)) (AND (GEQ s1 1) (GEQ s26 1) (GEQ s46 1) (GEQ s57 1)) (AND (GEQ s1 1) (GEQ s35 1) (GEQ s46 1) (GEQ s54 1)) (AND (GEQ s1 1) (GEQ s25 1) (GEQ s46 1) (GEQ s56 1)) (AND (GEQ s1 1) (GEQ s38 1) (GEQ s46 1) (GEQ s60 1)) (AND (GEQ s1 1) (GEQ s31 1) (GEQ s46 1) (GEQ s56 1)) (AND (GEQ s1 1) (GEQ s43 1) (GEQ s46 1) (GEQ s51 1)) (AND (GEQ s1 1) (GEQ s36 1) (GEQ s46 1) (GEQ s55 1)) (AND (GEQ s1 1) (GEQ s38 1) (GEQ s46 1) (GEQ s52 1)) (AND (GEQ s1 1) (GEQ s33 1) (GEQ s46 1) (GEQ s53 1)) (AND (GEQ s1 1) (GEQ s27 1) (GEQ s46 1) (GEQ s50 1)) (AND (GEQ s1 1) (GEQ s39 1) (GEQ s46 1) (GEQ s53 1)) (AND (GEQ s1 1) (GEQ s41 1) (GEQ s46 1) (GEQ s54 1)) (AND (GEQ s1 1) (GEQ s34 1) (GEQ s46 1) (GEQ s54 1)) (AND (GEQ s1 1) (GEQ s44 1) (GEQ s46 1) (GEQ s52 1)) (AND (GEQ s1 1) (GEQ s43 1) (GEQ s46 1) (GEQ s60 1)) (AND (GEQ s1 1) (GEQ s32 1) (GEQ s46 1) (GEQ s57 1)) (AND (GEQ s1 1) (GEQ s27 1) (GEQ s46 1) (GEQ s58 1)) (AND (GEQ s1 1) (GEQ s29 1) (GEQ s46 1) (GEQ s55 1)) (AND (GEQ s1 1) (GEQ s42 1) (GEQ s46 1) (GEQ s55 1)) (AND (GEQ s1 1) (GEQ s37 1) (GEQ s46 1) (GEQ s56 1)) (AND (GEQ s1 1) (GEQ s28 1) (GEQ s46 1) (GEQ s55 1)) (AND (GEQ s1 1) (GEQ s40 1) (GEQ s46 1) (GEQ s56 1)) (AND (GEQ s1 1) (GEQ s33 1) (GEQ s46 1) (GEQ s50 1)) (AND (GEQ s1 1) (GEQ s42 1) (GEQ s46 1) (GEQ s59 1)) (AND (GEQ s1 1) (GEQ s30 1) (GEQ s46 1) (GEQ s58 1)) (AND (GEQ s1 1) (GEQ s35 1) (GEQ s46 1) (GEQ s53 1)) (AND (GEQ s1 1) (GEQ s31 1) (GEQ s46 1) (GEQ s51 1)) (AND (GEQ s1 1) (GEQ s26 1) (GEQ s46 1) (GEQ s56 1)) (AND (GEQ s1 1) (GEQ s30 1) (GEQ s46 1) (GEQ s50 1)) (AND (GEQ s1 1) (GEQ s43 1) (GEQ s46 1) (GEQ s56 1)) (AND (GEQ s1 1) (GEQ s44 1) (GEQ s46 1) (GEQ s58 1)) (AND (GEQ s1 1) (GEQ s31 1) (GEQ s46 1) (GEQ s59 1)) (AND (GEQ s1 1) (GEQ s36 1) (GEQ s46 1) (GEQ s54 1)) (AND (GEQ s1 1) (GEQ s25 1) (GEQ s46 1) (GEQ s55 1)) (AND (GEQ s1 1) (GEQ s33 1) (GEQ s46 1) (GEQ s58 1)) (AND (GEQ s1 1) (GEQ s38 1) (GEQ s46 1) (GEQ s53 1)) (AND (GEQ s1 1) (GEQ s41 1) (GEQ s46 1) (GEQ s57 1)) (AND (GEQ s1 1) (GEQ s34 1) (GEQ s46 1) (GEQ s51 1)) (AND (GEQ s1 1) (GEQ s29 1) (GEQ s46 1) (GEQ s56 1)) (AND (GEQ s1 1) (GEQ s27 1) (GEQ s46 1) (GEQ s57 1)) (AND (GEQ s1 1) (GEQ s32 1) (GEQ s46 1) (GEQ s52 1)) (AND (GEQ s1 1) (GEQ s39 1) (GEQ s46 1) (GEQ s54 1)) (AND (GEQ s1 1) (GEQ s34 1) (GEQ s46 1) (GEQ s59 1)) (AND (GEQ s1 1) (GEQ s32 1) (GEQ s46 1) (GEQ s60 1)) (AND (GEQ s1 1) (GEQ s42 1) (GEQ s46 1) (GEQ s50 1)) (AND (GEQ s1 1) (GEQ s37 1) (GEQ s46 1) (GEQ s55 1)) (AND (GEQ s1 1) (GEQ s42 1) (GEQ s46 1) (GEQ s58 1)) (AND (GEQ s1 1) (GEQ s35 1) (GEQ s46 1) (GEQ s60 1)) (AND (GEQ s1 1) (GEQ s28 1) (GEQ s46 1) (GEQ s56 1)) (AND (GEQ s1 1) (GEQ s33 1) (GEQ s46 1) (GEQ s51 1)) (AND (GEQ s1 1) (GEQ s40 1) (GEQ s46 1) (GEQ s55 1)) (AND (GEQ s1 1) (GEQ s35 1) (GEQ s46 1) (GEQ s52 1)) (AND (GEQ s1 1) (GEQ s30 1) (GEQ s46 1) (GEQ s57 1)) (AND (GEQ s1 1) (GEQ s31 1) (GEQ s46 1) (GEQ s50 1)) (AND (GEQ s1 1) (GEQ s26 1) (GEQ s46 1) (GEQ s55 1)) (AND (GEQ s1 1) (GEQ s44 1) (GEQ s46 1) (GEQ s59 1)) (AND (GEQ s1 1) (GEQ s43 1) (GEQ s46 1) (GEQ s57 1)) (AND (GEQ s1 1) (GEQ s36 1) (GEQ s46 1) (GEQ s53 1)) (AND (GEQ s1 1) (GEQ s31 1) (GEQ s46 1) (GEQ s58 1)) (AND (GEQ s1 1) (GEQ s25 1) (GEQ s46 1) (GEQ s54 1)) (AND (GEQ s1 1) (GEQ s33 1) (GEQ s46 1) (GEQ s59 1)) (AND (GEQ s1 1) (GEQ s38 1) (GEQ s46 1) (GEQ s54 1)) (AND (GEQ s1 1) (GEQ s41 1) (GEQ s46 1) (GEQ s56 1)) (AND (GEQ s1 1) (GEQ s29 1) (GEQ s46 1) (GEQ s57 1)) (AND (GEQ s1 1) (GEQ s34 1) (GEQ s46 1) (GEQ s52 1)) (AND (GEQ s1 1) (GEQ s27 1) (GEQ s46 1) (GEQ s56 1)) (AND (GEQ s1 1) (GEQ s32 1) (GEQ s46 1) (GEQ s51 1)) (AND (GEQ s1 1) (GEQ s39 1) (GEQ s46 1) (GEQ s55 1)) (AND (GEQ s1 1) (GEQ s44 1) (GEQ s46 1) (GEQ s50 1)) (AND (GEQ s1 1) (GEQ s43 1) (GEQ s46 1) (GEQ s58 1)) (AND (GEQ s1 1) (GEQ s34 1) (GEQ s46 1) (GEQ s60 1)) (AND (GEQ s1 1) (GEQ s37 1) (GEQ s46 1) (GEQ s54 1)) (AND (GEQ s1 1) (GEQ s32 1) (GEQ s46 1) (GEQ s59 1)) (AND (GEQ s1 1) (GEQ s42 1) (GEQ s46 1) (GEQ s57 1)) (AND (GEQ s1 1) (GEQ s35 1) (GEQ s46 1) (GEQ s59 1)) (AND (GEQ s1 1) (GEQ s40 1) (GEQ s46 1) (GEQ s58 1)) (AND (GEQ s1 1) (GEQ s26 1) (GEQ s46 1) (GEQ s54 1)) (AND (GEQ s1 1) (GEQ s35 1) (GEQ s46 1) (GEQ s51 1)) (AND (GEQ s1 1) (GEQ s40 1) (GEQ s46 1) (GEQ s50 1)) (AND (GEQ s1 1) (GEQ s31 1) (GEQ s46 1) (GEQ s53 1)) (AND (GEQ s1 1) (GEQ s30 1) (GEQ s46 1) (GEQ s60 1)) (AND (GEQ s1 1) (GEQ s43 1) (GEQ s46 1) (GEQ s54 1)) (AND (GEQ s1 1) (GEQ s36 1) (GEQ s46 1) (GEQ s52 1)) (AND (GEQ s1 1) (GEQ s25 1) (GEQ s46 1) (GEQ s53 1)) (AND (GEQ s1 1) (GEQ s38 1) (GEQ s46 1) (GEQ s55 1)) (AND (GEQ s1 1) (GEQ s30 1) (GEQ s46 1) (GEQ s52 1)) (AND (GEQ s1 1) (GEQ s33 1) (GEQ s46 1) (GEQ s56 1)) (AND (GEQ s1 1) (GEQ s36 1) (GEQ s46 1) (GEQ s60 1)) (AND (GEQ s1 1) (GEQ s28 1) (GEQ s46 1) (GEQ s57 1)) (AND (GEQ s1 1) (GEQ s41 1) (GEQ s46 1) (GEQ s60 1)) (AND (GEQ s1 1) (GEQ s41 1) (GEQ s46 1) (GEQ s59 1)) (AND (GEQ s1 1) (GEQ s34 1) (GEQ s46 1) (GEQ s57 1)) (AND (GEQ s1 1) (GEQ s27 1) (GEQ s46 1) (GEQ s55 1)) (AND (GEQ s1 1) (GEQ s29 1) (GEQ s46 1) (GEQ s58 1)) (AND (GEQ s1 1) (GEQ s41 1) (GEQ s46 1) (GEQ s51 1)) (AND (GEQ s1 1) (GEQ s39 1) (GEQ s46 1) (GEQ s56 1)) (AND (GEQ s1 1) (GEQ s44 1) (GEQ s46 1) (GEQ s55 1)) (AND (GEQ s1 1) (GEQ s37 1) (GEQ s46 1) (GEQ s53 1)) (AND (GEQ s1 1) (GEQ s32 1) (GEQ s46 1) (GEQ s54 1)) (AND (GEQ s1 1) (GEQ s29 1) (GEQ s46 1) (GEQ s50 1)) (AND (GEQ s1 1) (GEQ s42 1) (GEQ s46 1) (GEQ s52 1)) (AND (GEQ s1 1) (GEQ s35 1) (GEQ s46 1) (GEQ s58 1)) (AND (GEQ s1 1) (GEQ s40 1) (GEQ s46 1) (GEQ s57 1)) (AND (GEQ s1 1) (GEQ s28 1) (GEQ s46 1) (GEQ s50 1)) (AND (GEQ s1 1) (GEQ s42 1) (GEQ s46 1) (GEQ s60 1)) (AND (GEQ s1 1) (GEQ s26 1) (GEQ s46 1) (GEQ s53 1)) (AND (GEQ s1 1) (GEQ s35 1) (GEQ s46 1) (GEQ s50 1)) (AND (GEQ s1 1) (GEQ s25 1) (GEQ s46 1) (GEQ s60 1)) (AND (GEQ s1 1) (GEQ s31 1) (GEQ s46 1) (GEQ s52 1)) (AND (GEQ s1 1) (GEQ s30 1) (GEQ s46 1) (GEQ s59 1)) (AND (GEQ s1 1) (GEQ s43 1) (GEQ s46 1) (GEQ s55 1)) (AND (GEQ s1 1) (GEQ s36 1) (GEQ s46 1) (GEQ s51 1)) (AND (GEQ s1 1) (GEQ s44 1) (GEQ s46 1) (GEQ s57 1)) (AND (GEQ s1 1) (GEQ s25 1) (GEQ s46 1) (GEQ s52 1)) (AND (GEQ s1 1) (GEQ s38 1) (GEQ s46 1) (GEQ s56 1)) (AND (GEQ s1 1) (GEQ s30 1) (GEQ s46 1) (GEQ s51 1)) (AND (GEQ s1 1) (GEQ s31 1) (GEQ s46 1) (GEQ s60 1)) (AND (GEQ s1 1) (GEQ s36 1) (GEQ s46 1) (GEQ s59 1)) (AND (GEQ s1 1) (GEQ s33 1) (GEQ s46 1) (GEQ s57 1)) (AND (GEQ s1 1) (GEQ s28 1) (GEQ s46 1) (GEQ s58 1)) (AND (GEQ s1 1) (GEQ s41 1) (GEQ s46 1) (GEQ s58 1)) (AND (GEQ s1 1) (GEQ s34 1) (GEQ s46 1) (GEQ s58 1)) (AND (GEQ s1 1) (GEQ s27 1) (GEQ s46 1) (GEQ s54 1)) (AND (GEQ s1 1) (GEQ s29 1) (GEQ s46 1) (GEQ s59 1)) (AND (GEQ s1 1) (GEQ s39 1) (GEQ s46 1) (GEQ s57 1)) (AND (GEQ s1 1) (GEQ s41 1) (GEQ s46 1) (GEQ s50 1)) (AND (GEQ s1 1) (GEQ s44 1) (GEQ s46 1) (GEQ s56 1)) (AND (GEQ s1 1) (GEQ s37 1) (GEQ s46 1) (GEQ s52 1)) (AND (GEQ s1 1) (GEQ s32 1) (GEQ s46 1) (GEQ s53 1)) (AND (GEQ s1 1) (GEQ s34 1) (GEQ s46 1) (GEQ s50 1)) (AND (GEQ s1 1) (GEQ s37 1) (GEQ s46 1) (GEQ s60 1)) (AND (GEQ s1 1) (GEQ s29 1) (GEQ s46 1) (GEQ s51 1)) (AND (GEQ s1 1) (GEQ s42 1) (GEQ s46 1) (GEQ s51 1)) (AND (GEQ s1 1) (GEQ s28 1) (GEQ s46 1) (GEQ s51 1)) (AND (GEQ s1 1) (GEQ s40 1) (GEQ s46 1) (GEQ s60 1)) (AND (GEQ s1 1) (GEQ s26 1) (GEQ s46 1) (GEQ s52 1)) (AND (GEQ s1 1) (GEQ s35 1) (GEQ s46 1) (GEQ s57 1)) (AND (GEQ s1 1) (GEQ s40 1) (GEQ s46 1) (GEQ s52 1)) (AND (GEQ s1 1) (GEQ s31 1) (GEQ s46 1) (GEQ s55 1)) (AND (GEQ s1 1) (GEQ s36 1) (GEQ s46 1) (GEQ s50 1)) (AND (GEQ s1 1) (GEQ s25 1) (GEQ s46 1) (GEQ s59 1)) (AND (GEQ s1 1) (GEQ s26 1) (GEQ s46 1) (GEQ s60 1)) (AND (GEQ s1 1) (GEQ s30 1) (GEQ s46 1) (GEQ s54 1)) (AND (GEQ s1 1) (GEQ s43 1) (GEQ s46 1) (GEQ s52 1)) (AND (GEQ s1 1) (GEQ s38 1) (GEQ s46 1) (GEQ s57 1)) (AND (GEQ s1 1) (GEQ s36 1) (GEQ s46 1) (GEQ s58 1)) (AND (GEQ s1 1) (GEQ s25 1) (GEQ s46 1) (GEQ s51 1)) (AND (GEQ s1 1) (GEQ s33 1) (GEQ s46 1) (GEQ s54 1)) (AND (GEQ s1 1) (GEQ s28 1) (GEQ s46 1) (GEQ s59 1)) (AND (GEQ s1 1) (GEQ s34 1) (GEQ s46 1) (GEQ s55 1)) (AND (GEQ s1 1) (GEQ s29 1) (GEQ s46 1) (GEQ s60 1)) (AND (GEQ s1 1) (GEQ s27 1) (GEQ s46 1) (GEQ s53 1)) (AND (GEQ s1 1) (GEQ s41 1) (GEQ s46 1) (GEQ s53 1)) (AND (GEQ s1 1) (GEQ s39 1) (GEQ s46 1) (GEQ s50 1)) (AND (GEQ s1 1) (GEQ s44 1) (GEQ s46 1) (GEQ s53 1)) (AND (GEQ s1 1) (GEQ s39 1) (GEQ s46 1) (GEQ s58 1)) (AND (GEQ s1 1) (GEQ s32 1) (GEQ s46 1) (GEQ s56 1)) (AND (GEQ s1 1) (GEQ s37 1) (GEQ s46 1) (GEQ s51 1)) (AND (GEQ s1 1) (GEQ s29 1) (GEQ s46 1) (GEQ s52 1)) (AND (GEQ s1 1) (GEQ s37 1) (GEQ s46 1) (GEQ s59 1)) (AND (GEQ s1 1) (GEQ s42 1) (GEQ s46 1) (GEQ s54 1)) (AND (GEQ s1 1) (GEQ s28 1) (GEQ s46 1) (GEQ s52 1)) (AND (GEQ s1 1) (GEQ s40 1) (GEQ s46 1) (GEQ s59 1)) (AND (GEQ s1 1) (GEQ s26 1) (GEQ s46 1) (GEQ s51 1)) (AND (GEQ s1 1) (GEQ s35 1) (GEQ s46 1) (GEQ s56 1)) (AND (GEQ s1 1) (GEQ s40 1) (GEQ s46 1) (GEQ s51 1)) (AND (GEQ s1 1) (GEQ s31 1) (GEQ s46 1) (GEQ s54 1)) (AND (GEQ s1 1) (GEQ s25 1) (GEQ s46 1) (GEQ s58 1)) (AND (GEQ s1 1) (GEQ s26 1) (GEQ s46 1) (GEQ s59 1)) (AND (GEQ s1 1) (GEQ s30 1) (GEQ s46 1) (GEQ s53 1)) (AND (GEQ s1 1) (GEQ s38 1) (GEQ s46 1) (GEQ s58 1)) (AND (GEQ s1 1) (GEQ s43 1) (GEQ s46 1) (GEQ s53 1)) (AND (GEQ s1 1) (GEQ s36 1) (GEQ s46 1) (GEQ s57 1)) (AND (GEQ s1 1) (GEQ s25 1) (GEQ s46 1) (GEQ s50 1)) (AND (GEQ s1 1) (GEQ s33 1) (GEQ s46 1) (GEQ s55 1)) (AND (GEQ s1 1) (GEQ s28 1) (GEQ s46 1) (GEQ s60 1)) (AND (GEQ s1 1) (GEQ s38 1) (GEQ s46 1) (GEQ s50 1)) (AND (GEQ s1 1) (GEQ s34 1) (GEQ s46 1) (GEQ s56 1)) (AND (GEQ s1 1) (GEQ s27 1) (GEQ s46 1) (GEQ s52 1)) (AND (GEQ s1 1) (GEQ s39 1) (GEQ s46 1) (GEQ s51 1)) (AND (GEQ s1 1) (GEQ s41 1) (GEQ s46 1) (GEQ s52 1)) (AND (GEQ s1 1) (GEQ s39 1) (GEQ s46 1) (GEQ s59 1)) (AND (GEQ s1 1) (GEQ s44 1) (GEQ s46 1) (GEQ s54 1)) (AND (GEQ s1 1) (GEQ s37 1) (GEQ s46 1) (GEQ s50 1)) (AND (GEQ s1 1) (GEQ s32 1) (GEQ s46 1) (GEQ s55 1)) (AND (GEQ s1 1) (GEQ s29 1) (GEQ s46 1) (GEQ s53 1)) (AND (GEQ s1 1) (GEQ s27 1) (GEQ s46 1) (GEQ s60 1)) (AND (GEQ s1 1) (GEQ s42 1) (GEQ s46 1) (GEQ s53 1)) (AND (GEQ s1 1) (GEQ s37 1) (GEQ s46 1) (GEQ s58 1)))], nbAcceptance=1, properties=[trans-labels, explicit-labels, trans-acc, no-univ-branch], stateDesc=[null, null, null, null, null, null][false, false, false, false, false, false]]
Stuttering criterion allowed to conclude after 169 steps with 0 reset in 4 ms.
FORMULA BridgeAndVehicles-COL-V20P20N10-07 FALSE TECHNIQUES STUTTER_TEST
Treatment of property BridgeAndVehicles-COL-V20P20N10-07 finished in 1141 ms.
Running Spot : CommandLine [args=[/home/mcc/BenchKit/bin//..//ltl2tgba, --hoaf=tv, -f, !(X((F(!p0)||X(G(!p1)))))], workingDir=/home/mcc/execution]
Support contains 55 out of 66 places. Attempting structural reductions.
Starting structural reductions, iteration 0 : 66/66 places, 548/548 transitions.
Discarding 1 places :
Symmetric choice reduction at 0 with 1 rule applications. Total rules 1 place count 65 transition count 547
Iterating global reduction 0 with 1 rules applied. Total rules applied 2 place count 65 transition count 547
Applied a total of 2 rules in 4 ms. Remains 65 /66 variables (removed 1) and now considering 547/548 (removed 1) transitions.
[2021-05-08 22:10:51] [INFO ] Flow matrix only has 129 transitions (discarded 418 similar events)
// Phase 1: matrix 129 rows 65 cols
[2021-05-08 22:10:51] [INFO ] Computed 5 place invariants in 0 ms
[2021-05-08 22:10:52] [INFO ] Dead Transitions using invariants and state equation in 197 ms returned []
[2021-05-08 22:10:52] [INFO ] Flow matrix only has 129 transitions (discarded 418 similar events)
// Phase 1: matrix 129 rows 65 cols
[2021-05-08 22:10:52] [INFO ] Computed 5 place invariants in 2 ms
[2021-05-08 22:10:52] [INFO ] Implicit Places using invariants in 30 ms returned []
[2021-05-08 22:10:52] [INFO ] Flow matrix only has 129 transitions (discarded 418 similar events)
// Phase 1: matrix 129 rows 65 cols
[2021-05-08 22:10:52] [INFO ] Computed 5 place invariants in 1 ms
[2021-05-08 22:10:52] [INFO ] State equation strengthened by 22 read => feed constraints.
[2021-05-08 22:10:52] [INFO ] Implicit Places using invariants and state equation in 117 ms returned [23, 46]
Discarding 2 places :
Implicit Place search using SMT with State Equation took 154 ms to find 2 implicit places.
[2021-05-08 22:10:52] [INFO ] Flow matrix only has 129 transitions (discarded 418 similar events)
// Phase 1: matrix 129 rows 63 cols
[2021-05-08 22:10:52] [INFO ] Computed 5 place invariants in 1 ms
[2021-05-08 22:10:52] [INFO ] Dead Transitions using invariants and state equation in 188 ms returned []
Starting structural reductions, iteration 1 : 63/66 places, 547/548 transitions.
Applied a total of 0 rules in 7 ms. Remains 63 /63 variables (removed 0) and now considering 547/547 (removed 0) transitions.
[2021-05-08 22:10:52] [INFO ] Flow matrix only has 129 transitions (discarded 418 similar events)
// Phase 1: matrix 129 rows 63 cols
[2021-05-08 22:10:52] [INFO ] Computed 5 place invariants in 1 ms
[2021-05-08 22:10:52] [INFO ] Dead Transitions using invariants and state equation in 186 ms returned []
Finished structural reductions, in 2 iterations. Remains : 63/66 places, 547/548 transitions.
Stuttering acceptance computed with spot in 117 ms :[p0, (AND p0 p1), (AND p0 p1), (AND p0 p1)]
Running random walk in product with property : BridgeAndVehicles-COL-V20P20N10-08 automaton TGBA [mat=[[{ cond=p0, acceptance={0} source=0 dest: 0}], [{ cond=true, acceptance={} source=1 dest: 2}], [{ cond=p0, acceptance={} source=2 dest: 3}], [{ cond=(AND p0 p1), acceptance={} source=3 dest: 0}, { cond=(AND p0 (NOT p1)), acceptance={} source=3 dest: 3}]], initial=1, aps=[p0:(OR (AND (GEQ s1 1) (GEQ s40 1) (GEQ s46 1) (GEQ s54 1)) (AND (GEQ s1 1) (GEQ s28 1) (GEQ s46 1) (GEQ s53 1)) (AND (GEQ s1 1) (GEQ s26 1) (GEQ s46 1) (GEQ s50 1)) (AND (GEQ s1 1) (GEQ s30 1) (GEQ s46 1) (GEQ s56 1)) (AND (GEQ s1 1) (GEQ s26 1) (GEQ s46 1) (GEQ s58 1)) (AND (GEQ s1 1) (GEQ s35 1) (GEQ s46 1) (GEQ s55 1)) (AND (GEQ s1 1) (GEQ s25 1) (GEQ s46 1) (GEQ s57 1)) (AND (GEQ s1 1) (GEQ s38 1) (GEQ s46 1) (GEQ s59 1)) (AND (GEQ s1 1) (GEQ s44 1) (GEQ s46 1) (GEQ s60 1)) (AND (GEQ s1 1) (GEQ s31 1) (GEQ s46 1) (GEQ s57 1)) (AND (GEQ s1 1) (GEQ s43 1) (GEQ s46 1) (GEQ s50 1)) (AND (GEQ s1 1) (GEQ s33 1) (GEQ s46 1) (GEQ s60 1)) (AND (GEQ s1 1) (GEQ s36 1) (GEQ s46 1) (GEQ s56 1)) (AND (GEQ s1 1) (GEQ s38 1) (GEQ s46 1) (GEQ s51 1)) (AND (GEQ s1 1) (GEQ s33 1) (GEQ s46 1) (GEQ s52 1)) (AND (GEQ s1 1) (GEQ s27 1) (GEQ s46 1) (GEQ s51 1)) (AND (GEQ s1 1) (GEQ s41 1) (GEQ s46 1) (GEQ s55 1)) (AND (GEQ s1 1) (GEQ s39 1) (GEQ s46 1) (GEQ s52 1)) (AND (GEQ s1 1) (GEQ s34 1) (GEQ s46 1) (GEQ s53 1)) (AND (GEQ s1 1) (GEQ s44 1) (GEQ s46 1) (GEQ s51 1)) (AND (GEQ s1 1) (GEQ s32 1) (GEQ s46 1) (GEQ s50 1)) (AND (GEQ s1 1) (GEQ s43 1) (GEQ s46 1) (GEQ s59 1)) (AND (GEQ s1 1) (GEQ s39 1) (GEQ s46 1) (GEQ s60 1)) (AND (GEQ s1 1) (GEQ s32 1) (GEQ s46 1) (GEQ s58 1)) (AND (GEQ s1 1) (GEQ s27 1) (GEQ s46 1) (GEQ s59 1)) (AND (GEQ s1 1) (GEQ s29 1) (GEQ s46 1) (GEQ s54 1)) (AND (GEQ s1 1) (GEQ s42 1) (GEQ s46 1) (GEQ s56 1)) (AND (GEQ s1 1) (GEQ s37 1) (GEQ s46 1) (GEQ s57 1)) (AND (GEQ s1 1) (GEQ s40 1) (GEQ s46 1) (GEQ s53 1)) (AND (GEQ s1 1) (GEQ s28 1) (GEQ s46 1) (GEQ s54 1)) (AND (GEQ s1 1) (GEQ s30 1) (GEQ s46 1) (GEQ s55 1)) (AND (GEQ s1 1) (GEQ s26 1) (GEQ s46 1) (GEQ s57 1)) (AND (GEQ s1 1) (GEQ s35 1) (GEQ s46 1) (GEQ s54 1)) (AND (GEQ s1 1) (GEQ s25 1) (GEQ s46 1) (GEQ s56 1)) (AND (GEQ s1 1) (GEQ s38 1) (GEQ s46 1) (GEQ s60 1)) (AND (GEQ s1 1) (GEQ s31 1) (GEQ s46 1) (GEQ s56 1)) (AND (GEQ s1 1) (GEQ s43 1) (GEQ s46 1) (GEQ s51 1)) (AND (GEQ s1 1) (GEQ s36 1) (GEQ s46 1) (GEQ s55 1)) (AND (GEQ s1 1) (GEQ s38 1) (GEQ s46 1) (GEQ s52 1)) (AND (GEQ s1 1) (GEQ s33 1) (GEQ s46 1) (GEQ s53 1)) (AND (GEQ s1 1) (GEQ s27 1) (GEQ s46 1) (GEQ s50 1)) (AND (GEQ s1 1) (GEQ s39 1) (GEQ s46 1) (GEQ s53 1)) (AND (GEQ s1 1) (GEQ s41 1) (GEQ s46 1) (GEQ s54 1)) (AND (GEQ s1 1) (GEQ s34 1) (GEQ s46 1) (GEQ s54 1)) (AND (GEQ s1 1) (GEQ s44 1) (GEQ s46 1) (GEQ s52 1)) (AND (GEQ s1 1) (GEQ s43 1) (GEQ s46 1) (GEQ s60 1)) (AND (GEQ s1 1) (GEQ s32 1) (GEQ s46 1) (GEQ s57 1)) (AND (GEQ s1 1) (GEQ s27 1) (GEQ s46 1) (GEQ s58 1)) (AND (GEQ s1 1) (GEQ s29 1) (GEQ s46 1) (GEQ s55 1)) (AND (GEQ s1 1) (GEQ s42 1) (GEQ s46 1) (GEQ s55 1)) (AND (GEQ s1 1) (GEQ s37 1) (GEQ s46 1) (GEQ s56 1)) (AND (GEQ s1 1) (GEQ s28 1) (GEQ s46 1) (GEQ s55 1)) (AND (GEQ s1 1) (GEQ s40 1) (GEQ s46 1) (GEQ s56 1)) (AND (GEQ s1 1) (GEQ s33 1) (GEQ s46 1) (GEQ s50 1)) (AND (GEQ s1 1) (GEQ s42 1) (GEQ s46 1) (GEQ s59 1)) (AND (GEQ s1 1) (GEQ s30 1) (GEQ s46 1) (GEQ s58 1)) (AND (GEQ s1 1) (GEQ s35 1) (GEQ s46 1) (GEQ s53 1)) (AND (GEQ s1 1) (GEQ s31 1) (GEQ s46 1) (GEQ s51 1)) (AND (GEQ s1 1) (GEQ s26 1) (GEQ s46 1) (GEQ s56 1)) (AND (GEQ s1 1) (GEQ s30 1) (GEQ s46 1) (GEQ s50 1)) (AND (GEQ s1 1) (GEQ s43 1) (GEQ s46 1) (GEQ s56 1)) (AND (GEQ s1 1) (GEQ s44 1) (GEQ s46 1) (GEQ s58 1)) (AND (GEQ s1 1) (GEQ s31 1) (GEQ s46 1) (GEQ s59 1)) (AND (GEQ s1 1) (GEQ s36 1) (GEQ s46 1) (GEQ s54 1)) (AND (GEQ s1 1) (GEQ s25 1) (GEQ s46 1) (GEQ s55 1)) (AND (GEQ s1 1) (GEQ s33 1) (GEQ s46 1) (GEQ s58 1)) (AND (GEQ s1 1) (GEQ s38 1) (GEQ s46 1) (GEQ s53 1)) (AND (GEQ s1 1) (GEQ s41 1) (GEQ s46 1) (GEQ s57 1)) (AND (GEQ s1 1) (GEQ s34 1) (GEQ s46 1) (GEQ s51 1)) (AND (GEQ s1 1) (GEQ s29 1) (GEQ s46 1) (GEQ s56 1)) (AND (GEQ s1 1) (GEQ s27 1) (GEQ s46 1) (GEQ s57 1)) (AND (GEQ s1 1) (GEQ s32 1) (GEQ s46 1) (GEQ s52 1)) (AND (GEQ s1 1) (GEQ s39 1) (GEQ s46 1) (GEQ s54 1)) (AND (GEQ s1 1) (GEQ s34 1) (GEQ s46 1) (GEQ s59 1)) (AND (GEQ s1 1) (GEQ s32 1) (GEQ s46 1) (GEQ s60 1)) (AND (GEQ s1 1) (GEQ s42 1) (GEQ s46 1) (GEQ s50 1)) (AND (GEQ s1 1) (GEQ s37 1) (GEQ s46 1) (GEQ s55 1)) (AND (GEQ s1 1) (GEQ s42 1) (GEQ s46 1) (GEQ s58 1)) (AND (GEQ s1 1) (GEQ s35 1) (GEQ s46 1) (GEQ s60 1)) (AND (GEQ s1 1) (GEQ s28 1) (GEQ s46 1) (GEQ s56 1)) (AND (GEQ s1 1) (GEQ s33 1) (GEQ s46 1) (GEQ s51 1)) (AND (GEQ s1 1) (GEQ s40 1) (GEQ s46 1) (GEQ s55 1)) (AND (GEQ s1 1) (GEQ s35 1) (GEQ s46 1) (GEQ s52 1)) (AND (GEQ s1 1) (GEQ s30 1) (GEQ s46 1) (GEQ s57 1)) (AND (GEQ s1 1) (GEQ s31 1) (GEQ s46 1) (GEQ s50 1)) (AND (GEQ s1 1) (GEQ s26 1) (GEQ s46 1) (GEQ s55 1)) (AND (GEQ s1 1) (GEQ s44 1) (GEQ s46 1) (GEQ s59 1)) (AND (GEQ s1 1) (GEQ s43 1) (GEQ s46 1) (GEQ s57 1)) (AND (GEQ s1 1) (GEQ s36 1) (GEQ s46 1) (GEQ s53 1)) (AND (GEQ s1 1) (GEQ s31 1) (GEQ s46 1) (GEQ s58 1)) (AND (GEQ s1 1) (GEQ s25 1) (GEQ s46 1) (GEQ s54 1)) (AND (GEQ s1 1) (GEQ s33 1) (GEQ s46 1) (GEQ s59 1)) (AND (GEQ s1 1) (GEQ s38 1) (GEQ s46 1) (GEQ s54 1)) (AND (GEQ s1 1) (GEQ s41 1) (GEQ s46 1) (GEQ s56 1)) (AND (GEQ s1 1) (GEQ s29 1) (GEQ s46 1) (GEQ s57 1)) (AND (GEQ s1 1) (GEQ s34 1) (GEQ s46 1) (GEQ s52 1)) (AND (GEQ s1 1) (GEQ s27 1) (GEQ s46 1) (GEQ s56 1)) (AND (GEQ s1 1) (GEQ s32 1) (GEQ s46 1) (GEQ s51 1)) (AND (GEQ s1 1) (GEQ s39 1) (GEQ s46 1) (GEQ s55 1)) (AND (GEQ s1 1) (GEQ s44 1) (GEQ s46 1) (GEQ s50 1)) (AND (GEQ s1 1) (GEQ s43 1) (GEQ s46 1) (GEQ s58 1)) (AND (GEQ s1 1) (GEQ s34 1) (GEQ s46 1) (GEQ s60 1)) (AND (GEQ s1 1) (GEQ s37 1) (GEQ s46 1) (GEQ s54 1)) (AND (GEQ s1 1) (GEQ s32 1) (GEQ s46 1) (GEQ s59 1)) (AND (GEQ s1 1) (GEQ s42 1) (GEQ s46 1) (GEQ s57 1)) (AND (GEQ s1 1) (GEQ s35 1) (GEQ s46 1) (GEQ s59 1)) (AND (GEQ s1 1) (GEQ s40 1) (GEQ s46 1) (GEQ s58 1)) (AND (GEQ s1 1) (GEQ s26 1) (GEQ s46 1) (GEQ s54 1)) (AND (GEQ s1 1) (GEQ s35 1) (GEQ s46 1) (GEQ s51 1)) (AND (GEQ s1 1) (GEQ s40 1) (GEQ s46 1) (GEQ s50 1)) (AND (GEQ s1 1) (GEQ s31 1) (GEQ s46 1) (GEQ s53 1)) (AND (GEQ s1 1) (GEQ s30 1) (GEQ s46 1) (GEQ s60 1)) (AND (GEQ s1 1) (GEQ s43 1) (GEQ s46 1) (GEQ s54 1)) (AND (GEQ s1 1) (GEQ s36 1) (GEQ s46 1) (GEQ s52 1)) (AND (GEQ s1 1) (GEQ s25 1) (GEQ s46 1) (GEQ s53 1)) (AND (GEQ s1 1) (GEQ s38 1) (GEQ s46 1) (GEQ s55 1)) (AND (GEQ s1 1) (GEQ s30 1) (GEQ s46 1) (GEQ s52 1)) (AND (GEQ s1 1) (GEQ s33 1) (GEQ s46 1) (GEQ s56 1)) (AND (GEQ s1 1) (GEQ s36 1) (GEQ s46 1) (GEQ s60 1)) (AND (GEQ s1 1) (GEQ s28 1) (GEQ s46 1) (GEQ s57 1)) (AND (GEQ s1 1) (GEQ s41 1) (GEQ s46 1) (GEQ s60 1)) (AND (GEQ s1 1) (GEQ s41 1) (GEQ s46 1) (GEQ s59 1)) (AND (GEQ s1 1) (GEQ s34 1) (GEQ s46 1) (GEQ s57 1)) (AND (GEQ s1 1) (GEQ s27 1) (GEQ s46 1) (GEQ s55 1)) (AND (GEQ s1 1) (GEQ s29 1) (GEQ s46 1) (GEQ s58 1)) (AND (GEQ s1 1) (GEQ s41 1) (GEQ s46 1) (GEQ s51 1)) (AND (GEQ s1 1) (GEQ s39 1) (GEQ s46 1) (GEQ s56 1)) (AND (GEQ s1 1) (GEQ s44 1) (GEQ s46 1) (GEQ s55 1)) (AND (GEQ s1 1) (GEQ s37 1) (GEQ s46 1) (GEQ s53 1)) (AND (GEQ s1 1) (GEQ s32 1) (GEQ s46 1) (GEQ s54 1)) (AND (GEQ s1 1) (GEQ s29 1) (GEQ s46 1) (GEQ s50 1)) (AND (GEQ s1 1) (GEQ s42 1) (GEQ s46 1) (GEQ s52 1)) (AND (GEQ s1 1) (GEQ s35 1) (GEQ s46 1) (GEQ s58 1)) (AND (GEQ s1 1) (GEQ s40 1) (GEQ s46 1) (GEQ s57 1)) (AND (GEQ s1 1) (GEQ s28 1) (GEQ s46 1) (GEQ s50 1)) (AND (GEQ s1 1) (GEQ s42 1) (GEQ s46 1) (GEQ s60 1)) (AND (GEQ s1 1) (GEQ s26 1) (GEQ s46 1) (GEQ s53 1)) (AND (GEQ s1 1) (GEQ s35 1) (GEQ s46 1) (GEQ s50 1)) (AND (GEQ s1 1) (GEQ s25 1) (GEQ s46 1) (GEQ s60 1)) (AND (GEQ s1 1) (GEQ s31 1) (GEQ s46 1) (GEQ s52 1)) (AND (GEQ s1 1) (GEQ s30 1) (GEQ s46 1) (GEQ s59 1)) (AND (GEQ s1 1) (GEQ s43 1) (GEQ s46 1) (GEQ s55 1)) (AND (GEQ s1 1) (GEQ s36 1) (GEQ s46 1) (GEQ s51 1)) (AND (GEQ s1 1) (GEQ s44 1) (GEQ s46 1) (GEQ s57 1)) (AND (GEQ s1 1) (GEQ s25 1) (GEQ s46 1) (GEQ s52 1)) (AND (GEQ s1 1) (GEQ s38 1) (GEQ s46 1) (GEQ s56 1)) (AND (GEQ s1 1) (GEQ s30 1) (GEQ s46 1) (GEQ s51 1)) (AND (GEQ s1 1) (GEQ s31 1) (GEQ s46 1) (GEQ s60 1)) (AND (GEQ s1 1) (GEQ s36 1) (GEQ s46 1) (GEQ s59 1)) (AND (GEQ s1 1) (GEQ s33 1) (GEQ s46 1) (GEQ s57 1)) (AND (GEQ s1 1) (GEQ s28 1) (GEQ s46 1) (GEQ s58 1)) (AND (GEQ s1 1) (GEQ s41 1) (GEQ s46 1) (GEQ s58 1)) (AND (GEQ s1 1) (GEQ s34 1) (GEQ s46 1) (GEQ s58 1)) (AND (GEQ s1 1) (GEQ s27 1) (GEQ s46 1) (GEQ s54 1)) (AND (GEQ s1 1) (GEQ s29 1) (GEQ s46 1) (GEQ s59 1)) (AND (GEQ s1 1) (GEQ s39 1) (GEQ s46 1) (GEQ s57 1)) (AND (GEQ s1 1) (GEQ s41 1) (GEQ s46 1) (GEQ s50 1)) (AND (GEQ s1 1) (GEQ s44 1) (GEQ s46 1) (GEQ s56 1)) (AND (GEQ s1 1) (GEQ s37 1) (GEQ s46 1) (GEQ s52 1)) (AND (GEQ s1 1) (GEQ s32 1) (GEQ s46 1) (GEQ s53 1)) (AND (GEQ s1 1) (GEQ s34 1) (GEQ s46 1) (GEQ s50 1)) (AND (GEQ s1 1) (GEQ s37 1) (GEQ s46 1) (GEQ s60 1)) (AND (GEQ s1 1) (GEQ s29 1) (GEQ s46 1) (GEQ s51 1)) (AND (GEQ s1 1) (GEQ s42 1) (GEQ s46 1) (GEQ s51 1)) (AND (GEQ s1 1) (GEQ s28 1) (GEQ s46 1) (GEQ s51 1)) (AND (GEQ s1 1) (GEQ s40 1) (GEQ s46 1) (GEQ s60 1)) (AND (GEQ s1 1) (GEQ s26 1) (GEQ s46 1) (GEQ s52 1)) (AND (GEQ s1 1) (GEQ s35 1) (GEQ s46 1) (GEQ s57 1)) (AND (GEQ s1 1) (GEQ s40 1) (GEQ s46 1) (GEQ s52 1)) (AND (GEQ s1 1) (GEQ s31 1) (GEQ s46 1) (GEQ s55 1)) (AND (GEQ s1 1) (GEQ s36 1) (GEQ s46 1) (GEQ s50 1)) (AND (GEQ s1 1) (GEQ s25 1) (GEQ s46 1) (GEQ s59 1)) (AND (GEQ s1 1) (GEQ s26 1) (GEQ s46 1) (GEQ s60 1)) (AND (GEQ s1 1) (GEQ s30 1) (GEQ s46 1) (GEQ s54 1)) (AND (GEQ s1 1) (GEQ s43 1) (GEQ s46 1) (GEQ s52 1)) (AND (GEQ s1 1) (GEQ s38 1) (GEQ s46 1) (GEQ s57 1)) (AND (GEQ s1 1) (GEQ s36 1) (GEQ s46 1) (GEQ s58 1)) (AND (GEQ s1 1) (GEQ s25 1) (GEQ s46 1) (GEQ s51 1)) (AND (GEQ s1 1) (GEQ s33 1) (GEQ s46 1) (GEQ s54 1)) (AND (GEQ s1 1) (GEQ s28 1) (GEQ s46 1) (GEQ s59 1)) (AND (GEQ s1 1) (GEQ s34 1) (GEQ s46 1) (GEQ s55 1)) (AND (GEQ s1 1) (GEQ s29 1) (GEQ s46 1) (GEQ s60 1)) (AND (GEQ s1 1) (GEQ s27 1) (GEQ s46 1) (GEQ s53 1)) (AND (GEQ s1 1) (GEQ s41 1) (GEQ s46 1) (GEQ s53 1)) (AND (GEQ s1 1) (GEQ s39 1) (GEQ s46 1) (GEQ s50 1)) (AND (GEQ s1 1) (GEQ s44 1) (GEQ s46 1) (GEQ s53 1)) (AND (GEQ s1 1) (GEQ s39 1) (GEQ s46 1) (GEQ s58 1)) (AND (GEQ s1 1) (GEQ s32 1) (GEQ s46 1) (GEQ s56 1)) (AND (GEQ s1 1) (GEQ s37 1) (GEQ s46 1) (GEQ s51 1)) (AND (GEQ s1 1) (GEQ s29 1) (GEQ s46 1) (GEQ s52 1)) (AND (GEQ s1 1) (GEQ s37 1) (GEQ s46 1) (GEQ s59 1)) (AND (GEQ s1 1) (GEQ s42 1) (GEQ s46 1) (GEQ s54 1)) (AND (GEQ s1 1) (GEQ s28 1) (GEQ s46 1) (GEQ s52 1)) (AND (GEQ s1 1) (GEQ s40 1) (GEQ s46 1) (GEQ s59 1)) (AND (GEQ s1 1) (GEQ s26 1) (GEQ s46 1) (GEQ s51 1)) (AND (GEQ s1 1) (GEQ s35 1) (GEQ s46 1) (GEQ s56 1)) (AND (GEQ s1 1) (GEQ s40 1) (GEQ s46 1) (GEQ s51 1)) (AND (GEQ s1 1) (GEQ s31 1) (GEQ s46 1) (GEQ s54 1)) (AND (GEQ s1 1) (GEQ s25 1) (GEQ s46 1) (GEQ s58 1)) (AND (GEQ s1 1) (GEQ s26 1) (GEQ s46 1) (GEQ s59 1)) (AND (GEQ s1 1) (GEQ s30 1) (GEQ s46 1) (GEQ s53 1)) (AND (GEQ s1 1) (GEQ s38 1) (GEQ s46 1) (GEQ s58 1)) (AND (GEQ s1 1) (GEQ s43 1) (GEQ s46 1) (GEQ s53 1)) (AND (GEQ s1 1) (GEQ s36 1) (GEQ s46 1) (GEQ s57 1)) (AND (GEQ s1 1) (GEQ s25 1) (GEQ s46 1) (GEQ s50 1)) (AND (GEQ s1 1) (GEQ s33 1) (GEQ s46 1) (GEQ s55 1)) (AND (GEQ s1 1) (GEQ s28 1) (GEQ s46 1) (GEQ s60 1)) (AND (GEQ s1 1) (GEQ s38 1) (GEQ s46 1) (GEQ s50 1)) (AND (GEQ s1 1) (GEQ s34 1) (GEQ s46 1) (GEQ s56 1)) (AND (GEQ s1 1) (GEQ s27 1) (GEQ s46 1) (GEQ s52 1)) (AND (GEQ s1 1) (GEQ s39 1) (GEQ s46 1) (GEQ s51 1)) (AND (GEQ s1 1) (GEQ s41 1) (GEQ s46 1) (GEQ s52 1)) (AND (GEQ s1 1) (GEQ s39 1) (GEQ s46 1) (GEQ s59 1)) (AND (GEQ s1 1) (GEQ s44 1) (GEQ s46 1) (GEQ s54 1)) (AND (GEQ s1 1) (GEQ s37 1) (GEQ s46 1) (GEQ s50 1)) (AND (GEQ s1 1) (GEQ s32 1) (GEQ s46 1) (GEQ s55 1)) (AND (GEQ s1 1) (GEQ s29 1) (GEQ s46 1) (GEQ s53 1)) (AND (GEQ s1 1) (GEQ s27 1) (GEQ s46 1) (GEQ s60 1)) (AND (GEQ s1 1) (GEQ s42 1) (GEQ s46 1) (GEQ s53 1)) (AND (GEQ s1 1) (GEQ s37 1) (GEQ s46 1) (GEQ s58 1))), p1:(AND (OR (LT s20 1) (LT s24 1) (LT s47 1) (LT s53 1)) (OR (LT s5 1) (LT s24 1) (LT s47 1) (LT s57 1)) (OR (LT s3 1) (LT s24 1) (LT s47 1) (LT s51 1)) (OR (LT s2 1) (LT s24 1) (LT s47 1) (LT s56 1)) (OR (LT s19 1) (LT s24 1) (LT s47 1) (LT s50 1)) (OR (LT s7 1) (LT s24 1) (LT s47 1) (LT s55 1)) (OR (LT s6 1) (LT s24 1) (LT s47 1) (LT s60 1)) (OR (LT s17 1) (LT s24 1) (LT s47 1) (LT s52 1)) (OR (LT s18 1) (LT s24 1) (LT s47 1) (LT s55 1)) (OR (LT s6 1) (LT s24 1) (LT s47 1) (LT s52 1)) (OR (LT s15 1) (LT s24 1) (LT s47 1) (LT s54 1)) (OR (LT s16 1) (LT s24 1) (LT s47 1) (LT s57 1)) (OR (LT s4 1) (LT s24 1) (LT s47 1) (LT s54 1)) (OR (LT s3 1) (LT s24 1) (LT s47 1) (LT s59 1)) (OR (LT s11 1) (LT s24 1) (LT s47 1) (LT s50 1)) (OR (LT s12 1) (LT s24 1) (LT s47 1) (LT s53 1)) (OR (LT s13 1) (LT s24 1) (LT s47 1) (LT s56 1)) (OR (LT s14 1) (LT s24 1) (LT s47 1) (LT s59 1)) (OR (LT s11 1) (LT s24 1) (LT s47 1) (LT s58 1)) (OR (LT s14 1) (LT s24 1) (LT s47 1) (LT s51 1)) (OR (LT s19 1) (LT s24 1) (LT s47 1) (LT s59 1)) (OR (LT s9 1) (LT s24 1) (LT s47 1) (LT s52 1)) (OR (LT s8 1) (LT s24 1) (LT s47 1) (LT s57 1)) (OR (LT s21 1) (LT s24 1) (LT s47 1) (LT s57 1)) (OR (LT s10 1) (LT s24 1) (LT s47 1) (LT s55 1)) (OR (LT s9 1) (LT s24 1) (LT s47 1) (LT s60 1)) (OR (LT s21 1) (LT s24 1) (LT s47 1) (LT s55 1)) (OR (LT s2 1) (LT s24 1) (LT s47 1) (LT s55 1)) (OR (LT s20 1) (LT s24 1) (LT s47 1) (LT s54 1)) (OR (LT s3 1) (LT s24 1) (LT s47 1) (LT s52 1)) (OR (LT s5 1) (LT s24 1) (LT s47 1) (LT s58 1)) (OR (LT s7 1) (LT s24 1) (LT s47 1) (LT s56 1)) (OR (LT s19 1) (LT s24 1) (LT s47 1) (LT s57 1)) (OR (LT s6 1) (LT s24 1) (LT s47 1) (LT s59 1)) (OR (LT s18 1) (LT s24 1) (LT s47 1) (LT s56 1)) (OR (LT s16 1) (LT s24 1) (LT s47 1) (LT s50 1)) (OR (LT s17 1) (LT s24 1) (LT s47 1) (LT s59 1)) (OR (LT s15 1) (LT s24 1) (LT s47 1) (LT s53 1)) (OR (LT s6 1) (LT s24 1) (LT s47 1) (LT s51 1)) (OR (LT s16 1) (LT s24 1) (LT s47 1) (LT s58 1)) (OR (LT s17 1) (LT s24 1) (LT s47 1) (LT s51 1)) (OR (LT s4 1) (LT s24 1) (LT s47 1) (LT s53 1)) (OR (LT s3 1) (LT s24 1) (LT s47 1) (LT s60 1)) (OR (LT s5 1) (LT s24 1) (LT s47 1) (LT s50 1)) (OR (LT s14 1) (LT s24 1) (LT s47 1) (LT s60 1)) (OR (LT s12 1) (LT s24 1) (LT s47 1) (LT s54 1)) (OR (LT s11 1) (LT s24 1) (LT s47 1) (LT s57 1)) (OR (LT s9 1) (LT s24 1) (LT s47 1) (LT s51 1)) (OR (LT s14 1) (LT s24 1) (LT s47 1) (LT s52 1)) (OR (LT s17 1) (LT s24 1) (LT s47 1) (LT s60 1)) (OR (LT s8 1) (LT s24 1) (LT s47 1) (LT s50 1)) (OR (LT s13 1) (LT s24 1) (LT s47 1) (LT s55 1)) (OR (LT s9 1) (LT s24 1) (LT s47 1) (LT s59 1)) (OR (LT s19 1) (LT s24 1) (LT s47 1) (LT s58 1)) (OR (LT s8 1) (LT s24 1) (LT s47 1) (LT s58 1)) (OR (LT s21 1) (LT s24 1) (LT s47 1) (LT s56 1)) (OR (LT s10 1) (LT s24 1) (LT s47 1) (LT s56 1)) (OR (LT s20 1) (LT s24 1) (LT s47 1) (LT s51 1)) (OR (LT s4 1) (LT s24 1) (LT s47 1) (LT s60 1)) (OR (LT s21 1) (LT s24 1) (LT s47 1) (LT s50 1)) (OR (LT s3 1) (LT s24 1) (LT s47 1) (LT s53 1)) (OR (LT s2 1) (LT s24 1) (LT s47 1) (LT s58 1)) (OR (LT s19 1) (LT s24 1) (LT s47 1) (LT s56 1)) (OR (LT s7 1) (LT s24 1) (LT s47 1) (LT s57 1)) (OR (LT s2 1) (LT s24 1) (LT s47 1) (LT s50 1)) (OR (LT s17 1) (LT s24 1) (LT s47 1) (LT s54 1)) (OR (LT s18 1) (LT s24 1) (LT s47 1) (LT s57 1)) (OR (LT s15 1) (LT s24 1) (LT s47 1) (LT s52 1)) (OR (LT s6 1) (LT s24 1) (LT s47 1) (LT s54 1)) (OR (LT s4 1) (LT s24 1) (LT s47 1) (LT s52 1)) (OR (LT s5 1) (LT s24 1) (LT s47 1) (LT s55 1)) (OR (LT s16 1) (LT s24 1) (LT s47 1) (LT s55 1)) (OR (LT s15 1) (LT s24 1) (LT s47 1) (LT s60 1)) (OR (LT s13 1) (LT s24 1) (LT s47 1) (LT s58 1)) (OR (LT s12 1) (LT s24 1) (LT s47 1) (LT s51 1)) (OR (LT s11 1) (LT s24 1) (LT s47 1) (LT s56 1)) (OR (LT s13 1) (LT s24 1) (LT s47 1) (LT s50 1)) (OR (LT s14 1) (LT s24 1) (LT s47 1) (LT s53 1)) (OR (LT s12 1) (LT s24 1) (LT s47 1) (LT s59 1)) (OR (LT s8 1) (LT s24 1) (LT s47 1) (LT s55 1)) (OR (LT s9 1) (LT s24 1) (LT s47 1) (LT s54 1)) (OR (LT s20 1) (LT s24 1) (LT s47 1) (LT s60 1)) (OR (LT s10 1) (LT s24 1) (LT s47 1) (LT s57 1)) (OR (LT s21 1) (LT s24 1) (LT s47 1) (LT s59 1)) (OR (LT s3 1) (LT s24 1) (LT s47 1) (LT s54 1)) (OR (LT s20 1) (LT s24 1) (LT s47 1) (LT s52 1)) (OR (LT s4 1) (LT s24 1) (LT s47 1) (LT s59 1)) (OR (LT s5 1) (LT s24 1) (LT s47 1) (LT s56 1)) (OR (LT s2 1) (LT s24 1) (LT s47 1) (LT s57 1)) (OR (LT s7 1) (LT s24 1) (LT s47 1) (LT s58 1)) (OR (LT s19 1) (LT s24 1) (LT s47 1) (LT s55 1)) (OR (LT s18 1) (LT s24 1) (LT s47 1) (LT s58 1)) (OR (LT s7 1) (LT s24 1) (LT s47 1) (LT s50 1)) (OR (LT s17 1) (LT s24 1) (LT s47 1) (LT s53 1)) (OR (LT s6 1) (LT s24 1) (LT s47 1) (LT s53 1)) (OR (LT s15 1) (LT s24 1) (LT s47 1) (LT s51 1)) (OR (LT s18 1) (LT s24 1) (LT s47 1) (LT s50 1)) (OR (LT s4 1) (LT s24 1) (LT s47 1) (LT s51 1)) (OR (LT s16 1) (LT s24 1) (LT s47 1) (LT s56 1)) (OR (LT s15 1) (LT s24 1) (LT s47 1) (LT s59 1)) (OR (LT s13 1) (LT s24 1) (LT s47 1) (LT s57 1)) (OR (LT s10 1) (LT s24 1) (LT s47 1) (LT s50 1)) (OR (LT s11 1) (LT s24 1) (LT s47 1) (LT s55 1)) (OR (LT s12 1) (LT s24 1) (LT s47 1) (LT s52 1)) (OR (LT s14 1) (LT s24 1) (LT s47 1) (LT s54 1)) (OR (LT s18 1) (LT s24 1) (LT s47 1) (LT s59 1)) (OR (LT s12 1) (LT s24 1) (LT s47 1) (LT s60 1)) (OR (LT s8 1) (LT s24 1) (LT s47 1) (LT s56 1)) (OR (LT s9 1) (LT s24 1) (LT s47 1) (LT s53 1)) (OR (LT s10 1) (LT s24 1) (LT s47 1) (LT s58 1)) (OR (LT s21 1) (LT s24 1) (LT s47 1) (LT s58 1)) (OR (LT s21 1) (LT s24 1) (LT s47 1) (LT s52 1)) (OR (LT s4 1) (LT s24 1) (LT s47 1) (LT s58 1)) (OR (LT s2 1) (LT s24 1) (LT s47 1) (LT s52 1)) (OR (LT s3 1) (LT s24 1) (LT s47 1) (LT s55 1)) (OR (LT s6 1) (LT s24 1) (LT s47 1) (LT s56 1)) (OR (LT s7 1) (LT s24 1) (LT s47 1) (LT s59 1)) (OR (LT s19 1) (LT s24 1) (LT s47 1) (LT s54 1)) (OR (LT s7 1) (LT s24 1) (LT s47 1) (LT s51 1)) (OR (LT s15 1) (LT s24 1) (LT s47 1) (LT s50 1)) (OR (LT s17 1) (LT s24 1) (LT s47 1) (LT s56 1)) (OR (LT s18 1) (LT s24 1) (LT s47 1) (LT s51 1)) (OR (LT s4 1) (LT s24 1) (LT s47 1) (LT s50 1)) (OR (LT s5 1) (LT s24 1) (LT s47 1) (LT s53 1)) (OR (LT s2 1) (LT s24 1) (LT s47 1) (LT s60 1)) (OR (LT s16 1) (LT s24 1) (LT s47 1) (LT s53 1)) (OR (LT s15 1) (LT s24 1) (LT s47 1) (LT s58 1)) (OR (LT s11 1) (LT s24 1) (LT s47 1) (LT s54 1)) (OR (LT s14 1) (LT s24 1) (LT s47 1) (LT s55 1)) (OR (LT s13 1) (LT s24 1) (LT s47 1) (LT s60 1)) (OR (LT s12 1) (LT s24 1) (LT s47 1) (LT s57 1)) (OR (LT s13 1) (LT s24 1) (LT s47 1) (LT s52 1)) (OR (LT s18 1) (LT s24 1) (LT s47 1) (LT s60 1)) (OR (LT s8 1) (LT s24 1) (LT s47 1) (LT s53 1)) (OR (LT s9 1) (LT s24 1) (LT s47 1) (LT s56 1)) (OR (LT s10 1) (LT s24 1) (LT s47 1) (LT s59 1)) (OR (LT s20 1) (LT s24 1) (LT s47 1) (LT s58 1)) (OR (LT s10 1) (LT s24 1) (LT s47 1) (LT s51 1)) (OR (LT s2 1) (LT s24 1) (LT s47 1) (LT s51 1)) (OR (LT s20 1) (LT s24 1) (LT s47 1) (LT s50 1)) (OR (LT s4 1) (LT s24 1) (LT s47 1) (LT s57 1)) (OR (LT s3 1) (LT s24 1) (LT s47 1) (LT s56 1)) (OR (LT s21 1) (LT s24 1) (LT s47 1) (LT s51 1)) (OR (LT s19 1) (LT s24 1) (LT s47 1) (LT s53 1)) (OR (LT s7 1) (LT s24 1) (LT s47 1) (LT s60 1)) (OR (LT s6 1) (LT s24 1) (LT s47 1) (LT s55 1)) (OR (LT s7 1) (LT s24 1) (LT s47 1) (LT s52 1)) (OR (LT s17 1) (LT s24 1) (LT s47 1) (LT s55 1)) (OR (LT s18 1) (LT s24 1) (LT s47 1) (LT s52 1)) (OR (LT s2 1) (LT s24 1) (LT s47 1) (LT s59 1)) (OR (LT s15 1) (LT s24 1) (LT s47 1) (LT s57 1)) (OR (LT s5 1) (LT s24 1) (LT s47 1) (LT s54 1)) (OR (LT s16 1) (LT s24 1) (LT s47 1) (LT s54 1)) (OR (LT s13 1) (LT s24 1) (LT s47 1) (LT s59 1)) (OR (LT s11 1) (LT s24 1) (LT s47 1) (LT s53 1)) (OR (LT s14 1) (LT s24 1) (LT s47 1) (LT s56 1)) (OR (LT s12 1) (LT s24 1) (LT s47 1) (LT s50 1)) (OR (LT s13 1) (LT s24 1) (LT s47 1) (LT s51 1)) (OR (LT s12 1) (LT s24 1) (LT s47 1) (LT s58 1)) (OR (LT s8 1) (LT s24 1) (LT s47 1) (LT s54 1)) (OR (LT s9 1) (LT s24 1) (LT s47 1) (LT s55 1)) (OR (LT s10 1) (LT s24 1) (LT s47 1) (LT s60 1)) (OR (LT s21 1) (LT s24 1) (LT s47 1) (LT s60 1)) (OR (LT s10 1) (LT s24 1) (LT s47 1) (LT s52 1)) (OR (LT s20 1) (LT s24 1) (LT s47 1) (LT s59 1)) (OR (LT s21 1) (LT s24 1) (LT s47 1) (LT s54 1)) (OR (LT s2 1) (LT s24 1) (LT s47 1) (LT s54 1)) (OR (LT s3 1) (LT s24 1) (LT s47 1) (LT s57 1)) (OR (LT s20 1) (LT s24 1) (LT s47 1) (LT s55 1)) (OR (LT s5 1) (LT s24 1) (LT s47 1) (LT s59 1)) (OR (LT s19 1) (LT s24 1) (LT s47 1) (LT s52 1)) (OR (LT s6 1) (LT s24 1) (LT s47 1) (LT s58 1)) (OR (LT s16 1) (LT s24 1) (LT s47 1) (LT s51 1)) (OR (LT s6 1) (LT s24 1) (LT s47 1) (LT s50 1)) (OR (LT s7 1) (LT s24 1) (LT s47 1) (LT s53 1)) (OR (LT s18 1) (LT s24 1) (LT s47 1) (LT s53 1)) (OR (LT s17 1) (LT s24 1) (LT s47 1) (LT s58 1)) (OR (LT s15 1) (LT s24 1) (LT s47 1) (LT s56 1)) (OR (LT s16 1) (LT s24 1) (LT s47 1) (LT s59 1)) (OR (LT s17 1) (LT s24 1) (LT s47 1) (LT s50 1)) (OR (LT s5 1) (LT s24 1) (LT s47 1) (LT s51 1)) (OR (LT s4 1) (LT s24 1) (LT s47 1) (LT s56 1)) (OR (LT s11 1) (LT s24 1) (LT s47 1) (LT s52 1)) (OR (LT s12 1) (LT s24 1) (LT s47 1) (LT s55 1)) (OR (LT s14 1) (LT s24 1) (LT s47 1) (LT s57 1)) (OR (LT s9 1) (LT s24 1) (LT s47 1) (LT s50 1)) (OR (LT s11 1) (LT s24 1) (LT s47 1) (LT s60 1)) (OR (LT s8 1) (LT s24 1) (LT s47 1) (LT s51 1)) (OR (LT s13 1) (LT s24 1) (LT s47 1) (LT s54 1)) (OR (LT s9 1) (LT s24 1) (LT s47 1) (LT s58 1)) (OR (LT s8 1) (LT s24 1) (LT s47 1) (LT s59 1)) (OR (LT s10 1) (LT s24 1) (LT s47 1) (LT s53 1)) (OR (LT s21 1) (LT s24 1) (LT s47 1) (LT s53 1)) (OR (LT s5 1) (LT s24 1) (LT s47 1) (LT s60 1)) (OR (LT s2 1) (LT s24 1) (LT s47 1) (LT s53 1)) (OR (LT s3 1) (LT s24 1) (LT s47 1) (LT s50 1)) (OR (LT s20 1) (LT s24 1) (LT s47 1) (LT s56 1)) (OR (LT s6 1) (LT s24 1) (LT s47 1) (LT s57 1)) (OR (LT s19 1) (LT s24 1) (LT s47 1) (LT s51 1)) (OR (LT s7 1) (LT s24 1) (LT s47 1) (LT s54 1)) (OR (LT s16 1) (LT s24 1) (LT s47 1) (LT s52 1)) (OR (LT s18 1) (LT s24 1) (LT s47 1) (LT s54 1)) (OR (LT s17 1) (LT s24 1) (LT s47 1) (LT s57 1)) (OR (LT s5 1) (LT s24 1) (LT s47 1) (LT s52 1)) (OR (LT s16 1) (LT s24 1) (LT s47 1) (LT s60 1)) (OR (LT s15 1) (LT s24 1) (LT s47 1) (LT s55 1)) (OR (LT s3 1) (LT s24 1) (LT s47 1) (LT s58 1)) (OR (LT s4 1) (LT s24 1) (LT s47 1) (LT s55 1)) (OR (LT s11 1) (LT s24 1) (LT s47 1) (LT s51 1)) (OR (LT s12 1) (LT s24 1) (LT s47 1) (LT s56 1)) (OR (LT s14 1) (LT s24 1) (LT s47 1) (LT s58 1)) (OR (LT s11 1) (LT s24 1) (LT s47 1) (LT s59 1)) (OR (LT s8 1) (LT s24 1) (LT s47 1) (LT s52 1)) (OR (LT s13 1) (LT s24 1) (LT s47 1) (LT s53 1)) (OR (LT s14 1) (LT s24 1) (LT s47 1) (LT s50 1)) (OR (LT s19 1) (LT s24 1) (LT s47 1) (LT s60 1)) (OR (LT s9 1) (LT s24 1) (LT s47 1) (LT s57 1)) (OR (LT s8 1) (LT s24 1) (LT s47 1) (LT s60 1)) (OR (LT s10 1) (LT s24 1) (LT s47 1) (LT s54 1)) (OR (LT s20 1) (LT s24 1) (LT s47 1) (LT s57 1)))], nbAcceptance=1, properties=[trans-labels, explicit-labels, trans-acc, deterministic, no-univ-branch, unambiguous, semi-deterministic, very-weak, weak, inherently-weak], stateDesc=[null, null, null, null][false, false, false, false]]
Product exploration explored 100000 steps with 50000 reset in 948 ms.
Product exploration explored 100000 steps with 50000 reset in 958 ms.
Knowledge obtained : [(NOT p0), p1]
Stuttering acceptance computed with spot in 174 ms :[p0, (AND p0 p1), (AND p0 p1), (AND p0 p1)]
Product exploration explored 100000 steps with 50000 reset in 860 ms.
Product exploration explored 100000 steps with 50000 reset in 731 ms.
Applying partial POR strategy [true, false, false, true]
Stuttering acceptance computed with spot in 147 ms :[p0, (AND p0 p1), (AND p0 p1), (AND p0 p1)]
Support contains 55 out of 63 places. Attempting structural reductions.
Property had overlarge support with respect to TGBA, discarding it for now.
Starting structural reductions, iteration 0 : 63/63 places, 547/547 transitions.
Performed 1 Post agglomeration using F-continuation condition.Transition count delta: 0
Deduced a syphon composed of 1 places in 1 ms
Iterating global reduction 0 with 1 rules applied. Total rules applied 1 place count 63 transition count 547
Deduced a syphon composed of 1 places in 0 ms
Applied a total of 1 rules in 13 ms. Remains 63 /63 variables (removed 0) and now considering 547/547 (removed 0) transitions.
[2021-05-08 22:10:56] [INFO ] Flow matrix only has 129 transitions (discarded 418 similar events)
// Phase 1: matrix 129 rows 63 cols
[2021-05-08 22:10:56] [INFO ] Computed 5 place invariants in 0 ms
[2021-05-08 22:10:57] [INFO ] Dead Transitions using invariants and state equation in 220 ms returned []
[2021-05-08 22:10:57] [INFO ] Redundant transitions in 31 ms returned []
[2021-05-08 22:10:57] [INFO ] Flow matrix only has 129 transitions (discarded 418 similar events)
// Phase 1: matrix 129 rows 63 cols
[2021-05-08 22:10:57] [INFO ] Computed 5 place invariants in 2 ms
[2021-05-08 22:10:57] [INFO ] Dead Transitions using invariants and state equation in 217 ms returned []
Finished structural reductions, in 1 iterations. Remains : 63/63 places, 547/547 transitions.
Product exploration explored 100000 steps with 50000 reset in 830 ms.
Product exploration explored 100000 steps with 50000 reset in 908 ms.
[2021-05-08 22:10:59] [INFO ] Flatten gal took : 44 ms
[2021-05-08 22:10:59] [INFO ] Flatten gal took : 38 ms
[2021-05-08 22:10:59] [INFO ] Time to serialize gal into /tmp/LTL15447911826069338502.gal : 5 ms
[2021-05-08 22:10:59] [INFO ] Time to serialize properties into /tmp/LTL8120588356820025453.ltl : 3 ms
Invoking ITS tools like this :CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64, --gc-threshold, 2000000, -i, /tmp/LTL15447911826069338502.gal, -t, CGAL, -LTL, /tmp/LTL8120588356820025453.ltl, -c, -stutter-deadlock, --gen-order, FOLLOW], workingDir=/home/mcc/execution]

its-ltl command run as :

/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64 --gc-threshold 2000000 -i /tmp/LTL15447911826069338502.gal -t CGAL -LTL /tmp/LTL8120588356820025453.ltl -c -stutter-deadlock --gen-order FOLLOW
Read 1 LTL properties
Checking formula 0 : !((X((F("(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))"))||(X(G("(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((NB_ATTENTE_A_19>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1))||((((NB_ATTENTE_A_4>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_2>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_1>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_18>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_6>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_5>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_16>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_17>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_5>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_14>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_15>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_3>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_2>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_10>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_11>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_12>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_13>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_10>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_13>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_18>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_8>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_7>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_20>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_9>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_8>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_20>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_1>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_19>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_2>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_4>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_6>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_18>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_5>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_17>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_15>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_16>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_14>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_5>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_15>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_16>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_3>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_2>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_4>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_13>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_11>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_10>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_8>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_13>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_16>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_7>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_12>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_8>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_18>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_7>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_20>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_9>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_19>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_3>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_20>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_2>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_1>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_18>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_6>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_1>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_16>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_17>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_14>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_5>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_3>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_4>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_15>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_14>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_12>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_11>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_10>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_12>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_13>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_11>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_7>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_8>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_19>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_9>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_20>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_2>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_19>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_3>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_4>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_1>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_6>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_18>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_17>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_6>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_16>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_5>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_14>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_17>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_3>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_15>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_14>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_12>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_9>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_10>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_11>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_13>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_17>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_11>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_7>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_8>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_9>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_20>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_20>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_3>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_1>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_2>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_5>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_6>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_18>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_6>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_14>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_16>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_17>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_3>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_4>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_1>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_15>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_14>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_10>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_13>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_12>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_11>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_12>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_17>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_7>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_8>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_9>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_19>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_9>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_1>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_19>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_3>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_2>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_20>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_18>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_6>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_5>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_6>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_16>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_17>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_1>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_14>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_4>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_15>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_12>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_10>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_13>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_11>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_12>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_11>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_7>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_8>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_9>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_20>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_9>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_19>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_20>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_1>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_2>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_19>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_4>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_18>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_5>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_15>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_5>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_6>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_17>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_16>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_14>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_15>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_16>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_4>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_3>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_10>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_11>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_13>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_8>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_10>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_7>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_12>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_8>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_7>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_9>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_20>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_4>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_1>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_2>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_19>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_5>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_18>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_6>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_15>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_17>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_16>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_4>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_15>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_14>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_2>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_3>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_10>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_11>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_13>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_10>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_7>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_12>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_13>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_18>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_8>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_7>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_9>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_19>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))"))))))
Formula 0 simplified : !X(F"(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))" | XG"(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((NB_ATTENTE_A_19>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1))||((((NB_ATTENTE_A_4>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_2>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_1>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_18>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_6>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_5>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_16>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_17>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_5>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_14>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_15>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_3>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_2>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_10>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_11>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_12>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_13>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_10>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_13>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_18>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_8>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_7>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_20>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_9>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_8>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_20>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_1>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_19>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_2>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_4>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_6>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_18>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_5>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_17>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_15>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_16>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_14>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_5>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_15>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_16>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_3>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_2>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_4>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_13>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_11>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_10>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_8>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_13>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_16>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_7>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_12>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_8>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_18>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_7>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_20>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_9>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_19>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_3>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_20>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_2>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_1>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_18>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_6>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_1>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_16>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_17>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_14>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_5>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_3>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_4>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_15>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_14>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_12>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_11>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_10>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_12>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_13>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_11>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_7>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_8>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_19>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_9>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_20>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_2>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_19>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_3>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_4>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_1>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_6>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_18>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_17>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_6>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_16>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_5>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_14>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_17>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_3>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_15>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_14>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_12>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_9>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_10>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_11>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_13>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_17>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_11>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_7>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_8>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_9>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_20>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_20>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_3>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_1>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_2>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_5>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_6>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_18>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_6>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_14>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_16>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_17>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_3>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_4>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_1>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_15>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_14>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_10>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_13>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_12>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_11>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_12>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_17>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_7>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_8>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_9>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_19>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_9>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_1>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_19>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_3>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_2>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_20>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_18>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_6>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_5>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_6>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_16>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_17>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_1>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_14>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_4>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_15>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_12>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_10>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_13>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_11>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_12>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_11>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_7>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_8>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_9>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_20>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_9>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_19>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_20>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_1>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_2>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_19>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_4>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_18>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_5>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_15>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_5>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_6>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_17>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_16>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_14>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_15>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_16>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_4>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_3>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_10>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_11>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_13>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_8>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_10>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_7>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_12>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_8>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_7>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_9>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_20>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_4>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_1>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_2>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_19>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_5>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_18>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_6>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_15>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_17>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_16>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_4>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_15>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_14>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_2>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_3>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1)))||((((NB_ATTENTE_A_10>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1)))||((((NB_ATTENTE_A_11>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1)))||((((NB_ATTENTE_A_13>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1)))||((((NB_ATTENTE_A_10>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1)))||((((NB_ATTENTE_A_7>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1)))||((((NB_ATTENTE_A_12>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1)))||((((NB_ATTENTE_A_13>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1)))||((((NB_ATTENTE_A_18>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_8>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))||((((NB_ATTENTE_A_7>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1)))||((((NB_ATTENTE_A_9>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1)))||((((NB_ATTENTE_A_19>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1)))")
Detected timeout of ITS tools.
[2021-05-08 22:11:14] [INFO ] Flatten gal took : 29 ms
[2021-05-08 22:11:14] [INFO ] Applying decomposition
[2021-05-08 22:11:14] [INFO ] Flatten gal took : 22 ms
Converted graph to binary with : CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.louvain.binaries_1.0.0.202104292328/bin/convert-linux64, -i, /tmp/graph15068861241427349002.txt, -o, /tmp/graph15068861241427349002.bin, -w, /tmp/graph15068861241427349002.weights], workingDir=null]
Built communities with : CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.louvain.binaries_1.0.0.202104292328/bin/louvain-linux64, /tmp/graph15068861241427349002.bin, -l, -1, -v, -w, /tmp/graph15068861241427349002.weights, -q, 0, -e, 0.001], workingDir=null]
[2021-05-08 22:11:14] [INFO ] Decomposing Gal with order
[2021-05-08 22:11:14] [INFO ] Rewriting arrays to variables to allow decomposition.
[2021-05-08 22:11:14] [INFO ] Removed a total of 953 redundant transitions.
[2021-05-08 22:11:14] [INFO ] Flatten gal took : 108 ms
[2021-05-08 22:11:14] [INFO ] Fuse similar labels procedure discarded/fused a total of 137 labels/synchronizations in 4 ms.
[2021-05-08 22:11:14] [INFO ] Time to serialize gal into /tmp/LTL15389519366265137902.gal : 2 ms
[2021-05-08 22:11:14] [INFO ] Time to serialize properties into /tmp/LTL14306806351612127684.ltl : 4 ms
Invoking ITS tools like this :CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64, --gc-threshold, 2000000, -i, /tmp/LTL15389519366265137902.gal, -t, CGAL, -LTL, /tmp/LTL14306806351612127684.ltl, -c, -stutter-deadlock], workingDir=/home/mcc/execution]

its-ltl command run as :

/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64 --gc-threshold 2000000 -i /tmp/LTL15389519366265137902.gal -t CGAL -LTL /tmp/LTL14306806351612127684.ltl -c -stutter-deadlock
Read 1 LTL properties
Checking formula 0 : !((X((F("(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_16<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_4<1))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_4<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_2<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_6<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_2<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_11<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_1<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_14<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_20<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_7<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_19<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_9<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_12<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_14<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_9<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_3<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_17<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_15<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_10<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_20<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_8<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_19<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_15<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_8<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_3<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_5<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_18<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_13<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_16<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_4<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_6<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_2<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_11<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_1<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_14<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_7<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_19<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_12<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_14<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_9<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_3<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_15<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_17<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_10<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_20<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_19<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_8<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_3<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_5<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_18<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_13<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_4<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_16<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_9<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_18<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_6<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_11<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_7<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_2<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_6<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_19<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_20<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_7<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_12<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_1<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_9<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_14<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_17<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_10<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_5<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_3<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_8<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_15<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_10<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_8<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_18<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_13<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_18<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_11<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_4<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_9<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_16<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_11<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_6<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_7<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_2<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_20<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_19<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_12<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_7<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_1<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_9<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_14<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_17<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_5<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_10<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_3<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_8<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_15<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_20<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_19<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_10<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_13<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_8<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_18<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_11<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_16<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_2<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_11<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_16<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_7<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_6<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_19<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_12<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_1<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_14<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_6<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_9<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_12<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_4<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_17<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_17<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_10<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_3<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_5<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_17<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_15<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_20<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_13<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_8<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_5<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_18<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_11<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_16<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_4<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_18<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_2<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_11<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_1<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_7<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_6<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_19<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_12<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_20<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_1<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_14<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_6<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_7<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_12<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_9<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_4<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_17<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_10<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_3<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_5<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_15<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_17<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_20<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_13<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_8<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_10<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_13<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_5<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_18<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_4<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_16<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_2<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_11<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_16<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_7<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_12<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_1<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_2<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_6<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_19<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_14<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_12<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_1<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_9<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_4<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_10<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_5<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_3<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_17<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_15<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_20<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_15<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_8<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_13<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_5<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_13<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_18<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_4<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_16<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_2<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_11<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_16<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_7<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_1<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_2<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_6<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_14<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_19<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_12<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_1<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_9<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_4<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_14<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_10<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_3<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_15<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_17<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_15<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_20<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_13<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_8<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_5<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_3<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_18<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_13<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_8<1)))"))||(X(G("(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((u2.NB_ATTENTE_A_19>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_3>=1))||((((u2.NB_ATTENTE_A_4>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((u2.NB_ATTENTE_A_2>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((u2.NB_ATTENTE_A_1>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((u2.NB_ATTENTE_A_18>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((u2.NB_ATTENTE_A_6>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((u2.NB_ATTENTE_A_5>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((u2.NB_ATTENTE_A_16>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((u2.NB_ATTENTE_A_17>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((u2.NB_ATTENTE_A_5>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((u2.NB_ATTENTE_A_14>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((u2.NB_ATTENTE_A_15>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((u2.NB_ATTENTE_A_3>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((u2.NB_ATTENTE_A_2>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((u2.NB_ATTENTE_A_10>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((u2.NB_ATTENTE_A_11>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((u2.NB_ATTENTE_A_12>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((u2.NB_ATTENTE_A_13>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((u2.NB_ATTENTE_A_10>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((u2.NB_ATTENTE_A_13>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((u2.NB_ATTENTE_A_18>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((u2.NB_ATTENTE_A_8>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((u2.NB_ATTENTE_A_7>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((u2.NB_ATTENTE_A_20>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((u2.NB_ATTENTE_A_9>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((u2.NB_ATTENTE_A_8>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((u2.NB_ATTENTE_A_20>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((u2.NB_ATTENTE_A_1>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((u2.NB_ATTENTE_A_19>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((u2.NB_ATTENTE_A_2>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((u2.NB_ATTENTE_A_4>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((u2.NB_ATTENTE_A_6>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((u2.NB_ATTENTE_A_18>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((u2.NB_ATTENTE_A_5>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((u2.NB_ATTENTE_A_17>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((u2.NB_ATTENTE_A_15>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((u2.NB_ATTENTE_A_16>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((u2.NB_ATTENTE_A_14>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((u2.NB_ATTENTE_A_5>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((u2.NB_ATTENTE_A_15>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((u2.NB_ATTENTE_A_16>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((u2.NB_ATTENTE_A_3>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((u2.NB_ATTENTE_A_2>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((u2.NB_ATTENTE_A_4>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((u2.NB_ATTENTE_A_13>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((u2.NB_ATTENTE_A_11>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((u2.NB_ATTENTE_A_10>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((u2.NB_ATTENTE_A_8>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((u2.NB_ATTENTE_A_13>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((u2.NB_ATTENTE_A_16>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((u2.NB_ATTENTE_A_7>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((u2.NB_ATTENTE_A_12>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((u2.NB_ATTENTE_A_8>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((u2.NB_ATTENTE_A_18>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((u2.NB_ATTENTE_A_7>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((u2.NB_ATTENTE_A_20>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((u2.NB_ATTENTE_A_9>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((u2.NB_ATTENTE_A_19>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((u2.NB_ATTENTE_A_3>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((u2.NB_ATTENTE_A_20>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((u2.NB_ATTENTE_A_2>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((u2.NB_ATTENTE_A_1>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((u2.NB_ATTENTE_A_18>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((u2.NB_ATTENTE_A_6>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((u2.NB_ATTENTE_A_1>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((u2.NB_ATTENTE_A_16>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((u2.NB_ATTENTE_A_17>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((u2.NB_ATTENTE_A_14>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((u2.NB_ATTENTE_A_5>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((u2.NB_ATTENTE_A_3>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((u2.NB_ATTENTE_A_4>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((u2.NB_ATTENTE_A_15>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((u2.NB_ATTENTE_A_14>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((u2.NB_ATTENTE_A_12>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((u2.NB_ATTENTE_A_11>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((u2.NB_ATTENTE_A_10>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((u2.NB_ATTENTE_A_12>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((u2.NB_ATTENTE_A_13>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((u2.NB_ATTENTE_A_11>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((u2.NB_ATTENTE_A_7>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((u2.NB_ATTENTE_A_8>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((u2.NB_ATTENTE_A_19>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((u2.NB_ATTENTE_A_9>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((u2.NB_ATTENTE_A_20>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((u2.NB_ATTENTE_A_2>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((u2.NB_ATTENTE_A_19>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((u2.NB_ATTENTE_A_3>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((u2.NB_ATTENTE_A_4>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((u2.NB_ATTENTE_A_1>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((u2.NB_ATTENTE_A_6>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((u2.NB_ATTENTE_A_18>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((u2.NB_ATTENTE_A_17>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((u2.NB_ATTENTE_A_6>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((u2.NB_ATTENTE_A_16>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((u2.NB_ATTENTE_A_5>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((u2.NB_ATTENTE_A_14>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((u2.NB_ATTENTE_A_17>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((u2.NB_ATTENTE_A_3>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((u2.NB_ATTENTE_A_15>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((u2.NB_ATTENTE_A_14>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((u2.NB_ATTENTE_A_12>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((u2.NB_ATTENTE_A_9>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((u2.NB_ATTENTE_A_10>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((u2.NB_ATTENTE_A_11>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((u2.NB_ATTENTE_A_13>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((u2.NB_ATTENTE_A_17>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((u2.NB_ATTENTE_A_11>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((u2.NB_ATTENTE_A_7>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((u2.NB_ATTENTE_A_8>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((u2.NB_ATTENTE_A_9>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((u2.NB_ATTENTE_A_20>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((u2.NB_ATTENTE_A_20>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((u2.NB_ATTENTE_A_3>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((u2.NB_ATTENTE_A_1>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((u2.NB_ATTENTE_A_2>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((u2.NB_ATTENTE_A_5>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((u2.NB_ATTENTE_A_6>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((u2.NB_ATTENTE_A_18>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((u2.NB_ATTENTE_A_6>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((u2.NB_ATTENTE_A_14>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((u2.NB_ATTENTE_A_16>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((u2.NB_ATTENTE_A_17>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((u2.NB_ATTENTE_A_3>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((u2.NB_ATTENTE_A_4>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((u2.NB_ATTENTE_A_1>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((u2.NB_ATTENTE_A_15>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((u2.NB_ATTENTE_A_14>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((u2.NB_ATTENTE_A_10>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((u2.NB_ATTENTE_A_13>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((u2.NB_ATTENTE_A_12>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((u2.NB_ATTENTE_A_11>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((u2.NB_ATTENTE_A_12>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((u2.NB_ATTENTE_A_17>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((u2.NB_ATTENTE_A_7>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((u2.NB_ATTENTE_A_8>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((u2.NB_ATTENTE_A_9>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((u2.NB_ATTENTE_A_19>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((u2.NB_ATTENTE_A_9>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((u2.NB_ATTENTE_A_1>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((u2.NB_ATTENTE_A_19>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((u2.NB_ATTENTE_A_3>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((u2.NB_ATTENTE_A_2>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((u2.NB_ATTENTE_A_20>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((u2.NB_ATTENTE_A_18>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((u2.NB_ATTENTE_A_6>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((u2.NB_ATTENTE_A_5>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((u2.NB_ATTENTE_A_6>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((u2.NB_ATTENTE_A_16>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((u2.NB_ATTENTE_A_17>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((u2.NB_ATTENTE_A_1>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((u2.NB_ATTENTE_A_14>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((u2.NB_ATTENTE_A_4>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((u2.NB_ATTENTE_A_15>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((u2.NB_ATTENTE_A_12>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((u2.NB_ATTENTE_A_10>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((u2.NB_ATTENTE_A_13>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((u2.NB_ATTENTE_A_11>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((u2.NB_ATTENTE_A_12>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((u2.NB_ATTENTE_A_11>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((u2.NB_ATTENTE_A_7>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((u2.NB_ATTENTE_A_8>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((u2.NB_ATTENTE_A_9>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((u2.NB_ATTENTE_A_20>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((u2.NB_ATTENTE_A_9>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((u2.NB_ATTENTE_A_19>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((u2.NB_ATTENTE_A_20>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((u2.NB_ATTENTE_A_1>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((u2.NB_ATTENTE_A_2>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((u2.NB_ATTENTE_A_19>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((u2.NB_ATTENTE_A_4>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((u2.NB_ATTENTE_A_18>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((u2.NB_ATTENTE_A_5>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((u2.NB_ATTENTE_A_15>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((u2.NB_ATTENTE_A_5>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((u2.NB_ATTENTE_A_6>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((u2.NB_ATTENTE_A_17>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((u2.NB_ATTENTE_A_16>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((u2.NB_ATTENTE_A_14>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((u2.NB_ATTENTE_A_15>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((u2.NB_ATTENTE_A_16>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((u2.NB_ATTENTE_A_4>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((u2.NB_ATTENTE_A_3>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((u2.NB_ATTENTE_A_10>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((u2.NB_ATTENTE_A_11>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((u2.NB_ATTENTE_A_13>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((u2.NB_ATTENTE_A_8>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((u2.NB_ATTENTE_A_10>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((u2.NB_ATTENTE_A_7>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((u2.NB_ATTENTE_A_12>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((u2.NB_ATTENTE_A_8>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((u2.NB_ATTENTE_A_7>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((u2.NB_ATTENTE_A_9>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((u2.NB_ATTENTE_A_20>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((u2.NB_ATTENTE_A_4>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((u2.NB_ATTENTE_A_1>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((u2.NB_ATTENTE_A_2>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((u2.NB_ATTENTE_A_19>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((u2.NB_ATTENTE_A_5>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((u2.NB_ATTENTE_A_18>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((u2.NB_ATTENTE_A_6>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((u2.NB_ATTENTE_A_15>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((u2.NB_ATTENTE_A_17>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((u2.NB_ATTENTE_A_16>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((u2.NB_ATTENTE_A_4>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((u2.NB_ATTENTE_A_15>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((u2.NB_ATTENTE_A_14>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((u2.NB_ATTENTE_A_2>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((u2.NB_ATTENTE_A_3>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((u2.NB_ATTENTE_A_10>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((u2.NB_ATTENTE_A_11>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((u2.NB_ATTENTE_A_13>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((u2.NB_ATTENTE_A_10>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((u2.NB_ATTENTE_A_7>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((u2.NB_ATTENTE_A_12>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((u2.NB_ATTENTE_A_13>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((u2.NB_ATTENTE_A_18>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((u2.NB_ATTENTE_A_8>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((u2.NB_ATTENTE_A_7>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((u2.NB_ATTENTE_A_9>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((u2.NB_ATTENTE_A_19>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_7>=1)))"))))))
Formula 0 simplified : !X(F"(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_16<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_4<1))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_4<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_2<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_6<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_2<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_11<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_1<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_14<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_20<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_7<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_19<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_9<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_12<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_14<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_9<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_3<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_17<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_15<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_10<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_20<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_8<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_19<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_15<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_8<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_3<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_5<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_18<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_13<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_16<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_4<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_6<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_2<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_11<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_1<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_14<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_7<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_19<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_12<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_14<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_9<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_3<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_15<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_17<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_10<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_20<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_19<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_8<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_3<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_5<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_18<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_13<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_4<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_16<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_9<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_18<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_6<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_11<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_7<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_2<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_6<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_19<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_20<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_7<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_12<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_1<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_9<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_14<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_17<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_10<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_5<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_3<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_8<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_15<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_10<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_8<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_18<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_13<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_18<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_11<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_4<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_9<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_16<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_11<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_6<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_7<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_2<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_20<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_19<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_12<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_7<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_1<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_9<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_14<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_17<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_5<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_10<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_3<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_8<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_15<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_20<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_19<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_10<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_13<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_8<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_18<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_11<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_16<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_2<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_11<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_16<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_7<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_6<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_19<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_12<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_1<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_14<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_6<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_9<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_12<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_4<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_17<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_17<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_10<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_3<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_5<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_17<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_15<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_20<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_13<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_8<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_5<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_18<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_11<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_16<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_4<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_18<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_2<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_11<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_1<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_7<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_6<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_19<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_12<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_20<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_1<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_14<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_6<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_7<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_12<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_9<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_4<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_17<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_10<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_3<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_5<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_15<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_17<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_20<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_13<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_8<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_10<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_13<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_5<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_18<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_4<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_16<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_2<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_11<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_16<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_7<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_12<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_1<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_2<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_6<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_19<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_14<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_12<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_1<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_9<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_4<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_10<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_5<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_3<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_17<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_15<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_20<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_15<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_8<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_13<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_5<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_13<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_18<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_4<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_16<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_2<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_11<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_16<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_7<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_1<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_2<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_6<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_14<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_19<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_12<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_1<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_9<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_4<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_14<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_10<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_3<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_15<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_17<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_15<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_20<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_13<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_8<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_5<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_3<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_18<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_0<1)||(i2.u3.NB_ATTENTE_B_13<1))||(i2.u3.CONTROLEUR_0<1))||(i2.u1.COMPTEUR_8<1)))" | XG"(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((u2.NB_ATTENTE_A_19>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_3>=1))||((((u2.NB_ATTENTE_A_4>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((u2.NB_ATTENTE_A_2>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((u2.NB_ATTENTE_A_1>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((u2.NB_ATTENTE_A_18>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((u2.NB_ATTENTE_A_6>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((u2.NB_ATTENTE_A_5>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((u2.NB_ATTENTE_A_16>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((u2.NB_ATTENTE_A_17>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((u2.NB_ATTENTE_A_5>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((u2.NB_ATTENTE_A_14>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((u2.NB_ATTENTE_A_15>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((u2.NB_ATTENTE_A_3>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((u2.NB_ATTENTE_A_2>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((u2.NB_ATTENTE_A_10>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((u2.NB_ATTENTE_A_11>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((u2.NB_ATTENTE_A_12>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((u2.NB_ATTENTE_A_13>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((u2.NB_ATTENTE_A_10>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((u2.NB_ATTENTE_A_13>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((u2.NB_ATTENTE_A_18>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((u2.NB_ATTENTE_A_8>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((u2.NB_ATTENTE_A_7>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((u2.NB_ATTENTE_A_20>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((u2.NB_ATTENTE_A_9>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((u2.NB_ATTENTE_A_8>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((u2.NB_ATTENTE_A_20>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((u2.NB_ATTENTE_A_1>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((u2.NB_ATTENTE_A_19>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((u2.NB_ATTENTE_A_2>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((u2.NB_ATTENTE_A_4>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((u2.NB_ATTENTE_A_6>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((u2.NB_ATTENTE_A_18>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((u2.NB_ATTENTE_A_5>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((u2.NB_ATTENTE_A_17>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((u2.NB_ATTENTE_A_15>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((u2.NB_ATTENTE_A_16>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((u2.NB_ATTENTE_A_14>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((u2.NB_ATTENTE_A_5>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((u2.NB_ATTENTE_A_15>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((u2.NB_ATTENTE_A_16>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((u2.NB_ATTENTE_A_3>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((u2.NB_ATTENTE_A_2>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((u2.NB_ATTENTE_A_4>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((u2.NB_ATTENTE_A_13>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((u2.NB_ATTENTE_A_11>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((u2.NB_ATTENTE_A_10>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((u2.NB_ATTENTE_A_8>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((u2.NB_ATTENTE_A_13>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((u2.NB_ATTENTE_A_16>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((u2.NB_ATTENTE_A_7>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((u2.NB_ATTENTE_A_12>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((u2.NB_ATTENTE_A_8>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((u2.NB_ATTENTE_A_18>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((u2.NB_ATTENTE_A_7>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((u2.NB_ATTENTE_A_20>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((u2.NB_ATTENTE_A_9>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((u2.NB_ATTENTE_A_19>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((u2.NB_ATTENTE_A_3>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((u2.NB_ATTENTE_A_20>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((u2.NB_ATTENTE_A_2>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((u2.NB_ATTENTE_A_1>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((u2.NB_ATTENTE_A_18>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((u2.NB_ATTENTE_A_6>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((u2.NB_ATTENTE_A_1>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((u2.NB_ATTENTE_A_16>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((u2.NB_ATTENTE_A_17>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((u2.NB_ATTENTE_A_14>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((u2.NB_ATTENTE_A_5>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((u2.NB_ATTENTE_A_3>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((u2.NB_ATTENTE_A_4>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((u2.NB_ATTENTE_A_15>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((u2.NB_ATTENTE_A_14>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((u2.NB_ATTENTE_A_12>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((u2.NB_ATTENTE_A_11>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((u2.NB_ATTENTE_A_10>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((u2.NB_ATTENTE_A_12>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((u2.NB_ATTENTE_A_13>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((u2.NB_ATTENTE_A_11>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((u2.NB_ATTENTE_A_7>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((u2.NB_ATTENTE_A_8>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((u2.NB_ATTENTE_A_19>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((u2.NB_ATTENTE_A_9>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((u2.NB_ATTENTE_A_20>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((u2.NB_ATTENTE_A_2>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((u2.NB_ATTENTE_A_19>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((u2.NB_ATTENTE_A_3>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((u2.NB_ATTENTE_A_4>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((u2.NB_ATTENTE_A_1>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((u2.NB_ATTENTE_A_6>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((u2.NB_ATTENTE_A_18>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((u2.NB_ATTENTE_A_17>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((u2.NB_ATTENTE_A_6>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((u2.NB_ATTENTE_A_16>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((u2.NB_ATTENTE_A_5>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((u2.NB_ATTENTE_A_14>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((u2.NB_ATTENTE_A_17>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((u2.NB_ATTENTE_A_3>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((u2.NB_ATTENTE_A_15>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((u2.NB_ATTENTE_A_14>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((u2.NB_ATTENTE_A_12>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((u2.NB_ATTENTE_A_9>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((u2.NB_ATTENTE_A_10>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((u2.NB_ATTENTE_A_11>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((u2.NB_ATTENTE_A_13>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((u2.NB_ATTENTE_A_17>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((u2.NB_ATTENTE_A_11>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((u2.NB_ATTENTE_A_7>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((u2.NB_ATTENTE_A_8>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((u2.NB_ATTENTE_A_9>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((u2.NB_ATTENTE_A_20>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((u2.NB_ATTENTE_A_20>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((u2.NB_ATTENTE_A_3>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((u2.NB_ATTENTE_A_1>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((u2.NB_ATTENTE_A_2>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((u2.NB_ATTENTE_A_5>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((u2.NB_ATTENTE_A_6>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((u2.NB_ATTENTE_A_18>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((u2.NB_ATTENTE_A_6>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((u2.NB_ATTENTE_A_14>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((u2.NB_ATTENTE_A_16>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((u2.NB_ATTENTE_A_17>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((u2.NB_ATTENTE_A_3>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((u2.NB_ATTENTE_A_4>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((u2.NB_ATTENTE_A_1>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((u2.NB_ATTENTE_A_15>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((u2.NB_ATTENTE_A_14>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((u2.NB_ATTENTE_A_10>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((u2.NB_ATTENTE_A_13>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((u2.NB_ATTENTE_A_12>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((u2.NB_ATTENTE_A_11>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((u2.NB_ATTENTE_A_12>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((u2.NB_ATTENTE_A_17>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((u2.NB_ATTENTE_A_7>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((u2.NB_ATTENTE_A_8>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((u2.NB_ATTENTE_A_9>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((u2.NB_ATTENTE_A_19>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((u2.NB_ATTENTE_A_9>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((u2.NB_ATTENTE_A_1>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((u2.NB_ATTENTE_A_19>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((u2.NB_ATTENTE_A_3>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((u2.NB_ATTENTE_A_2>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((u2.NB_ATTENTE_A_20>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((u2.NB_ATTENTE_A_18>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((u2.NB_ATTENTE_A_6>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((u2.NB_ATTENTE_A_5>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((u2.NB_ATTENTE_A_6>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((u2.NB_ATTENTE_A_16>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((u2.NB_ATTENTE_A_17>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((u2.NB_ATTENTE_A_1>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((u2.NB_ATTENTE_A_14>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((u2.NB_ATTENTE_A_4>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((u2.NB_ATTENTE_A_15>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((u2.NB_ATTENTE_A_12>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((u2.NB_ATTENTE_A_10>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((u2.NB_ATTENTE_A_13>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((u2.NB_ATTENTE_A_11>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((u2.NB_ATTENTE_A_12>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((u2.NB_ATTENTE_A_11>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((u2.NB_ATTENTE_A_7>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((u2.NB_ATTENTE_A_8>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((u2.NB_ATTENTE_A_9>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((u2.NB_ATTENTE_A_20>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((u2.NB_ATTENTE_A_9>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((u2.NB_ATTENTE_A_19>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((u2.NB_ATTENTE_A_20>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((u2.NB_ATTENTE_A_1>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((u2.NB_ATTENTE_A_2>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((u2.NB_ATTENTE_A_19>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((u2.NB_ATTENTE_A_4>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((u2.NB_ATTENTE_A_18>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((u2.NB_ATTENTE_A_5>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((u2.NB_ATTENTE_A_15>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((u2.NB_ATTENTE_A_5>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((u2.NB_ATTENTE_A_6>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((u2.NB_ATTENTE_A_17>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((u2.NB_ATTENTE_A_16>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((u2.NB_ATTENTE_A_14>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((u2.NB_ATTENTE_A_15>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((u2.NB_ATTENTE_A_16>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((u2.NB_ATTENTE_A_4>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((u2.NB_ATTENTE_A_3>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((u2.NB_ATTENTE_A_10>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((u2.NB_ATTENTE_A_11>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((u2.NB_ATTENTE_A_13>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((u2.NB_ATTENTE_A_8>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((u2.NB_ATTENTE_A_10>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((u2.NB_ATTENTE_A_7>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((u2.NB_ATTENTE_A_12>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((u2.NB_ATTENTE_A_8>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((u2.NB_ATTENTE_A_7>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((u2.NB_ATTENTE_A_9>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((u2.NB_ATTENTE_A_20>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((u2.NB_ATTENTE_A_4>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((u2.NB_ATTENTE_A_1>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((u2.NB_ATTENTE_A_2>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((u2.NB_ATTENTE_A_19>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((u2.NB_ATTENTE_A_5>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((u2.NB_ATTENTE_A_18>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((u2.NB_ATTENTE_A_6>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((u2.NB_ATTENTE_A_15>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((u2.NB_ATTENTE_A_17>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((u2.NB_ATTENTE_A_16>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((u2.NB_ATTENTE_A_4>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((u2.NB_ATTENTE_A_15>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((u2.NB_ATTENTE_A_14>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((u2.NB_ATTENTE_A_2>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((u2.NB_ATTENTE_A_3>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((u2.NB_ATTENTE_A_10>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((u2.NB_ATTENTE_A_11>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((u2.NB_ATTENTE_A_13>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((u2.NB_ATTENTE_A_10>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((u2.NB_ATTENTE_A_7>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((u2.NB_ATTENTE_A_12>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((u2.NB_ATTENTE_A_13>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((u2.NB_ATTENTE_A_18>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((u2.NB_ATTENTE_A_8>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((u2.NB_ATTENTE_A_7>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((u2.NB_ATTENTE_A_9>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((u2.NB_ATTENTE_A_19>=1)&&(u2.NB_ATTENTE_B_0>=1))&&(u2.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_7>=1)))")
Detected timeout of ITS tools.
Built C files in :
/tmp/ltsmin358022766818410072
[2021-05-08 22:11:29] [INFO ] Built C files in 27ms conformant to PINS (ltsmin variant)in folder :/tmp/ltsmin358022766818410072
Running compilation step : CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/include/, -I., -std=c99, -fPIC, -O2, model.c], workingDir=/tmp/ltsmin358022766818410072]
WARNING : LTS min runner thread failed on error :java.lang.RuntimeException: Compilation or link of executable timed out.java.util.concurrent.TimeoutException: Subprocess running CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/include/, -I., -std=c99, -fPIC, -O2, model.c], workingDir=/tmp/ltsmin358022766818410072] killed by timeout after 1 SECONDS
java.lang.RuntimeException: Compilation or link of executable timed out.java.util.concurrent.TimeoutException: Subprocess running CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/include/, -I., -std=c99, -fPIC, -O2, model.c], workingDir=/tmp/ltsmin358022766818410072] killed by timeout after 1 SECONDS
at fr.lip6.move.gal.application.LTSminRunner$1.run(LTSminRunner.java:79)
at java.base/java.lang.Thread.run(Thread.java:834)
Treatment of property BridgeAndVehicles-COL-V20P20N10-08 finished in 38879 ms.
Running Spot : CommandLine [args=[/home/mcc/BenchKit/bin//..//ltl2tgba, --hoaf=tv, -f, !(X(((F(G(p2))||p1)&&p0)))], workingDir=/home/mcc/execution]
Support contains 35 out of 66 places. Attempting structural reductions.
Starting structural reductions, iteration 0 : 66/66 places, 548/548 transitions.
Applied a total of 0 rules in 8 ms. Remains 66 /66 variables (removed 0) and now considering 548/548 (removed 0) transitions.
[2021-05-08 22:11:30] [INFO ] Flow matrix only has 130 transitions (discarded 418 similar events)
// Phase 1: matrix 130 rows 66 cols
[2021-05-08 22:11:30] [INFO ] Computed 5 place invariants in 1 ms
[2021-05-08 22:11:31] [INFO ] Dead Transitions using invariants and state equation in 386 ms returned []
[2021-05-08 22:11:31] [INFO ] Flow matrix only has 130 transitions (discarded 418 similar events)
// Phase 1: matrix 130 rows 66 cols
[2021-05-08 22:11:31] [INFO ] Computed 5 place invariants in 2 ms
[2021-05-08 22:11:32] [INFO ] Implicit Places using invariants in 1663 ms returned []
[2021-05-08 22:11:32] [INFO ] Flow matrix only has 130 transitions (discarded 418 similar events)
// Phase 1: matrix 130 rows 66 cols
[2021-05-08 22:11:32] [INFO ] Computed 5 place invariants in 25 ms
[2021-05-08 22:11:32] [INFO ] State equation strengthened by 22 read => feed constraints.
[2021-05-08 22:11:33] [INFO ] Implicit Places using invariants and state equation in 309 ms returned [23, 47]
Discarding 2 places :
Implicit Place search using SMT with State Equation took 1979 ms to find 2 implicit places.
[2021-05-08 22:11:33] [INFO ] Flow matrix only has 130 transitions (discarded 418 similar events)
// Phase 1: matrix 130 rows 64 cols
[2021-05-08 22:11:33] [INFO ] Computed 5 place invariants in 1 ms
[2021-05-08 22:11:33] [INFO ] Dead Transitions using invariants and state equation in 313 ms returned []
Starting structural reductions, iteration 1 : 64/66 places, 548/548 transitions.
Applied a total of 0 rules in 4 ms. Remains 64 /64 variables (removed 0) and now considering 548/548 (removed 0) transitions.
[2021-05-08 22:11:33] [INFO ] Flow matrix only has 130 transitions (discarded 418 similar events)
// Phase 1: matrix 130 rows 64 cols
[2021-05-08 22:11:33] [INFO ] Computed 5 place invariants in 1 ms
[2021-05-08 22:11:34] [INFO ] Dead Transitions using invariants and state equation in 1121 ms returned []
Finished structural reductions, in 2 iterations. Remains : 64/66 places, 548/548 transitions.
Stuttering acceptance computed with spot in 105 ms :[(OR (NOT p0) (AND (NOT p1) (NOT p2))), (OR (NOT p0) (AND (NOT p1) (NOT p2))), true, (NOT p2)]
Running random walk in product with property : BridgeAndVehicles-COL-V20P20N10-09 automaton TGBA [mat=[[{ cond=true, acceptance={} source=0 dest: 1}], [{ cond=(NOT p0), acceptance={} source=1 dest: 2}, { cond=(AND p0 (NOT p1)), acceptance={} source=1 dest: 3}], [{ cond=true, acceptance={0} source=2 dest: 2}], [{ cond=(NOT p2), acceptance={0} source=3 dest: 3}, { cond=p2, acceptance={} source=3 dest: 3}]], initial=0, aps=[p0:(AND (LT s45 1) (LT s23 1)), p1:(OR (AND (GEQ s20 1) (GEQ s24 1) (GEQ s48 1) (GEQ s54 1)) (AND (GEQ s5 1) (GEQ s24 1) (GEQ s48 1) (GEQ s58 1)) (AND (GEQ s3 1) (GEQ s24 1) (GEQ s48 1) (GEQ s52 1)) (AND (GEQ s2 1) (GEQ s24 1) (GEQ s48 1) (GEQ s57 1)) (AND (GEQ s19 1) (GEQ s24 1) (GEQ s48 1) (GEQ s51 1)) (AND (GEQ s7 1) (GEQ s24 1) (GEQ s48 1) (GEQ s56 1)) (AND (GEQ s6 1) (GEQ s24 1) (GEQ s48 1) (GEQ s61 1)) (AND (GEQ s17 1) (GEQ s24 1) (GEQ s48 1) (GEQ s53 1)) (AND (GEQ s18 1) (GEQ s24 1) (GEQ s48 1) (GEQ s56 1)) (AND (GEQ s6 1) (GEQ s24 1) (GEQ s48 1) (GEQ s53 1)) (AND (GEQ s15 1) (GEQ s24 1) (GEQ s48 1) (GEQ s55 1)) (AND (GEQ s16 1) (GEQ s24 1) (GEQ s48 1) (GEQ s58 1)) (AND (GEQ s4 1) (GEQ s24 1) (GEQ s48 1) (GEQ s55 1)) (AND (GEQ s3 1) (GEQ s24 1) (GEQ s48 1) (GEQ s60 1)) (AND (GEQ s11 1) (GEQ s24 1) (GEQ s48 1) (GEQ s51 1)) (AND (GEQ s12 1) (GEQ s24 1) (GEQ s48 1) (GEQ s54 1)) (AND (GEQ s13 1) (GEQ s24 1) (GEQ s48 1) (GEQ s57 1)) (AND (GEQ s14 1) (GEQ s24 1) (GEQ s48 1) (GEQ s60 1)) (AND (GEQ s11 1) (GEQ s24 1) (GEQ s48 1) (GEQ s59 1)) (AND (GEQ s14 1) (GEQ s24 1) (GEQ s48 1) (GEQ s52 1)) (AND (GEQ s19 1) (GEQ s24 1) (GEQ s48 1) (GEQ s60 1)) (AND (GEQ s9 1) (GEQ s24 1) (GEQ s48 1) (GEQ s53 1)) (AND (GEQ s8 1) (GEQ s24 1) (GEQ s48 1) (GEQ s58 1)) (AND (GEQ s21 1) (GEQ s24 1) (GEQ s48 1) (GEQ s58 1)) (AND (GEQ s10 1) (GEQ s24 1) (GEQ s48 1) (GEQ s56 1)) (AND (GEQ s9 1) (GEQ s24 1) (GEQ s48 1) (GEQ s61 1)) (AND (GEQ s21 1) (GEQ s24 1) (GEQ s48 1) (GEQ s56 1)) (AND (GEQ s2 1) (GEQ s24 1) (GEQ s48 1) (GEQ s56 1)) (AND (GEQ s20 1) (GEQ s24 1) (GEQ s48 1) (GEQ s55 1)) (AND (GEQ s3 1) (GEQ s24 1) (GEQ s48 1) (GEQ s53 1)) (AND (GEQ s5 1) (GEQ s24 1) (GEQ s48 1) (GEQ s59 1)) (AND (GEQ s7 1) (GEQ s24 1) (GEQ s48 1) (GEQ s57 1)) (AND (GEQ s19 1) (GEQ s24 1) (GEQ s48 1) (GEQ s58 1)) (AND (GEQ s6 1) (GEQ s24 1) (GEQ s48 1) (GEQ s60 1)) (AND (GEQ s18 1) (GEQ s24 1) (GEQ s48 1) (GEQ s57 1)) (AND (GEQ s16 1) (GEQ s24 1) (GEQ s48 1) (GEQ s51 1)) (AND (GEQ s17 1) (GEQ s24 1) (GEQ s48 1) (GEQ s60 1)) (AND (GEQ s15 1) (GEQ s24 1) (GEQ s48 1) (GEQ s54 1)) (AND (GEQ s6 1) (GEQ s24 1) (GEQ s48 1) (GEQ s52 1)) (AND (GEQ s16 1) (GEQ s24 1) (GEQ s48 1) (GEQ s59 1)) (AND (GEQ s17 1) (GEQ s24 1) (GEQ s48 1) (GEQ s52 1)) (AND (GEQ s4 1) (GEQ s24 1) (GEQ s48 1) (GEQ s54 1)) (AND (GEQ s3 1) (GEQ s24 1) (GEQ s48 1) (GEQ s61 1)) (AND (GEQ s5 1) (GEQ s24 1) (GEQ s48 1) (GEQ s51 1)) (AND (GEQ s14 1) (GEQ s24 1) (GEQ s48 1) (GEQ s61 1)) (AND (GEQ s12 1) (GEQ s24 1) (GEQ s48 1) (GEQ s55 1)) (AND (GEQ s11 1) (GEQ s24 1) (GEQ s48 1) (GEQ s58 1)) (AND (GEQ s9 1) (GEQ s24 1) (GEQ s48 1) (GEQ s52 1)) (AND (GEQ s14 1) (GEQ s24 1) (GEQ s48 1) (GEQ s53 1)) (AND (GEQ s17 1) (GEQ s24 1) (GEQ s48 1) (GEQ s61 1)) (AND (GEQ s8 1) (GEQ s24 1) (GEQ s48 1) (GEQ s51 1)) (AND (GEQ s13 1) (GEQ s24 1) (GEQ s48 1) (GEQ s56 1)) (AND (GEQ s9 1) (GEQ s24 1) (GEQ s48 1) (GEQ s60 1)) (AND (GEQ s19 1) (GEQ s24 1) (GEQ s48 1) (GEQ s59 1)) (AND (GEQ s8 1) (GEQ s24 1) (GEQ s48 1) (GEQ s59 1)) (AND (GEQ s21 1) (GEQ s24 1) (GEQ s48 1) (GEQ s57 1)) (AND (GEQ s10 1) (GEQ s24 1) (GEQ s48 1) (GEQ s57 1)) (AND (GEQ s20 1) (GEQ s24 1) (GEQ s48 1) (GEQ s52 1)) (AND (GEQ s4 1) (GEQ s24 1) (GEQ s48 1) (GEQ s61 1)) (AND (GEQ s21 1) (GEQ s24 1) (GEQ s48 1) (GEQ s51 1)) (AND (GEQ s3 1) (GEQ s24 1) (GEQ s48 1) (GEQ s54 1)) (AND (GEQ s2 1) (GEQ s24 1) (GEQ s48 1) (GEQ s59 1)) (AND (GEQ s19 1) (GEQ s24 1) (GEQ s48 1) (GEQ s57 1)) (AND (GEQ s7 1) (GEQ s24 1) (GEQ s48 1) (GEQ s58 1)) (AND (GEQ s2 1) (GEQ s24 1) (GEQ s48 1) (GEQ s51 1)) (AND (GEQ s17 1) (GEQ s24 1) (GEQ s48 1) (GEQ s55 1)) (AND (GEQ s18 1) (GEQ s24 1) (GEQ s48 1) (GEQ s58 1)) (AND (GEQ s15 1) (GEQ s24 1) (GEQ s48 1) (GEQ s53 1)) (AND (GEQ s6 1) (GEQ s24 1) (GEQ s48 1) (GEQ s55 1)) (AND (GEQ s4 1) (GEQ s24 1) (GEQ s48 1) (GEQ s53 1)) (AND (GEQ s5 1) (GEQ s24 1) (GEQ s48 1) (GEQ s56 1)) (AND (GEQ s16 1) (GEQ s24 1) (GEQ s48 1) (GEQ s56 1)) (AND (GEQ s15 1) (GEQ s24 1) (GEQ s48 1) (GEQ s61 1)) (AND (GEQ s13 1) (GEQ s24 1) (GEQ s48 1) (GEQ s59 1)) (AND (GEQ s12 1) (GEQ s24 1) (GEQ s48 1) (GEQ s52 1)) (AND (GEQ s11 1) (GEQ s24 1) (GEQ s48 1) (GEQ s57 1)) (AND (GEQ s13 1) (GEQ s24 1) (GEQ s48 1) (GEQ s51 1)) (AND (GEQ s14 1) (GEQ s24 1) (GEQ s48 1) (GEQ s54 1)) (AND (GEQ s12 1) (GEQ s24 1) (GEQ s48 1) (GEQ s60 1)) (AND (GEQ s8 1) (GEQ s24 1) (GEQ s48 1) (GEQ s56 1)) (AND (GEQ s9 1) (GEQ s24 1) (GEQ s48 1) (GEQ s55 1)) (AND (GEQ s20 1) (GEQ s24 1) (GEQ s48 1) (GEQ s61 1)) (AND (GEQ s10 1) (GEQ s24 1) (GEQ s48 1) (GEQ s58 1)) (AND (GEQ s21 1) (GEQ s24 1) (GEQ s48 1) (GEQ s60 1)) (AND (GEQ s3 1) (GEQ s24 1) (GEQ s48 1) (GEQ s55 1)) (AND (GEQ s20 1) (GEQ s24 1) (GEQ s48 1) (GEQ s53 1)) (AND (GEQ s4 1) (GEQ s24 1) (GEQ s48 1) (GEQ s60 1)) (AND (GEQ s5 1) (GEQ s24 1) (GEQ s48 1) (GEQ s57 1)) (AND (GEQ s2 1) (GEQ s24 1) (GEQ s48 1) (GEQ s58 1)) (AND (GEQ s7 1) (GEQ s24 1) (GEQ s48 1) (GEQ s59 1)) (AND (GEQ s19 1) (GEQ s24 1) (GEQ s48 1) (GEQ s56 1)) (AND (GEQ s18 1) (GEQ s24 1) (GEQ s48 1) (GEQ s59 1)) (AND (GEQ s7 1) (GEQ s24 1) (GEQ s48 1) (GEQ s51 1)) (AND (GEQ s17 1) (GEQ s24 1) (GEQ s48 1) (GEQ s54 1)) (AND (GEQ s6 1) (GEQ s24 1) (GEQ s48 1) (GEQ s54 1)) (AND (GEQ s15 1) (GEQ s24 1) (GEQ s48 1) (GEQ s52 1)) (AND (GEQ s18 1) (GEQ s24 1) (GEQ s48 1) (GEQ s51 1)) (AND (GEQ s4 1) (GEQ s24 1) (GEQ s48 1) (GEQ s52 1)) (AND (GEQ s16 1) (GEQ s24 1) (GEQ s48 1) (GEQ s57 1)) (AND (GEQ s15 1) (GEQ s24 1) (GEQ s48 1) (GEQ s60 1)) (AND (GEQ s13 1) (GEQ s24 1) (GEQ s48 1) (GEQ s58 1)) (AND (GEQ s10 1) (GEQ s24 1) (GEQ s48 1) (GEQ s51 1)) (AND (GEQ s11 1) (GEQ s24 1) (GEQ s48 1) (GEQ s56 1)) (AND (GEQ s12 1) (GEQ s24 1) (GEQ s48 1) (GEQ s53 1)) (AND (GEQ s14 1) (GEQ s24 1) (GEQ s48 1) (GEQ s55 1)) (AND (GEQ s18 1) (GEQ s24 1) (GEQ s48 1) (GEQ s60 1)) (AND (GEQ s12 1) (GEQ s24 1) (GEQ s48 1) (GEQ s61 1)) (AND (GEQ s8 1) (GEQ s24 1) (GEQ s48 1) (GEQ s57 1)) (AND (GEQ s9 1) (GEQ s24 1) (GEQ s48 1) (GEQ s54 1)) (AND (GEQ s10 1) (GEQ s24 1) (GEQ s48 1) (GEQ s59 1)) (AND (GEQ s21 1) (GEQ s24 1) (GEQ s48 1) (GEQ s59 1)) (AND (GEQ s21 1) (GEQ s24 1) (GEQ s48 1) (GEQ s53 1)) (AND (GEQ s4 1) (GEQ s24 1) (GEQ s48 1) (GEQ s59 1)) (AND (GEQ s2 1) (GEQ s24 1) (GEQ s48 1) (GEQ s53 1)) (AND (GEQ s3 1) (GEQ s24 1) (GEQ s48 1) (GEQ s56 1)) (AND (GEQ s6 1) (GEQ s24 1) (GEQ s48 1) (GEQ s57 1)) (AND (GEQ s7 1) (GEQ s24 1) (GEQ s48 1) (GEQ s60 1)) (AND (GEQ s19 1) (GEQ s24 1) (GEQ s48 1) (GEQ s55 1)) (AND (GEQ s7 1) (GEQ s24 1) (GEQ s48 1) (GEQ s52 1)) (AND (GEQ s15 1) (GEQ s24 1) (GEQ s48 1) (GEQ s51 1)) (AND (GEQ s17 1) (GEQ s24 1) (GEQ s48 1) (GEQ s57 1)) (AND (GEQ s18 1) (GEQ s24 1) (GEQ s48 1) (GEQ s52 1)) (AND (GEQ s4 1) (GEQ s24 1) (GEQ s48 1) (GEQ s51 1)) (AND (GEQ s5 1) (GEQ s24 1) (GEQ s48 1) (GEQ s54 1)) (AND (GEQ s2 1) (GEQ s24 1) (GEQ s48 1) (GEQ s61 1)) (AND (GEQ s16 1) (GEQ s24 1) (GEQ s48 1) (GEQ s54 1)) (AND (GEQ s15 1) (GEQ s24 1) (GEQ s48 1) (GEQ s59 1)) (AND (GEQ s11 1) (GEQ s24 1) (GEQ s48 1) (GEQ s55 1)) (AND (GEQ s14 1) (GEQ s24 1) (GEQ s48 1) (GEQ s56 1)) (AND (GEQ s13 1) (GEQ s24 1) (GEQ s48 1) (GEQ s61 1)) (AND (GEQ s12 1) (GEQ s24 1) (GEQ s48 1) (GEQ s58 1)) (AND (GEQ s13 1) (GEQ s24 1) (GEQ s48 1) (GEQ s53 1)) (AND (GEQ s18 1) (GEQ s24 1) (GEQ s48 1) (GEQ s61 1)) (AND (GEQ s8 1) (GEQ s24 1) (GEQ s48 1) (GEQ s54 1)) (AND (GEQ s9 1) (GEQ s24 1) (GEQ s48 1) (GEQ s57 1)) (AND (GEQ s10 1) (GEQ s24 1) (GEQ s48 1) (GEQ s60 1)) (AND (GEQ s20 1) (GEQ s24 1) (GEQ s48 1) (GEQ s59 1)) (AND (GEQ s10 1) (GEQ s24 1) (GEQ s48 1) (GEQ s52 1)) (AND (GEQ s2 1) (GEQ s24 1) (GEQ s48 1) (GEQ s52 1)) (AND (GEQ s20 1) (GEQ s24 1) (GEQ s48 1) (GEQ s51 1)) (AND (GEQ s4 1) (GEQ s24 1) (GEQ s48 1) (GEQ s58 1)) (AND (GEQ s3 1) (GEQ s24 1) (GEQ s48 1) (GEQ s57 1)) (AND (GEQ s21 1) (GEQ s24 1) (GEQ s48 1) (GEQ s52 1)) (AND (GEQ s19 1) (GEQ s24 1) (GEQ s48 1) (GEQ s54 1)) (AND (GEQ s7 1) (GEQ s24 1) (GEQ s48 1) (GEQ s61 1)) (AND (GEQ s6 1) (GEQ s24 1) (GEQ s48 1) (GEQ s56 1)) (AND (GEQ s7 1) (GEQ s24 1) (GEQ s48 1) (GEQ s53 1)) (AND (GEQ s17 1) (GEQ s24 1) (GEQ s48 1) (GEQ s56 1)) (AND (GEQ s18 1) (GEQ s24 1) (GEQ s48 1) (GEQ s53 1)) (AND (GEQ s2 1) (GEQ s24 1) (GEQ s48 1) (GEQ s60 1)) (AND (GEQ s15 1) (GEQ s24 1) (GEQ s48 1) (GEQ s58 1)) (AND (GEQ s5 1) (GEQ s24 1) (GEQ s48 1) (GEQ s55 1)) (AND (GEQ s16 1) (GEQ s24 1) (GEQ s48 1) (GEQ s55 1)) (AND (GEQ s13 1) (GEQ s24 1) (GEQ s48 1) (GEQ s60 1)) (AND (GEQ s11 1) (GEQ s24 1) (GEQ s48 1) (GEQ s54 1)) (AND (GEQ s14 1) (GEQ s24 1) (GEQ s48 1) (GEQ s57 1)) (AND (GEQ s12 1) (GEQ s24 1) (GEQ s48 1) (GEQ s51 1)) (AND (GEQ s13 1) (GEQ s24 1) (GEQ s48 1) (GEQ s52 1)) (AND (GEQ s12 1) (GEQ s24 1) (GEQ s48 1) (GEQ s59 1)) (AND (GEQ s8 1) (GEQ s24 1) (GEQ s48 1) (GEQ s55 1)) (AND (GEQ s9 1) (GEQ s24 1) (GEQ s48 1) (GEQ s56 1)) (AND (GEQ s10 1) (GEQ s24 1) (GEQ s48 1) (GEQ s61 1)) (AND (GEQ s21 1) (GEQ s24 1) (GEQ s48 1) (GEQ s61 1)) (AND (GEQ s10 1) (GEQ s24 1) (GEQ s48 1) (GEQ s53 1)) (AND (GEQ s20 1) (GEQ s24 1) (GEQ s48 1) (GEQ s60 1)) (AND (GEQ s21 1) (GEQ s24 1) (GEQ s48 1) (GEQ s55 1)) (AND (GEQ s2 1) (GEQ s24 1) (GEQ s48 1) (GEQ s55 1)) (AND (GEQ s3 1) (GEQ s24 1) (GEQ s48 1) (GEQ s58 1)) (AND (GEQ s20 1) (GEQ s24 1) (GEQ s48 1) (GEQ s56 1)) (AND (GEQ s5 1) (GEQ s24 1) (GEQ s48 1) (GEQ s60 1)) (AND (GEQ s19 1) (GEQ s24 1) (GEQ s48 1) (GEQ s53 1)) (AND (GEQ s6 1) (GEQ s24 1) (GEQ s48 1) (GEQ s59 1)) (AND (GEQ s16 1) (GEQ s24 1) (GEQ s48 1) (GEQ s52 1)) (AND (GEQ s6 1) (GEQ s24 1) (GEQ s48 1) (GEQ s51 1)) (AND (GEQ s7 1) (GEQ s24 1) (GEQ s48 1) (GEQ s54 1)) (AND (GEQ s18 1) (GEQ s24 1) (GEQ s48 1) (GEQ s54 1)) (AND (GEQ s17 1) (GEQ s24 1) (GEQ s48 1) (GEQ s59 1)) (AND (GEQ s15 1) (GEQ s24 1) (GEQ s48 1) (GEQ s57 1)) (AND (GEQ s16 1) (GEQ s24 1) (GEQ s48 1) (GEQ s60 1)) (AND (GEQ s17 1) (GEQ s24 1) (GEQ s48 1) (GEQ s51 1)) (AND (GEQ s5 1) (GEQ s24 1) (GEQ s48 1) (GEQ s52 1)) (AND (GEQ s4 1) (GEQ s24 1) (GEQ s48 1) (GEQ s57 1)) (AND (GEQ s11 1) (GEQ s24 1) (GEQ s48 1) (GEQ s53 1)) (AND (GEQ s12 1) (GEQ s24 1) (GEQ s48 1) (GEQ s56 1)) (AND (GEQ s14 1) (GEQ s24 1) (GEQ s48 1) (GEQ s58 1)) (AND (GEQ s9 1) (GEQ s24 1) (GEQ s48 1) (GEQ s51 1)) (AND (GEQ s11 1) (GEQ s24 1) (GEQ s48 1) (GEQ s61 1)) (AND (GEQ s8 1) (GEQ s24 1) (GEQ s48 1) (GEQ s52 1)) (AND (GEQ s13 1) (GEQ s24 1) (GEQ s48 1) (GEQ s55 1)) (AND (GEQ s9 1) (GEQ s24 1) (GEQ s48 1) (GEQ s59 1)) (AND (GEQ s8 1) (GEQ s24 1) (GEQ s48 1) (GEQ s60 1)) (AND (GEQ s10 1) (GEQ s24 1) (GEQ s48 1) (GEQ s54 1)) (AND (GEQ s21 1) (GEQ s24 1) (GEQ s48 1) (GEQ s54 1)) (AND (GEQ s5 1) (GEQ s24 1) (GEQ s48 1) (GEQ s61 1)) (AND (GEQ s2 1) (GEQ s24 1) (GEQ s48 1) (GEQ s54 1)) (AND (GEQ s3 1) (GEQ s24 1) (GEQ s48 1) (GEQ s51 1)) (AND (GEQ s20 1) (GEQ s24 1) (GEQ s48 1) (GEQ s57 1)) (AND (GEQ s6 1) (GEQ s24 1) (GEQ s48 1) (GEQ s58 1)) (AND (GEQ s19 1) (GEQ s24 1) (GEQ s48 1) (GEQ s52 1)) (AND (GEQ s7 1) (GEQ s24 1) (GEQ s48 1) (GEQ s55 1)) (AND (GEQ s16 1) (GEQ s24 1) (GEQ s48 1) (GEQ s53 1)) (AND (GEQ s18 1) (GEQ s24 1) (GEQ s48 1) (GEQ s55 1)) (AND (GEQ s17 1) (GEQ s24 1) (GEQ s48 1) (GEQ s58 1)) (AND (GEQ s5 1) (GEQ s24 1) (GEQ s48 1) (GEQ s53 1)) (AND (GEQ s16 1) (GEQ s24 1) (GEQ s48 1) (GEQ s61 1)) (AND (GEQ s15 1) (GEQ s24 1) (GEQ s48 1) (GEQ s56 1)) (AND (GEQ s3 1) (GEQ s24 1) (GEQ s48 1) (GEQ s59 1)) (AND (GEQ s4 1) (GEQ s24 1) (GEQ s48 1) (GEQ s56 1)) (AND (GEQ s11 1) (GEQ s24 1) (GEQ s48 1) (GEQ s52 1)) (AND (GEQ s12 1) (GEQ s24 1) (GEQ s48 1) (GEQ s57 1)) (AND (GEQ s14 1) (GEQ s24 1) (GEQ s48 1) (GEQ s59 1)) (AND (GEQ s11 1) (GEQ s24 1) (GEQ s48 1) (GEQ s60 1)) (AND (GEQ s8 1) (GEQ s24 1) (GEQ s48 1) (GEQ s53 1)) (AND (GEQ s13 1) (GEQ s24 1) (GEQ s48 1) (GEQ s54 1)) (AND (GEQ s14 1) (GEQ s24 1) (GEQ s48 1) (GEQ s51 1)) (AND (GEQ s19 1) (GEQ s24 1) (GEQ s48 1) (GEQ s61 1)) (AND (GEQ s9 1) (GEQ s24 1) (GEQ s48 1) (GEQ s58 1)) (AND (GEQ s8 1) (GEQ s24 1) (GEQ s48 1) (GEQ s61 1)) (AND (GEQ s10 1) (GEQ s24 1) (GEQ s48 1) (GEQ s55 1)) (AND (GEQ s20 1) (GEQ s24 1) (GEQ s48 1) (GEQ s58 1))), p2:(AND (OR (LT s20 1) (LT s24 1) (LT s48 1) (LT s54 1)) (OR (LT s5 1) (LT s24 1) (LT s48 1) (LT s58 1)) (OR (LT s3 1) (LT s24 1) (LT s48 1) (LT s52 1)) (OR (LT s2 1) (LT s24 1) (LT s48 1) (LT s57 1)) (OR (LT s19 1) (LT s24 1) (LT s48 1) (LT s51 1)) (OR (LT s7 1) (LT s24 1) (LT s48 1) (LT s56 1)) (OR (LT s6 1) (LT s24 1) (LT s48 1) (LT s61 1)) (OR (LT s17 1) (LT s24 1) (LT s48 1) (LT s53 1)) (OR (LT s18 1) (LT s24 1) (LT s48 1) (LT s56 1)) (OR (LT s6 1) (LT s24 1) (LT s48 1) (LT s53 1)) (OR (LT s15 1) (LT s24 1) (LT s48 1) (LT s55 1)) (OR (LT s16 1) (LT s24 1) (LT s48 1) (LT s58 1)) (OR (LT s4 1) (LT s24 1) (LT s48 1) (LT s55 1)) (OR (LT s3 1) (LT s24 1) (LT s48 1) (LT s60 1)) (OR (LT s11 1) (LT s24 1) (LT s48 1) (LT s51 1)) (OR (LT s12 1) (LT s24 1) (LT s48 1) (LT s54 1)) (OR (LT s13 1) (LT s24 1) (LT s48 1) (LT s57 1)) (OR (LT s14 1) (LT s24 1) (LT s48 1) (LT s60 1)) (OR (LT s11 1) (LT s24 1) (LT s48 1) (LT s59 1)) (OR (LT s14 1) (LT s24 1) (LT s48 1) (LT s52 1)) (OR (LT s19 1) (LT s24 1) (LT s48 1) (LT s60 1)) (OR (LT s9 1) (LT s24 1) (LT s48 1) (LT s53 1)) (OR (LT s8 1) (LT s24 1) (LT s48 1) (LT s58 1)) (OR (LT s21 1) (LT s24 1) (LT s48 1) (LT s58 1)) (OR (LT s10 1) (LT s24 1) (LT s48 1) (LT s56 1)) (OR (LT s9 1) (LT s24 1) (LT s48 1) (LT s61 1)) (OR (LT s21 1) (LT s24 1) (LT s48 1) (LT s56 1)) (OR (LT s2 1) (LT s24 1) (LT s48 1) (LT s56 1)) (OR (LT s20 1) (LT s24 1) (LT s48 1) (LT s55 1)) (OR (LT s3 1) (LT s24 1) (LT s48 1) (LT s53 1)) (OR (LT s5 1) (LT s24 1) (LT s48 1) (LT s59 1)) (OR (LT s7 1) (LT s24 1) (LT s48 1) (LT s57 1)) (OR (LT s19 1) (LT s24 1) (LT s48 1) (LT s58 1)) (OR (LT s6 1) (LT s24 1) (LT s48 1) (LT s60 1)) (OR (LT s18 1) (LT s24 1) (LT s48 1) (LT s57 1)) (OR (LT s16 1) (LT s24 1) (LT s48 1) (LT s51 1)) (OR (LT s17 1) (LT s24 1) (LT s48 1) (LT s60 1)) (OR (LT s15 1) (LT s24 1) (LT s48 1) (LT s54 1)) (OR (LT s6 1) (LT s24 1) (LT s48 1) (LT s52 1)) (OR (LT s16 1) (LT s24 1) (LT s48 1) (LT s59 1)) (OR (LT s17 1) (LT s24 1) (LT s48 1) (LT s52 1)) (OR (LT s4 1) (LT s24 1) (LT s48 1) (LT s54 1)) (OR (LT s3 1) (LT s24 1) (LT s48 1) (LT s61 1)) (OR (LT s5 1) (LT s24 1) (LT s48 1) (LT s51 1)) (OR (LT s14 1) (LT s24 1) (LT s48 1) (LT s61 1)) (OR (LT s12 1) (LT s24 1) (LT s48 1) (LT s55 1)) (OR (LT s11 1) (LT s24 1) (LT s48 1) (LT s58 1)) (OR (LT s9 1) (LT s24 1) (LT s48 1) (LT s52 1)) (OR (LT s14 1) (LT s24 1) (LT s48 1) (LT s53 1)) (OR (LT s17 1) (LT s24 1) (LT s48 1) (LT s61 1)) (OR (LT s8 1) (LT s24 1) (LT s48 1) (LT s51 1)) (OR (LT s13 1) (LT s24 1) (LT s48 1) (LT s56 1)) (OR (LT s9 1) (LT s24 1) (LT s48 1) (LT s60 1)) (OR (LT s19 1) (LT s24 1) (LT s48 1) (LT s59 1)) (OR (LT s8 1) (LT s24 1) (LT s48 1) (LT s59 1)) (OR (LT s21 1) (LT s24 1) (LT s48 1) (LT s57 1)) (OR (LT s10 1) (LT s24 1) (LT s48 1) (LT s57 1)) (OR (LT s20 1) (LT s24 1) (LT s48 1) (LT s52 1)) (OR (LT s4 1) (LT s24 1) (LT s48 1) (LT s61 1)) (OR (LT s21 1) (LT s24 1) (LT s48 1) (LT s51 1)) (OR (LT s3 1) (LT s24 1) (LT s48 1) (LT s54 1)) (OR (LT s2 1) (LT s24 1) (LT s48 1) (LT s59 1)) (OR (LT s19 1) (LT s24 1) (LT s48 1) (LT s57 1)) (OR (LT s7 1) (LT s24 1) (LT s48 1) (LT s58 1)) (OR (LT s2 1) (LT s24 1) (LT s48 1) (LT s51 1)) (OR (LT s17 1) (LT s24 1) (LT s48 1) (LT s55 1)) (OR (LT s18 1) (LT s24 1) (LT s48 1) (LT s58 1)) (OR (LT s15 1) (LT s24 1) (LT s48 1) (LT s53 1)) (OR (LT s6 1) (LT s24 1) (LT s48 1) (LT s55 1)) (OR (LT s4 1) (LT s24 1) (LT s48 1) (LT s53 1)) (OR (LT s5 1) (LT s24 1) (LT s48 1) (LT s56 1)) (OR (LT s16 1) (LT s24 1) (LT s48 1) (LT s56 1)) (OR (LT s15 1) (LT s24 1) (LT s48 1) (LT s61 1)) (OR (LT s13 1) (LT s24 1) (LT s48 1) (LT s59 1)) (OR (LT s12 1) (LT s24 1) (LT s48 1) (LT s52 1)) (OR (LT s11 1) (LT s24 1) (LT s48 1) (LT s57 1)) (OR (LT s13 1) (LT s24 1) (LT s48 1) (LT s51 1)) (OR (LT s14 1) (LT s24 1) (LT s48 1) (LT s54 1)) (OR (LT s12 1) (LT s24 1) (LT s48 1) (LT s60 1)) (OR (LT s8 1) (LT s24 1) (LT s48 1) (LT s56 1)) (OR (LT s9 1) (LT s24 1) (LT s48 1) (LT s55 1)) (OR (LT s20 1) (LT s24 1) (LT s48 1) (LT s61 1)) (OR (LT s10 1) (LT s24 1) (LT s48 1) (LT s58 1)) (OR (LT s21 1) (LT s24 1) (LT s48 1) (LT s60 1)) (OR (LT s3 1) (LT s24 1) (LT s48 1) (LT s55 1)) (OR (LT s20 1) (LT s24 1) (LT s48 1) (LT s53 1)) (OR (LT s4 1) (LT s24 1) (LT s48 1) (LT s60 1)) (OR (LT s5 1) (LT s24 1) (LT s48 1) (LT s57 1)) (OR (LT s2 1) (LT s24 1) (LT s48 1) (LT s58 1)) (OR (LT s7 1) (LT s24 1) (LT s48 1) (LT s59 1)) (OR (LT s19 1) (LT s24 1) (LT s48 1) (LT s56 1)) (OR (LT s18 1) (LT s24 1) (LT s48 1) (LT s59 1)) (OR (LT s7 1) (LT s24 1) (LT s48 1) (LT s51 1)) (OR (LT s17 1) (LT s24 1) (LT s48 1) (LT s54 1)) (OR (LT s6 1) (LT s24 1) (LT s48 1) (LT s54 1)) (OR (LT s15 1) (LT s24 1) (LT s48 1) (LT s52 1)) (OR (LT s18 1) (LT s24 1) (LT s48 1) (LT s51 1)) (OR (LT s4 1) (LT s24 1) (LT s48 1) (LT s52 1)) (OR (LT s16 1) (LT s24 1) (LT s48 1) (LT s57 1)) (OR (LT s15 1) (LT s24 1) (LT s48 1) (LT s60 1)) (OR (LT s13 1) (LT s24 1) (LT s48 1) (LT s58 1)) (OR (LT s10 1) (LT s24 1) (LT s48 1) (LT s51 1)) (OR (LT s11 1) (LT s24 1) (LT s48 1) (LT s56 1)) (OR (LT s12 1) (LT s24 1) (LT s48 1) (LT s53 1)) (OR (LT s14 1) (LT s24 1) (LT s48 1) (LT s55 1)) (OR (LT s18 1) (LT s24 1) (LT s48 1) (LT s60 1)) (OR (LT s12 1) (LT s24 1) (LT s48 1) (LT s61 1)) (OR (LT s8 1) (LT s24 1) (LT s48 1) (LT s57 1)) (OR (LT s9 1) (LT s24 1) (LT s48 1) (LT s54 1)) (OR (LT s10 1) (LT s24 1) (LT s48 1) (LT s59 1)) (OR (LT s21 1) (LT s24 1) (LT s48 1) (LT s59 1)) (OR (LT s21 1) (LT s24 1) (LT s48 1) (LT s53 1)) (OR (LT s4 1) (LT s24 1) (LT s48 1) (LT s59 1)) (OR (LT s2 1) (LT s24 1) (LT s48 1) (LT s53 1)) (OR (LT s3 1) (LT s24 1) (LT s48 1) (LT s56 1)) (OR (LT s6 1) (LT s24 1) (LT s48 1) (LT s57 1)) (OR (LT s7 1) (LT s24 1) (LT s48 1) (LT s60 1)) (OR (LT s19 1) (LT s24 1) (LT s48 1) (LT s55 1)) (OR (LT s7 1) (LT s24 1) (LT s48 1) (LT s52 1)) (OR (LT s15 1) (LT s24 1) (LT s48 1) (LT s51 1)) (OR (LT s17 1) (LT s24 1) (LT s48 1) (LT s57 1)) (OR (LT s18 1) (LT s24 1) (LT s48 1) (LT s52 1)) (OR (LT s4 1) (LT s24 1) (LT s48 1) (LT s51 1)) (OR (LT s5 1) (LT s24 1) (LT s48 1) (LT s54 1)) (OR (LT s2 1) (LT s24 1) (LT s48 1) (LT s61 1)) (OR (LT s16 1) (LT s24 1) (LT s48 1) (LT s54 1)) (OR (LT s15 1) (LT s24 1) (LT s48 1) (LT s59 1)) (OR (LT s11 1) (LT s24 1) (LT s48 1) (LT s55 1)) (OR (LT s14 1) (LT s24 1) (LT s48 1) (LT s56 1)) (OR (LT s13 1) (LT s24 1) (LT s48 1) (LT s61 1)) (OR (LT s12 1) (LT s24 1) (LT s48 1) (LT s58 1)) (OR (LT s13 1) (LT s24 1) (LT s48 1) (LT s53 1)) (OR (LT s18 1) (LT s24 1) (LT s48 1) (LT s61 1)) (OR (LT s8 1) (LT s24 1) (LT s48 1) (LT s54 1)) (OR (LT s9 1) (LT s24 1) (LT s48 1) (LT s57 1)) (OR (LT s10 1) (LT s24 1) (LT s48 1) (LT s60 1)) (OR (LT s20 1) (LT s24 1) (LT s48 1) (LT s59 1)) (OR (LT s10 1) (LT s24 1) (LT s48 1) (LT s52 1)) (OR (LT s2 1) (LT s24 1) (LT s48 1) (LT s52 1)) (OR (LT s20 1) (LT s24 1) (LT s48 1) (LT s51 1)) (OR (LT s4 1) (LT s24 1) (LT s48 1) (LT s58 1)) (OR (LT s3 1) (LT s24 1) (LT s48 1) (LT s57 1)) (OR (LT s21 1) (LT s24 1) (LT s48 1) (LT s52 1)) (OR (LT s19 1) (LT s24 1) (LT s48 1) (LT s54 1)) (OR (LT s7 1) (LT s24 1) (LT s48 1) (LT s61 1)) (OR (LT s6 1) (LT s24 1) (LT s48 1) (LT s56 1)) (OR (LT s7 1) (LT s24 1) (LT s48 1) (LT s53 1)) (OR (LT s17 1) (LT s24 1) (LT s48 1) (LT s56 1)) (OR (LT s18 1) (LT s24 1) (LT s48 1) (LT s53 1)) (OR (LT s2 1) (LT s24 1) (LT s48 1) (LT s60 1)) (OR (LT s15 1) (LT s24 1) (LT s48 1) (LT s58 1)) (OR (LT s5 1) (LT s24 1) (LT s48 1) (LT s55 1)) (OR (LT s16 1) (LT s24 1) (LT s48 1) (LT s55 1)) (OR (LT s13 1) (LT s24 1) (LT s48 1) (LT s60 1)) (OR (LT s11 1) (LT s24 1) (LT s48 1) (LT s54 1)) (OR (LT s14 1) (LT s24 1) (LT s48 1) (LT s57 1)) (OR (LT s12 1) (LT s24 1) (LT s48 1) (LT s51 1)) (OR (LT s13 1) (LT s24 1) (LT s48 1) (LT s52 1)) (OR (LT s12 1) (LT s24 1) (LT s48 1) (LT s59 1)) (OR (LT s8 1) (LT s24 1) (LT s48 1) (LT s55 1)) (OR (LT s9 1) (LT s24 1) (LT s48 1) (LT s56 1)) (OR (LT s10 1) (LT s24 1) (LT s48 1) (LT s61 1)) (OR (LT s21 1) (LT s24 1) (LT s48 1) (LT s61 1)) (OR (LT s10 1) (LT s24 1) (LT s48 1) (LT s53 1)) (OR (LT s20 1) (LT s24 1) (LT s48 1) (LT s60 1)) (OR (LT s21 1) (LT s24 1) (LT s48 1) (LT s55 1)) (OR (LT s2 1) (LT s24 1) (LT s48 1) (LT s55 1)) (OR (LT s3 1) (LT s24 1) (LT s48 1) (LT s58 1)) (OR (LT s20 1) (LT s24 1) (LT s48 1) (LT s56 1)) (OR (LT s5 1) (LT s24 1) (LT s48 1) (LT s60 1)) (OR (LT s19 1) (LT s24 1) (LT s48 1) (LT s53 1)) (OR (LT s6 1) (LT s24 1) (LT s48 1) (LT s59 1)) (OR (LT s16 1) (LT s24 1) (LT s48 1) (LT s52 1)) (OR (LT s6 1) (LT s24 1) (LT s48 1) (LT s51 1)) (OR (LT s7 1) (LT s24 1) (LT s48 1) (LT s54 1)) (OR (LT s18 1) (LT s24 1) (LT s48 1) (LT s54 1)) (OR (LT s17 1) (LT s24 1) (LT s48 1) (LT s59 1)) (OR (LT s15 1) (LT s24 1) (LT s48 1) (LT s57 1)) (OR (LT s16 1) (LT s24 1) (LT s48 1) (LT s60 1)) (OR (LT s17 1) (LT s24 1) (LT s48 1) (LT s51 1)) (OR (LT s5 1) (LT s24 1) (LT s48 1) (LT s52 1)) (OR (LT s4 1) (LT s24 1) (LT s48 1) (LT s57 1)) (OR (LT s11 1) (LT s24 1) (LT s48 1) (LT s53 1)) (OR (LT s12 1) (LT s24 1) (LT s48 1) (LT s56 1)) (OR (LT s14 1) (LT s24 1) (LT s48 1) (LT s58 1)) (OR (LT s9 1) (LT s24 1) (LT s48 1) (LT s51 1)) (OR (LT s11 1) (LT s24 1) (LT s48 1) (LT s61 1)) (OR (LT s8 1) (LT s24 1) (LT s48 1) (LT s52 1)) (OR (LT s13 1) (LT s24 1) (LT s48 1) (LT s55 1)) (OR (LT s9 1) (LT s24 1) (LT s48 1) (LT s59 1)) (OR (LT s8 1) (LT s24 1) (LT s48 1) (LT s60 1)) (OR (LT s10 1) (LT s24 1) (LT s48 1) (LT s54 1)) (OR (LT s21 1) (LT s24 1) (LT s48 1) (LT s54 1)) (OR (LT s5 1) (LT s24 1) (LT s48 1) (LT s61 1)) (OR (LT s2 1) (LT s24 1) (LT s48 1) (LT s54 1)) (OR (LT s3 1) (LT s24 1) (LT s48 1) (LT s51 1)) (OR (LT s20 1) (LT s24 1) (LT s48 1) (LT s57 1)) (OR (LT s6 1) (LT s24 1) (LT s48 1) (LT s58 1)) (OR (LT s19 1) (LT s24 1) (LT s48 1) (LT s52 1)) (OR (LT s7 1) (LT s24 1) (LT s48 1) (LT s55 1)) (OR (LT s16 1) (LT s24 1) (LT s48 1) (LT s53 1)) (OR (LT s18 1) (LT s24 1) (LT s48 1) (LT s55 1)) (OR (LT s17 1) (LT s24 1) (LT s48 1) (LT s58 1)) (OR (LT s5 1) (LT s24 1) (LT s48 1) (LT s53 1)) (OR (LT s16 1) (LT s24 1) (LT s48 1) (LT s61 1)) (OR (LT s15 1) (LT s24 1) (LT s48 1) (LT s56 1)) (OR (LT s3 1) (LT s24 1) (LT s48 1) (LT s59 1)) (OR (LT s4 1) (LT s24 1) (LT s48 1) (LT s56 1)) (OR (LT s11 1) (LT s24 1) (LT s48 1) (LT s52 1)) (OR (LT s12 1) (LT s24 1) (LT s48 1) (LT s57 1)) (OR (LT s14 1) (LT s24 1) (LT s48 1) (LT s59 1)) (OR (LT s11 1) (LT s24 1) (LT s48 1) (LT s60 1)) (OR (LT s8 1) (LT s24 1) (LT s48 1) (LT s53 1)) (OR (LT s13 1) (LT s24 1) (LT s48 1) (LT s54 1)) (OR (LT s14 1) (LT s24 1) (LT s48 1) (LT s51 1)) (OR (LT s19 1) (LT s24 1) (LT s48 1) (LT s61 1)) (OR (LT s9 1) (LT s24 1) (LT s48 1) (LT s58 1)) (OR (LT s8 1) (LT s24 1) (LT s48 1) (LT s61 1)) (OR (LT s10 1) (LT s24 1) (LT s48 1) (LT s55 1)) (OR (LT s20 1) (LT s24 1) (LT s48 1) (LT s58 1)))], nbAcceptance=1, properties=[trans-labels, explicit-labels, trans-acc, deterministic, no-univ-branch, unambiguous, semi-deterministic], stateDesc=[null, null, null, null][false, false, false, false]]
Product exploration explored 100000 steps with 585 reset in 1486 ms.
Product exploration explored 100000 steps with 585 reset in 1516 ms.
Knowledge obtained : [p0, (NOT p1), p2]
Stuttering acceptance computed with spot in 160 ms :[(OR (NOT p0) (AND (NOT p1) (NOT p2))), (OR (NOT p0) (AND (NOT p1) (NOT p2))), true, (NOT p2)]
Product exploration explored 100000 steps with 585 reset in 1582 ms.
Product exploration explored 100000 steps with 586 reset in 1508 ms.
Applying partial POR strategy [false, true, true, true]
Stuttering acceptance computed with spot in 160 ms :[(OR (NOT p0) (AND (NOT p1) (NOT p2))), (OR (NOT p0) (AND (NOT p1) (NOT p2))), true, (NOT p2)]
Support contains 35 out of 64 places. Attempting structural reductions.
Property had overlarge support with respect to TGBA, discarding it for now.
Starting structural reductions, iteration 0 : 64/64 places, 548/548 transitions.
Applied a total of 0 rules in 17 ms. Remains 64 /64 variables (removed 0) and now considering 548/548 (removed 0) transitions.
[2021-05-08 22:11:41] [INFO ] Flow matrix only has 130 transitions (discarded 418 similar events)
// Phase 1: matrix 130 rows 64 cols
[2021-05-08 22:11:41] [INFO ] Computed 5 place invariants in 4 ms
[2021-05-08 22:11:41] [INFO ] Dead Transitions using invariants and state equation in 232 ms returned []
[2021-05-08 22:11:41] [INFO ] Redundant transitions in 3 ms returned []
[2021-05-08 22:11:41] [INFO ] Flow matrix only has 130 transitions (discarded 418 similar events)
// Phase 1: matrix 130 rows 64 cols
[2021-05-08 22:11:41] [INFO ] Computed 5 place invariants in 1 ms
[2021-05-08 22:11:41] [INFO ] Dead Transitions using invariants and state equation in 174 ms returned []
Finished structural reductions, in 1 iterations. Remains : 64/64 places, 548/548 transitions.
Product exploration explored 100000 steps with 586 reset in 1613 ms.
Product exploration explored 100000 steps with 586 reset in 1512 ms.
[2021-05-08 22:11:44] [INFO ] Flatten gal took : 19 ms
[2021-05-08 22:11:45] [INFO ] Flatten gal took : 20 ms
[2021-05-08 22:11:45] [INFO ] Time to serialize gal into /tmp/LTL14489607738996688825.gal : 4 ms
[2021-05-08 22:11:45] [INFO ] Time to serialize properties into /tmp/LTL3286976854073606605.ltl : 8 ms
Invoking ITS tools like this :CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64, --gc-threshold, 2000000, -i, /tmp/LTL14489607738996688825.gal, -t, CGAL, -LTL, /tmp/LTL3286976854073606605.ltl, -c, -stutter-deadlock, --gen-order, FOLLOW], workingDir=/home/mcc/execution]

its-ltl command run as :

/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64 --gc-threshold 2000000 -i /tmp/LTL14489607738996688825.gal -t CGAL -LTL /tmp/LTL3286976854073606605.ltl -c -stutter-deadlock --gen-order FOLLOW
Read 1 LTL properties
Checking formula 0 : !((X(("((SUR_PONT_B_0<1)&&(SUR_PONT_A_0<1))")&&(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((F(G("(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((NB_ATTENTE_A_19<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1))&&((((NB_ATTENTE_A_4<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_2<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_1<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_18<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_6<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_5<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_16<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_17<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_5<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_14<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_15<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_3<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_2<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_10<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_11<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_12<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_13<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_10<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_13<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_18<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_8<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_7<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_20<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_9<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_8<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_20<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_1<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_19<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_2<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_4<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_6<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_18<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_5<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_17<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_15<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_16<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_14<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_5<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_15<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_16<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_3<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_2<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_4<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_13<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_11<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_10<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_8<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_13<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_16<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_7<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_12<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_8<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_18<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_7<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_20<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_9<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_19<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_3<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_20<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_2<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_1<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_18<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_6<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_1<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_16<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_17<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_14<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_5<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_3<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_4<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_15<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_14<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_12<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_11<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_10<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_12<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_13<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_11<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_7<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_8<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_19<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_9<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_20<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_2<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_19<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_3<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_4<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_1<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_6<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_18<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_17<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_6<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_16<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_5<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_14<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_17<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_3<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_15<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_14<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_12<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_9<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_10<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_11<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_13<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_17<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_11<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_7<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_8<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_9<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_20<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_20<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_3<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_1<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_2<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_5<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_6<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_18<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_6<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_14<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_16<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_17<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_3<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_4<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_1<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_15<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_14<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_10<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_13<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_12<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_11<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_12<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_17<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_7<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_8<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_9<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_19<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_9<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_1<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_19<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_3<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_2<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_20<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_18<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_6<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_5<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_6<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_16<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_17<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_1<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_14<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_4<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_15<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_12<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_10<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_13<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_11<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_12<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_11<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_7<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_8<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_9<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_20<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_9<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_19<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_20<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_1<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_2<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_19<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_4<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_18<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_5<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_15<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_5<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_6<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_17<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_16<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_14<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_15<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_16<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_4<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_3<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_10<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_11<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_13<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_8<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_10<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_7<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_12<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_8<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_7<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_9<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_20<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_4<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_1<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_2<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_19<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_5<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_18<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_6<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_15<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_17<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_16<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_4<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_15<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_14<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_2<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_3<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_10<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_11<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_13<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_10<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_7<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_12<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_13<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_18<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_8<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_7<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_9<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_19<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))")))||("((((NB_ATTENTE_A_19>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_4>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_2>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_1>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_18>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_6>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_5>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_16>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_17>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_5>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_14>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_15>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_3>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_2>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_10>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_11>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_12>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_13>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_10>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_13>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_18>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_8>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_7>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_20>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_9>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_8>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_20>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_1>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_19>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_2>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_4>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_6>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_18>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_5>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_17>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_15>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_16>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_14>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_5>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_15>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_16>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_3>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_2>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_4>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_13>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_11>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_10>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_8>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_13>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_16>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_7>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_12>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_8>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_18>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_7>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_20>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_9>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_19>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_3>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_20>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_2>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_1>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_18>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_6>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_1>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_16>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_17>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_14>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_5>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_3>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_4>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_15>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_14>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_12>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_11>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_10>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_12>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_13>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_11>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_7>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_8>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_19>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_9>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_20>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_2>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_19>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_3>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_4>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_1>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_6>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_18>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_17>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_6>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_16>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_5>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_14>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_17>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_3>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_15>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_14>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_12>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_9>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_10>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_11>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_13>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_17>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_11>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_7>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_8>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_9>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_20>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_20>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_3>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_1>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_2>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_5>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_6>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_18>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_6>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_14>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_16>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_17>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_3>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_4>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_1>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_15>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_14>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_10>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_13>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_12>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_11>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_12>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_17>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_7>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_8>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_9>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_19>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_9>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_1>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_19>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_3>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_2>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_20>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_18>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_6>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_5>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_6>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_16>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_17>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_1>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_14>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_4>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_15>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_12>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_10>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_13>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_11>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_12>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_11>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_7>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_8>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_9>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_20>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_9>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_19>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_20>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_1>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_2>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_19>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_4>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_18>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_5>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_15>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_5>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_6>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_17>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_16>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_14>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_15>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_16>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_4>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_3>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_10>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_11>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_13>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_8>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_10>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_7>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_12>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_8>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_7>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_9>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_20>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_4>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_1>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_2>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_19>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_5>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_18>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_6>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_15>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_17>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_16>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_4>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_15>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_14>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_2>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_3>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1))"))||("((((NB_ATTENTE_A_10>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1))"))||("((((NB_ATTENTE_A_11>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1))"))||("((((NB_ATTENTE_A_13>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1))"))||("((((NB_ATTENTE_A_10>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1))"))||("((((NB_ATTENTE_A_7>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1))"))||("((((NB_ATTENTE_A_12>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1))"))||("((((NB_ATTENTE_A_13>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1))"))||("((((NB_ATTENTE_A_18>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_8>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1))"))||("((((NB_ATTENTE_A_7>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1))"))||("((((NB_ATTENTE_A_9>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1))"))||("((((NB_ATTENTE_A_19>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1))")))))
Formula 0 simplified : !X("((SUR_PONT_B_0<1)&&(SUR_PONT_A_0<1))" & ("((((NB_ATTENTE_A_1>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_1>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_1>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_1>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_1>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_1>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_1>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_1>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_1>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_1>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_1>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_2>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_2>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_2>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_2>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_2>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_2>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_2>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_2>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_2>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_2>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_2>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_3>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_3>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_3>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_3>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_3>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_3>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_3>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_3>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_3>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_3>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_3>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_4>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_4>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_4>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_4>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_4>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_4>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_4>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_4>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_4>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_4>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_4>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_5>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_5>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_5>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_5>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_5>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_5>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_5>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_5>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_5>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_5>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_5>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_6>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_6>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_6>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_6>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_6>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_6>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_6>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_6>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_6>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_6>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_6>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_7>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_7>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_7>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_7>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_7>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_7>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_7>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_7>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_7>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_7>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_7>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_8>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_8>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_8>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_8>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_8>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_8>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_8>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_8>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_8>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_8>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_8>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_9>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_9>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_9>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_9>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_9>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_9>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_9>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_9>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_9>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_9>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_9>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_10>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_10>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_10>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_10>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_10>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_10>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_10>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_10>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_10>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_10>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_10>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_11>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_11>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_11>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_11>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_11>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_11>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_11>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_11>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_11>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_11>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_11>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_12>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_12>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_12>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_12>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_12>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_12>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_12>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_12>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_12>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_12>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_12>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_13>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_13>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_13>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_13>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_13>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_13>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_13>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_13>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_13>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_13>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_13>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_14>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_14>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_14>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_14>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_14>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_14>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_14>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_14>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_14>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_14>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_14>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_15>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_15>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_15>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_15>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_15>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_15>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_15>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_15>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_15>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_15>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_15>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_16>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_16>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_16>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_16>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_16>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_16>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_16>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_16>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_16>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_16>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_16>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_17>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_17>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_17>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_17>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_17>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_17>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_17>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_17>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_17>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_17>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_17>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_18>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_18>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_18>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_18>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_18>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_18>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_18>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_18>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_18>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_18>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_18>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_19>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_19>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_19>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_19>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_19>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_19>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_19>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_19>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_19>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_19>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_19>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1))" | "((((NB_ATTENTE_A_20>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_0>=1))" | "((((NB_ATTENTE_A_20>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_1>=1))" | "((((NB_ATTENTE_A_20>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_2>=1))" | "((((NB_ATTENTE_A_20>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_3>=1))" | "((((NB_ATTENTE_A_20>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_4>=1))" | "((((NB_ATTENTE_A_20>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_5>=1))" | "((((NB_ATTENTE_A_20>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_6>=1))" | "((((NB_ATTENTE_A_20>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_7>=1))" | "((((NB_ATTENTE_A_20>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_8>=1))" | "((((NB_ATTENTE_A_20>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_9>=1))" | "((((NB_ATTENTE_A_20>=1)&&(NB_ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))&&(COMPTEUR_10>=1))" | FG"(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((NB_ATTENTE_A_19<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1))&&((((NB_ATTENTE_A_4<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_2<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_1<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_18<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_6<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_5<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_16<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_17<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_5<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_14<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_15<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_3<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_2<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_10<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_11<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_12<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_13<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_10<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_13<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_18<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_8<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_7<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_20<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_9<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_8<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_20<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_1<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_19<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_2<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_4<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_6<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_18<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_5<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_17<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_15<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_16<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_14<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_5<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_15<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_16<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_3<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_2<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_4<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_13<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_11<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_10<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_8<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_13<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_16<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_7<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_12<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_8<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_18<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_7<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_20<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_9<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_19<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_3<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_20<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_2<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_1<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_18<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_6<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_1<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_16<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_17<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_14<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_5<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_3<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_4<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_15<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_14<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_12<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_11<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_10<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_12<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_13<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_11<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_7<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_8<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_19<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_9<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_20<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_2<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_19<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_3<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_4<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_1<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_6<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_18<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_17<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_6<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_16<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_5<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_14<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_17<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_3<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_15<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_14<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_12<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_9<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_10<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_11<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_13<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_17<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_11<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_7<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_8<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_9<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_20<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_20<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_3<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_1<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_2<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_5<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_6<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_18<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_6<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_14<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_16<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_17<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_3<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_4<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_1<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_15<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_14<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_10<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_13<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_12<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_11<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_12<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_17<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_7<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_8<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_9<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_19<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_9<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_1<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_19<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_3<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_2<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_20<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_18<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_6<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_5<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_6<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_16<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_17<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_1<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_14<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_4<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_15<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_12<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_10<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_13<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_11<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_12<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_11<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_7<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_8<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_9<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_20<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_9<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_19<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_20<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_1<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_2<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_19<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_4<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_18<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_5<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_15<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_5<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_6<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_17<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_16<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_14<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_15<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_16<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_4<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_3<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_10<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_11<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_13<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_8<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_10<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_7<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_12<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_8<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_7<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_9<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_20<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_4<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_1<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_2<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_19<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_5<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_18<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_6<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_15<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_17<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_16<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_4<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_15<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_14<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_2<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_3<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_10<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_11<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_13<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_10<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_7<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_12<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_13<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_18<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_8<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_7<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_9<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_19<1)||(NB_ATTENTE_B_0<1))||(CONTROLEUR_1<1))||(COMPTEUR_7<1)))"))
Detected timeout of ITS tools.
[2021-05-08 22:12:00] [INFO ] Flatten gal took : 45 ms
[2021-05-08 22:12:00] [INFO ] Applying decomposition
[2021-05-08 22:12:00] [INFO ] Flatten gal took : 50 ms
Converted graph to binary with : CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.louvain.binaries_1.0.0.202104292328/bin/convert-linux64, -i, /tmp/graph16337687351349312004.txt, -o, /tmp/graph16337687351349312004.bin, -w, /tmp/graph16337687351349312004.weights], workingDir=null]
Built communities with : CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.louvain.binaries_1.0.0.202104292328/bin/louvain-linux64, /tmp/graph16337687351349312004.bin, -l, -1, -v, -w, /tmp/graph16337687351349312004.weights, -q, 0, -e, 0.001], workingDir=null]
[2021-05-08 22:12:00] [INFO ] Decomposing Gal with order
[2021-05-08 22:12:00] [INFO ] Rewriting arrays to variables to allow decomposition.
[2021-05-08 22:12:00] [INFO ] Removed a total of 1080 redundant transitions.
[2021-05-08 22:12:00] [INFO ] Flatten gal took : 81 ms
[2021-05-08 22:12:00] [INFO ] Fuse similar labels procedure discarded/fused a total of 177 labels/synchronizations in 5 ms.
[2021-05-08 22:12:00] [INFO ] Time to serialize gal into /tmp/LTL17754814810375813194.gal : 1 ms
[2021-05-08 22:12:00] [INFO ] Time to serialize properties into /tmp/LTL13345777155446311892.ltl : 7 ms
Invoking ITS tools like this :CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64, --gc-threshold, 2000000, -i, /tmp/LTL17754814810375813194.gal, -t, CGAL, -LTL, /tmp/LTL13345777155446311892.ltl, -c, -stutter-deadlock], workingDir=/home/mcc/execution]

its-ltl command run as :

/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64 --gc-threshold 2000000 -i /tmp/LTL17754814810375813194.gal -t CGAL -LTL /tmp/LTL13345777155446311892.ltl -c -stutter-deadlock
Read 1 LTL properties
Checking formula 0 : !((X(("((u0.SUR_PONT_B_0<1)&&(u0.SUR_PONT_A_0<1))")&&(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((F(G("(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((i2.u3.NB_ATTENTE_A_19<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_3<1))&&((((i2.u3.NB_ATTENTE_A_4<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_2<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_1<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_18<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_6<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_5<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_16<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_17<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_5<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_14<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_15<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_3<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_2<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_10<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_11<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_12<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_13<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_10<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_13<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_18<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_8<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_7<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_20<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_9<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_8<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_20<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_1<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_19<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_2<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_4<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_6<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_18<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_5<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_17<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_15<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_16<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_14<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_5<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_15<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_16<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_3<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_2<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_4<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_13<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_11<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_10<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_8<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_13<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_16<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_7<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_12<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_8<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_18<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_7<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_20<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_9<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_19<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_3<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_20<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_2<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_1<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_18<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_6<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_1<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_16<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_17<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_14<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_5<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_3<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_4<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_15<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_14<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_12<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_11<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_10<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_12<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_13<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_11<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_7<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_8<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_19<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_9<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_20<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_2<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_19<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_3<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_4<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_1<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_6<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_18<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_17<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_6<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_16<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_5<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_14<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_17<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_3<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_15<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_14<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_12<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_9<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_10<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_11<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_13<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_17<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_11<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_7<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_8<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_9<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_20<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_20<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_3<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_1<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_2<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_5<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_6<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_18<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_6<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_14<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_16<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_17<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_3<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_4<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_1<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_15<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_14<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_10<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_13<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_12<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_11<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_12<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_17<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_7<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_8<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_9<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_19<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_9<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_1<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_19<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_3<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_2<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_20<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_18<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_6<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_5<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_6<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_16<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_17<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_1<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_14<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_4<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_15<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_12<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_10<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_13<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_11<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_12<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_11<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_7<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_8<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_9<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_20<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_9<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_19<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_20<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_1<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_2<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_19<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_4<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_18<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_5<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_15<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_5<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_6<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_17<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_16<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_14<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_15<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_16<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_4<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_3<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_10<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_11<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_13<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_8<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_10<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_7<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_12<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_8<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_7<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_9<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_20<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_4<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_1<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_2<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_19<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_5<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_18<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_6<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_15<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_17<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_16<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_4<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_15<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_14<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_2<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_3<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_10<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_11<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_13<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_10<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_7<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_12<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_13<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_18<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_8<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_7<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_9<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_19<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_7<1)))")))||("((((i2.u3.NB_ATTENTE_A_19>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_3>=1))"))||("((((i2.u3.NB_ATTENTE_A_4>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_7>=1))"))||("((((i2.u3.NB_ATTENTE_A_2>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_1>=1))"))||("((((i2.u3.NB_ATTENTE_A_1>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_6>=1))"))||("((((i2.u3.NB_ATTENTE_A_18>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_0>=1))"))||("((((i2.u3.NB_ATTENTE_A_6>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_5>=1))"))||("((((i2.u3.NB_ATTENTE_A_5>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_10>=1))"))||("((((i2.u3.NB_ATTENTE_A_16>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_2>=1))"))||("((((i2.u3.NB_ATTENTE_A_17>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_5>=1))"))||("((((i2.u3.NB_ATTENTE_A_5>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_2>=1))"))||("((((i2.u3.NB_ATTENTE_A_14>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_4>=1))"))||("((((i2.u3.NB_ATTENTE_A_15>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_7>=1))"))||("((((i2.u3.NB_ATTENTE_A_3>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_4>=1))"))||("((((i2.u3.NB_ATTENTE_A_2>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_9>=1))"))||("((((i2.u3.NB_ATTENTE_A_10>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_0>=1))"))||("((((i2.u3.NB_ATTENTE_A_11>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_3>=1))"))||("((((i2.u3.NB_ATTENTE_A_12>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_6>=1))"))||("((((i2.u3.NB_ATTENTE_A_13>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_9>=1))"))||("((((i2.u3.NB_ATTENTE_A_10>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_8>=1))"))||("((((i2.u3.NB_ATTENTE_A_13>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_1>=1))"))||("((((i2.u3.NB_ATTENTE_A_18>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_9>=1))"))||("((((i2.u3.NB_ATTENTE_A_8>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_2>=1))"))||("((((i2.u3.NB_ATTENTE_A_7>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_7>=1))"))||("((((i2.u3.NB_ATTENTE_A_20>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_7>=1))"))||("((((i2.u3.NB_ATTENTE_A_9>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_5>=1))"))||("((((i2.u3.NB_ATTENTE_A_8>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_10>=1))"))||("((((i2.u3.NB_ATTENTE_A_20>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_5>=1))"))||("((((i2.u3.NB_ATTENTE_A_1>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_5>=1))"))||("((((i2.u3.NB_ATTENTE_A_19>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_4>=1))"))||("((((i2.u3.NB_ATTENTE_A_2>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_2>=1))"))||("((((i2.u3.NB_ATTENTE_A_4>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_8>=1))"))||("((((i2.u3.NB_ATTENTE_A_6>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_6>=1))"))||("((((i2.u3.NB_ATTENTE_A_18>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_7>=1))"))||("((((i2.u3.NB_ATTENTE_A_5>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_9>=1))"))||("((((i2.u3.NB_ATTENTE_A_17>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_6>=1))"))||("((((i2.u3.NB_ATTENTE_A_15>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_0>=1))"))||("((((i2.u3.NB_ATTENTE_A_16>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_9>=1))"))||("((((i2.u3.NB_ATTENTE_A_14>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_3>=1))"))||("((((i2.u3.NB_ATTENTE_A_5>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_1>=1))"))||("((((i2.u3.NB_ATTENTE_A_15>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_8>=1))"))||("((((i2.u3.NB_ATTENTE_A_16>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_1>=1))"))||("((((i2.u3.NB_ATTENTE_A_3>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_3>=1))"))||("((((i2.u3.NB_ATTENTE_A_2>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_10>=1))"))||("((((i2.u3.NB_ATTENTE_A_4>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_0>=1))"))||("((((i2.u3.NB_ATTENTE_A_13>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_10>=1))"))||("((((i2.u3.NB_ATTENTE_A_11>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_4>=1))"))||("((((i2.u3.NB_ATTENTE_A_10>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_7>=1))"))||("((((i2.u3.NB_ATTENTE_A_8>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_1>=1))"))||("((((i2.u3.NB_ATTENTE_A_13>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_2>=1))"))||("((((i2.u3.NB_ATTENTE_A_16>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_10>=1))"))||("((((i2.u3.NB_ATTENTE_A_7>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_0>=1))"))||("((((i2.u3.NB_ATTENTE_A_12>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_5>=1))"))||("((((i2.u3.NB_ATTENTE_A_8>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_9>=1))"))||("((((i2.u3.NB_ATTENTE_A_18>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_8>=1))"))||("((((i2.u3.NB_ATTENTE_A_7>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_8>=1))"))||("((((i2.u3.NB_ATTENTE_A_20>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_6>=1))"))||("((((i2.u3.NB_ATTENTE_A_9>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_6>=1))"))||("((((i2.u3.NB_ATTENTE_A_19>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_1>=1))"))||("((((i2.u3.NB_ATTENTE_A_3>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_10>=1))"))||("((((i2.u3.NB_ATTENTE_A_20>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_0>=1))"))||("((((i2.u3.NB_ATTENTE_A_2>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_3>=1))"))||("((((i2.u3.NB_ATTENTE_A_1>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_8>=1))"))||("((((i2.u3.NB_ATTENTE_A_18>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_6>=1))"))||("((((i2.u3.NB_ATTENTE_A_6>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_7>=1))"))||("((((i2.u3.NB_ATTENTE_A_1>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_0>=1))"))||("((((i2.u3.NB_ATTENTE_A_16>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_4>=1))"))||("((((i2.u3.NB_ATTENTE_A_17>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_7>=1))"))||("((((i2.u3.NB_ATTENTE_A_14>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_2>=1))"))||("((((i2.u3.NB_ATTENTE_A_5>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_4>=1))"))||("((((i2.u3.NB_ATTENTE_A_3>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_2>=1))"))||("((((i2.u3.NB_ATTENTE_A_4>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_5>=1))"))||("((((i2.u3.NB_ATTENTE_A_15>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_5>=1))"))||("((((i2.u3.NB_ATTENTE_A_14>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_10>=1))"))||("((((i2.u3.NB_ATTENTE_A_12>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_8>=1))"))||("((((i2.u3.NB_ATTENTE_A_11>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_1>=1))"))||("((((i2.u3.NB_ATTENTE_A_10>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_6>=1))"))||("((((i2.u3.NB_ATTENTE_A_12>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_0>=1))"))||("((((i2.u3.NB_ATTENTE_A_13>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_3>=1))"))||("((((i2.u3.NB_ATTENTE_A_11>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_9>=1))"))||("((((i2.u3.NB_ATTENTE_A_7>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_5>=1))"))||("((((i2.u3.NB_ATTENTE_A_8>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_4>=1))"))||("((((i2.u3.NB_ATTENTE_A_19>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_10>=1))"))||("((((i2.u3.NB_ATTENTE_A_9>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_7>=1))"))||("((((i2.u3.NB_ATTENTE_A_20>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_9>=1))"))||("((((i2.u3.NB_ATTENTE_A_2>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_4>=1))"))||("((((i2.u3.NB_ATTENTE_A_19>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_2>=1))"))||("((((i2.u3.NB_ATTENTE_A_3>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_9>=1))"))||("((((i2.u3.NB_ATTENTE_A_4>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_6>=1))"))||("((((i2.u3.NB_ATTENTE_A_1>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_7>=1))"))||("((((i2.u3.NB_ATTENTE_A_6>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_8>=1))"))||("((((i2.u3.NB_ATTENTE_A_18>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_5>=1))"))||("((((i2.u3.NB_ATTENTE_A_17>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_8>=1))"))||("((((i2.u3.NB_ATTENTE_A_6>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_0>=1))"))||("((((i2.u3.NB_ATTENTE_A_16>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_3>=1))"))||("((((i2.u3.NB_ATTENTE_A_5>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_3>=1))"))||("((((i2.u3.NB_ATTENTE_A_14>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_1>=1))"))||("((((i2.u3.NB_ATTENTE_A_17>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_0>=1))"))||("((((i2.u3.NB_ATTENTE_A_3>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_1>=1))"))||("((((i2.u3.NB_ATTENTE_A_15>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_6>=1))"))||("((((i2.u3.NB_ATTENTE_A_14>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_9>=1))"))||("((((i2.u3.NB_ATTENTE_A_12>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_7>=1))"))||("((((i2.u3.NB_ATTENTE_A_9>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_0>=1))"))||("((((i2.u3.NB_ATTENTE_A_10>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_5>=1))"))||("((((i2.u3.NB_ATTENTE_A_11>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_2>=1))"))||("((((i2.u3.NB_ATTENTE_A_13>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_4>=1))"))||("((((i2.u3.NB_ATTENTE_A_17>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_9>=1))"))||("((((i2.u3.NB_ATTENTE_A_11>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_10>=1))"))||("((((i2.u3.NB_ATTENTE_A_7>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_6>=1))"))||("((((i2.u3.NB_ATTENTE_A_8>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_3>=1))"))||("((((i2.u3.NB_ATTENTE_A_9>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_8>=1))"))||("((((i2.u3.NB_ATTENTE_A_20>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_8>=1))"))||("((((i2.u3.NB_ATTENTE_A_20>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_2>=1))"))||("((((i2.u3.NB_ATTENTE_A_3>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_8>=1))"))||("((((i2.u3.NB_ATTENTE_A_1>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_2>=1))"))||("((((i2.u3.NB_ATTENTE_A_2>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_5>=1))"))||("((((i2.u3.NB_ATTENTE_A_5>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_6>=1))"))||("((((i2.u3.NB_ATTENTE_A_6>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_9>=1))"))||("((((i2.u3.NB_ATTENTE_A_18>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_4>=1))"))||("((((i2.u3.NB_ATTENTE_A_6>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_1>=1))"))||("((((i2.u3.NB_ATTENTE_A_14>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_0>=1))"))||("((((i2.u3.NB_ATTENTE_A_16>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_6>=1))"))||("((((i2.u3.NB_ATTENTE_A_17>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_1>=1))"))||("((((i2.u3.NB_ATTENTE_A_3>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_0>=1))"))||("((((i2.u3.NB_ATTENTE_A_4>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_3>=1))"))||("((((i2.u3.NB_ATTENTE_A_1>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_10>=1))"))||("((((i2.u3.NB_ATTENTE_A_15>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_3>=1))"))||("((((i2.u3.NB_ATTENTE_A_14>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_8>=1))"))||("((((i2.u3.NB_ATTENTE_A_10>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_4>=1))"))||("((((i2.u3.NB_ATTENTE_A_13>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_5>=1))"))||("((((i2.u3.NB_ATTENTE_A_12>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_10>=1))"))||("((((i2.u3.NB_ATTENTE_A_11>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_7>=1))"))||("((((i2.u3.NB_ATTENTE_A_12>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_2>=1))"))||("((((i2.u3.NB_ATTENTE_A_17>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_10>=1))"))||("((((i2.u3.NB_ATTENTE_A_7>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_3>=1))"))||("((((i2.u3.NB_ATTENTE_A_8>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_6>=1))"))||("((((i2.u3.NB_ATTENTE_A_9>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_9>=1))"))||("((((i2.u3.NB_ATTENTE_A_19>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_8>=1))"))||("((((i2.u3.NB_ATTENTE_A_9>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_1>=1))"))||("((((i2.u3.NB_ATTENTE_A_1>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_1>=1))"))||("((((i2.u3.NB_ATTENTE_A_19>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_0>=1))"))||("((((i2.u3.NB_ATTENTE_A_3>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_7>=1))"))||("((((i2.u3.NB_ATTENTE_A_2>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_6>=1))"))||("((((i2.u3.NB_ATTENTE_A_20>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_1>=1))"))||("((((i2.u3.NB_ATTENTE_A_18>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_3>=1))"))||("((((i2.u3.NB_ATTENTE_A_6>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_10>=1))"))||("((((i2.u3.NB_ATTENTE_A_5>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_5>=1))"))||("((((i2.u3.NB_ATTENTE_A_6>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_2>=1))"))||("((((i2.u3.NB_ATTENTE_A_16>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_5>=1))"))||("((((i2.u3.NB_ATTENTE_A_17>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_2>=1))"))||("((((i2.u3.NB_ATTENTE_A_1>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_9>=1))"))||("((((i2.u3.NB_ATTENTE_A_14>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_7>=1))"))||("((((i2.u3.NB_ATTENTE_A_4>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_4>=1))"))||("((((i2.u3.NB_ATTENTE_A_15>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_4>=1))"))||("((((i2.u3.NB_ATTENTE_A_12>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_9>=1))"))||("((((i2.u3.NB_ATTENTE_A_10>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_3>=1))"))||("((((i2.u3.NB_ATTENTE_A_13>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_6>=1))"))||("((((i2.u3.NB_ATTENTE_A_11>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_0>=1))"))||("((((i2.u3.NB_ATTENTE_A_12>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_1>=1))"))||("((((i2.u3.NB_ATTENTE_A_11>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_8>=1))"))||("((((i2.u3.NB_ATTENTE_A_7>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_4>=1))"))||("((((i2.u3.NB_ATTENTE_A_8>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_5>=1))"))||("((((i2.u3.NB_ATTENTE_A_9>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_10>=1))"))||("((((i2.u3.NB_ATTENTE_A_20>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_10>=1))"))||("((((i2.u3.NB_ATTENTE_A_9>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_2>=1))"))||("((((i2.u3.NB_ATTENTE_A_19>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_9>=1))"))||("((((i2.u3.NB_ATTENTE_A_20>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_4>=1))"))||("((((i2.u3.NB_ATTENTE_A_1>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_4>=1))"))||("((((i2.u3.NB_ATTENTE_A_2>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_7>=1))"))||("((((i2.u3.NB_ATTENTE_A_19>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_5>=1))"))||("((((i2.u3.NB_ATTENTE_A_4>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_9>=1))"))||("((((i2.u3.NB_ATTENTE_A_18>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_2>=1))"))||("((((i2.u3.NB_ATTENTE_A_5>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_8>=1))"))||("((((i2.u3.NB_ATTENTE_A_15>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_1>=1))"))||("((((i2.u3.NB_ATTENTE_A_5>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_0>=1))"))||("((((i2.u3.NB_ATTENTE_A_6>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_3>=1))"))||("((((i2.u3.NB_ATTENTE_A_17>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_3>=1))"))||("((((i2.u3.NB_ATTENTE_A_16>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_8>=1))"))||("((((i2.u3.NB_ATTENTE_A_14>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_6>=1))"))||("((((i2.u3.NB_ATTENTE_A_15>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_9>=1))"))||("((((i2.u3.NB_ATTENTE_A_16>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_0>=1))"))||("((((i2.u3.NB_ATTENTE_A_4>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_1>=1))"))||("((((i2.u3.NB_ATTENTE_A_3>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_6>=1))"))||("((((i2.u3.NB_ATTENTE_A_10>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_2>=1))"))||("((((i2.u3.NB_ATTENTE_A_11>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_5>=1))"))||("((((i2.u3.NB_ATTENTE_A_13>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_7>=1))"))||("((((i2.u3.NB_ATTENTE_A_8>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_0>=1))"))||("((((i2.u3.NB_ATTENTE_A_10>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_10>=1))"))||("((((i2.u3.NB_ATTENTE_A_7>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_1>=1))"))||("((((i2.u3.NB_ATTENTE_A_12>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_4>=1))"))||("((((i2.u3.NB_ATTENTE_A_8>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_8>=1))"))||("((((i2.u3.NB_ATTENTE_A_7>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_9>=1))"))||("((((i2.u3.NB_ATTENTE_A_9>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_3>=1))"))||("((((i2.u3.NB_ATTENTE_A_20>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_3>=1))"))||("((((i2.u3.NB_ATTENTE_A_4>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_10>=1))"))||("((((i2.u3.NB_ATTENTE_A_1>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_3>=1))"))||("((((i2.u3.NB_ATTENTE_A_2>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_0>=1))"))||("((((i2.u3.NB_ATTENTE_A_19>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_6>=1))"))||("((((i2.u3.NB_ATTENTE_A_5>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_7>=1))"))||("((((i2.u3.NB_ATTENTE_A_18>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_1>=1))"))||("((((i2.u3.NB_ATTENTE_A_6>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_4>=1))"))||("((((i2.u3.NB_ATTENTE_A_15>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_2>=1))"))||("((((i2.u3.NB_ATTENTE_A_17>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_4>=1))"))||("((((i2.u3.NB_ATTENTE_A_16>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_7>=1))"))||("((((i2.u3.NB_ATTENTE_A_4>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_2>=1))"))||("((((i2.u3.NB_ATTENTE_A_15>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_10>=1))"))||("((((i2.u3.NB_ATTENTE_A_14>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_5>=1))"))||("((((i2.u3.NB_ATTENTE_A_2>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_8>=1))"))||("((((i2.u3.NB_ATTENTE_A_3>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_5>=1))"))||("((((i2.u3.NB_ATTENTE_A_10>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_1>=1))"))||("((((i2.u3.NB_ATTENTE_A_11>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_6>=1))"))||("((((i2.u3.NB_ATTENTE_A_13>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_8>=1))"))||("((((i2.u3.NB_ATTENTE_A_10>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_9>=1))"))||("((((i2.u3.NB_ATTENTE_A_7>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_2>=1))"))||("((((i2.u3.NB_ATTENTE_A_12>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_3>=1))"))||("((((i2.u3.NB_ATTENTE_A_13>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_0>=1))"))||("((((i2.u3.NB_ATTENTE_A_18>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_10>=1))"))||("((((i2.u3.NB_ATTENTE_A_8>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_7>=1))"))||("((((i2.u3.NB_ATTENTE_A_7>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_10>=1))"))||("((((i2.u3.NB_ATTENTE_A_9>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_4>=1))"))||("((((i2.u3.NB_ATTENTE_A_19>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_7>=1))")))))
Formula 0 simplified : !X("((u0.SUR_PONT_B_0<1)&&(u0.SUR_PONT_A_0<1))" & ("((((i2.u3.NB_ATTENTE_A_1>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_0>=1))" | "((((i2.u3.NB_ATTENTE_A_1>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_1>=1))" | "((((i2.u3.NB_ATTENTE_A_1>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_2>=1))" | "((((i2.u3.NB_ATTENTE_A_1>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_3>=1))" | "((((i2.u3.NB_ATTENTE_A_1>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_4>=1))" | "((((i2.u3.NB_ATTENTE_A_1>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_5>=1))" | "((((i2.u3.NB_ATTENTE_A_1>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_6>=1))" | "((((i2.u3.NB_ATTENTE_A_1>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_7>=1))" | "((((i2.u3.NB_ATTENTE_A_1>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_8>=1))" | "((((i2.u3.NB_ATTENTE_A_1>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_9>=1))" | "((((i2.u3.NB_ATTENTE_A_1>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_10>=1))" | "((((i2.u3.NB_ATTENTE_A_2>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_0>=1))" | "((((i2.u3.NB_ATTENTE_A_2>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_1>=1))" | "((((i2.u3.NB_ATTENTE_A_2>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_2>=1))" | "((((i2.u3.NB_ATTENTE_A_2>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_3>=1))" | "((((i2.u3.NB_ATTENTE_A_2>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_4>=1))" | "((((i2.u3.NB_ATTENTE_A_2>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_5>=1))" | "((((i2.u3.NB_ATTENTE_A_2>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_6>=1))" | "((((i2.u3.NB_ATTENTE_A_2>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_7>=1))" | "((((i2.u3.NB_ATTENTE_A_2>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_8>=1))" | "((((i2.u3.NB_ATTENTE_A_2>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_9>=1))" | "((((i2.u3.NB_ATTENTE_A_2>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_10>=1))" | "((((i2.u3.NB_ATTENTE_A_3>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_0>=1))" | "((((i2.u3.NB_ATTENTE_A_3>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_1>=1))" | "((((i2.u3.NB_ATTENTE_A_3>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_2>=1))" | "((((i2.u3.NB_ATTENTE_A_3>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_3>=1))" | "((((i2.u3.NB_ATTENTE_A_3>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_4>=1))" | "((((i2.u3.NB_ATTENTE_A_3>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_5>=1))" | "((((i2.u3.NB_ATTENTE_A_3>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_6>=1))" | "((((i2.u3.NB_ATTENTE_A_3>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_7>=1))" | "((((i2.u3.NB_ATTENTE_A_3>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_8>=1))" | "((((i2.u3.NB_ATTENTE_A_3>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_9>=1))" | "((((i2.u3.NB_ATTENTE_A_3>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_10>=1))" | "((((i2.u3.NB_ATTENTE_A_4>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_0>=1))" | "((((i2.u3.NB_ATTENTE_A_4>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_1>=1))" | "((((i2.u3.NB_ATTENTE_A_4>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_2>=1))" | "((((i2.u3.NB_ATTENTE_A_4>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_3>=1))" | "((((i2.u3.NB_ATTENTE_A_4>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_4>=1))" | "((((i2.u3.NB_ATTENTE_A_4>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_5>=1))" | "((((i2.u3.NB_ATTENTE_A_4>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_6>=1))" | "((((i2.u3.NB_ATTENTE_A_4>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_7>=1))" | "((((i2.u3.NB_ATTENTE_A_4>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_8>=1))" | "((((i2.u3.NB_ATTENTE_A_4>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_9>=1))" | "((((i2.u3.NB_ATTENTE_A_4>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_10>=1))" | "((((i2.u3.NB_ATTENTE_A_5>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_0>=1))" | "((((i2.u3.NB_ATTENTE_A_5>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_1>=1))" | "((((i2.u3.NB_ATTENTE_A_5>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_2>=1))" | "((((i2.u3.NB_ATTENTE_A_5>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_3>=1))" | "((((i2.u3.NB_ATTENTE_A_5>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_4>=1))" | "((((i2.u3.NB_ATTENTE_A_5>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_5>=1))" | "((((i2.u3.NB_ATTENTE_A_5>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_6>=1))" | "((((i2.u3.NB_ATTENTE_A_5>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_7>=1))" | "((((i2.u3.NB_ATTENTE_A_5>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_8>=1))" | "((((i2.u3.NB_ATTENTE_A_5>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_9>=1))" | "((((i2.u3.NB_ATTENTE_A_5>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_10>=1))" | "((((i2.u3.NB_ATTENTE_A_6>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_0>=1))" | "((((i2.u3.NB_ATTENTE_A_6>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_1>=1))" | "((((i2.u3.NB_ATTENTE_A_6>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_2>=1))" | "((((i2.u3.NB_ATTENTE_A_6>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_3>=1))" | "((((i2.u3.NB_ATTENTE_A_6>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_4>=1))" | "((((i2.u3.NB_ATTENTE_A_6>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_5>=1))" | "((((i2.u3.NB_ATTENTE_A_6>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_6>=1))" | "((((i2.u3.NB_ATTENTE_A_6>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_7>=1))" | "((((i2.u3.NB_ATTENTE_A_6>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_8>=1))" | "((((i2.u3.NB_ATTENTE_A_6>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_9>=1))" | "((((i2.u3.NB_ATTENTE_A_6>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_10>=1))" | "((((i2.u3.NB_ATTENTE_A_7>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_0>=1))" | "((((i2.u3.NB_ATTENTE_A_7>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_1>=1))" | "((((i2.u3.NB_ATTENTE_A_7>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_2>=1))" | "((((i2.u3.NB_ATTENTE_A_7>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_3>=1))" | "((((i2.u3.NB_ATTENTE_A_7>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_4>=1))" | "((((i2.u3.NB_ATTENTE_A_7>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_5>=1))" | "((((i2.u3.NB_ATTENTE_A_7>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_6>=1))" | "((((i2.u3.NB_ATTENTE_A_7>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_7>=1))" | "((((i2.u3.NB_ATTENTE_A_7>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_8>=1))" | "((((i2.u3.NB_ATTENTE_A_7>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_9>=1))" | "((((i2.u3.NB_ATTENTE_A_7>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_10>=1))" | "((((i2.u3.NB_ATTENTE_A_8>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_0>=1))" | "((((i2.u3.NB_ATTENTE_A_8>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_1>=1))" | "((((i2.u3.NB_ATTENTE_A_8>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_2>=1))" | "((((i2.u3.NB_ATTENTE_A_8>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_3>=1))" | "((((i2.u3.NB_ATTENTE_A_8>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_4>=1))" | "((((i2.u3.NB_ATTENTE_A_8>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_5>=1))" | "((((i2.u3.NB_ATTENTE_A_8>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_6>=1))" | "((((i2.u3.NB_ATTENTE_A_8>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_7>=1))" | "((((i2.u3.NB_ATTENTE_A_8>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_8>=1))" | "((((i2.u3.NB_ATTENTE_A_8>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_9>=1))" | "((((i2.u3.NB_ATTENTE_A_8>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_10>=1))" | "((((i2.u3.NB_ATTENTE_A_9>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_0>=1))" | "((((i2.u3.NB_ATTENTE_A_9>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_1>=1))" | "((((i2.u3.NB_ATTENTE_A_9>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_2>=1))" | "((((i2.u3.NB_ATTENTE_A_9>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_3>=1))" | "((((i2.u3.NB_ATTENTE_A_9>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_4>=1))" | "((((i2.u3.NB_ATTENTE_A_9>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_5>=1))" | "((((i2.u3.NB_ATTENTE_A_9>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_6>=1))" | "((((i2.u3.NB_ATTENTE_A_9>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_7>=1))" | "((((i2.u3.NB_ATTENTE_A_9>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_8>=1))" | "((((i2.u3.NB_ATTENTE_A_9>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_9>=1))" | "((((i2.u3.NB_ATTENTE_A_9>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_10>=1))" | "((((i2.u3.NB_ATTENTE_A_10>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_0>=1))" | "((((i2.u3.NB_ATTENTE_A_10>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_1>=1))" | "((((i2.u3.NB_ATTENTE_A_10>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_2>=1))" | "((((i2.u3.NB_ATTENTE_A_10>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_3>=1))" | "((((i2.u3.NB_ATTENTE_A_10>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_4>=1))" | "((((i2.u3.NB_ATTENTE_A_10>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_5>=1))" | "((((i2.u3.NB_ATTENTE_A_10>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_6>=1))" | "((((i2.u3.NB_ATTENTE_A_10>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_7>=1))" | "((((i2.u3.NB_ATTENTE_A_10>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_8>=1))" | "((((i2.u3.NB_ATTENTE_A_10>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_9>=1))" | "((((i2.u3.NB_ATTENTE_A_10>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_10>=1))" | "((((i2.u3.NB_ATTENTE_A_11>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_0>=1))" | "((((i2.u3.NB_ATTENTE_A_11>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_1>=1))" | "((((i2.u3.NB_ATTENTE_A_11>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_2>=1))" | "((((i2.u3.NB_ATTENTE_A_11>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_3>=1))" | "((((i2.u3.NB_ATTENTE_A_11>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_4>=1))" | "((((i2.u3.NB_ATTENTE_A_11>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_5>=1))" | "((((i2.u3.NB_ATTENTE_A_11>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_6>=1))" | "((((i2.u3.NB_ATTENTE_A_11>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_7>=1))" | "((((i2.u3.NB_ATTENTE_A_11>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_8>=1))" | "((((i2.u3.NB_ATTENTE_A_11>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_9>=1))" | "((((i2.u3.NB_ATTENTE_A_11>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_10>=1))" | "((((i2.u3.NB_ATTENTE_A_12>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_0>=1))" | "((((i2.u3.NB_ATTENTE_A_12>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_1>=1))" | "((((i2.u3.NB_ATTENTE_A_12>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_2>=1))" | "((((i2.u3.NB_ATTENTE_A_12>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_3>=1))" | "((((i2.u3.NB_ATTENTE_A_12>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_4>=1))" | "((((i2.u3.NB_ATTENTE_A_12>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_5>=1))" | "((((i2.u3.NB_ATTENTE_A_12>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_6>=1))" | "((((i2.u3.NB_ATTENTE_A_12>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_7>=1))" | "((((i2.u3.NB_ATTENTE_A_12>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_8>=1))" | "((((i2.u3.NB_ATTENTE_A_12>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_9>=1))" | "((((i2.u3.NB_ATTENTE_A_12>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_10>=1))" | "((((i2.u3.NB_ATTENTE_A_13>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_0>=1))" | "((((i2.u3.NB_ATTENTE_A_13>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_1>=1))" | "((((i2.u3.NB_ATTENTE_A_13>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_2>=1))" | "((((i2.u3.NB_ATTENTE_A_13>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_3>=1))" | "((((i2.u3.NB_ATTENTE_A_13>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_4>=1))" | "((((i2.u3.NB_ATTENTE_A_13>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_5>=1))" | "((((i2.u3.NB_ATTENTE_A_13>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_6>=1))" | "((((i2.u3.NB_ATTENTE_A_13>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_7>=1))" | "((((i2.u3.NB_ATTENTE_A_13>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_8>=1))" | "((((i2.u3.NB_ATTENTE_A_13>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_9>=1))" | "((((i2.u3.NB_ATTENTE_A_13>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_10>=1))" | "((((i2.u3.NB_ATTENTE_A_14>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_0>=1))" | "((((i2.u3.NB_ATTENTE_A_14>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_1>=1))" | "((((i2.u3.NB_ATTENTE_A_14>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_2>=1))" | "((((i2.u3.NB_ATTENTE_A_14>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_3>=1))" | "((((i2.u3.NB_ATTENTE_A_14>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_4>=1))" | "((((i2.u3.NB_ATTENTE_A_14>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_5>=1))" | "((((i2.u3.NB_ATTENTE_A_14>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_6>=1))" | "((((i2.u3.NB_ATTENTE_A_14>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_7>=1))" | "((((i2.u3.NB_ATTENTE_A_14>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_8>=1))" | "((((i2.u3.NB_ATTENTE_A_14>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_9>=1))" | "((((i2.u3.NB_ATTENTE_A_14>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_10>=1))" | "((((i2.u3.NB_ATTENTE_A_15>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_0>=1))" | "((((i2.u3.NB_ATTENTE_A_15>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_1>=1))" | "((((i2.u3.NB_ATTENTE_A_15>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_2>=1))" | "((((i2.u3.NB_ATTENTE_A_15>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_3>=1))" | "((((i2.u3.NB_ATTENTE_A_15>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_4>=1))" | "((((i2.u3.NB_ATTENTE_A_15>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_5>=1))" | "((((i2.u3.NB_ATTENTE_A_15>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_6>=1))" | "((((i2.u3.NB_ATTENTE_A_15>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_7>=1))" | "((((i2.u3.NB_ATTENTE_A_15>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_8>=1))" | "((((i2.u3.NB_ATTENTE_A_15>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_9>=1))" | "((((i2.u3.NB_ATTENTE_A_15>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_10>=1))" | "((((i2.u3.NB_ATTENTE_A_16>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_0>=1))" | "((((i2.u3.NB_ATTENTE_A_16>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_1>=1))" | "((((i2.u3.NB_ATTENTE_A_16>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_2>=1))" | "((((i2.u3.NB_ATTENTE_A_16>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_3>=1))" | "((((i2.u3.NB_ATTENTE_A_16>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_4>=1))" | "((((i2.u3.NB_ATTENTE_A_16>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_5>=1))" | "((((i2.u3.NB_ATTENTE_A_16>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_6>=1))" | "((((i2.u3.NB_ATTENTE_A_16>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_7>=1))" | "((((i2.u3.NB_ATTENTE_A_16>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_8>=1))" | "((((i2.u3.NB_ATTENTE_A_16>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_9>=1))" | "((((i2.u3.NB_ATTENTE_A_16>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_10>=1))" | "((((i2.u3.NB_ATTENTE_A_17>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_0>=1))" | "((((i2.u3.NB_ATTENTE_A_17>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_1>=1))" | "((((i2.u3.NB_ATTENTE_A_17>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_2>=1))" | "((((i2.u3.NB_ATTENTE_A_17>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_3>=1))" | "((((i2.u3.NB_ATTENTE_A_17>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_4>=1))" | "((((i2.u3.NB_ATTENTE_A_17>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_5>=1))" | "((((i2.u3.NB_ATTENTE_A_17>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_6>=1))" | "((((i2.u3.NB_ATTENTE_A_17>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_7>=1))" | "((((i2.u3.NB_ATTENTE_A_17>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_8>=1))" | "((((i2.u3.NB_ATTENTE_A_17>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_9>=1))" | "((((i2.u3.NB_ATTENTE_A_17>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_10>=1))" | "((((i2.u3.NB_ATTENTE_A_18>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_0>=1))" | "((((i2.u3.NB_ATTENTE_A_18>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_1>=1))" | "((((i2.u3.NB_ATTENTE_A_18>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_2>=1))" | "((((i2.u3.NB_ATTENTE_A_18>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_3>=1))" | "((((i2.u3.NB_ATTENTE_A_18>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_4>=1))" | "((((i2.u3.NB_ATTENTE_A_18>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_5>=1))" | "((((i2.u3.NB_ATTENTE_A_18>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_6>=1))" | "((((i2.u3.NB_ATTENTE_A_18>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_7>=1))" | "((((i2.u3.NB_ATTENTE_A_18>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_8>=1))" | "((((i2.u3.NB_ATTENTE_A_18>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_9>=1))" | "((((i2.u3.NB_ATTENTE_A_18>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_10>=1))" | "((((i2.u3.NB_ATTENTE_A_19>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_0>=1))" | "((((i2.u3.NB_ATTENTE_A_19>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_1>=1))" | "((((i2.u3.NB_ATTENTE_A_19>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_2>=1))" | "((((i2.u3.NB_ATTENTE_A_19>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_3>=1))" | "((((i2.u3.NB_ATTENTE_A_19>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_4>=1))" | "((((i2.u3.NB_ATTENTE_A_19>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_5>=1))" | "((((i2.u3.NB_ATTENTE_A_19>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_6>=1))" | "((((i2.u3.NB_ATTENTE_A_19>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_7>=1))" | "((((i2.u3.NB_ATTENTE_A_19>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_8>=1))" | "((((i2.u3.NB_ATTENTE_A_19>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_9>=1))" | "((((i2.u3.NB_ATTENTE_A_19>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_10>=1))" | "((((i2.u3.NB_ATTENTE_A_20>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_0>=1))" | "((((i2.u3.NB_ATTENTE_A_20>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_1>=1))" | "((((i2.u3.NB_ATTENTE_A_20>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_2>=1))" | "((((i2.u3.NB_ATTENTE_A_20>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_3>=1))" | "((((i2.u3.NB_ATTENTE_A_20>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_4>=1))" | "((((i2.u3.NB_ATTENTE_A_20>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_5>=1))" | "((((i2.u3.NB_ATTENTE_A_20>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_6>=1))" | "((((i2.u3.NB_ATTENTE_A_20>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_7>=1))" | "((((i2.u3.NB_ATTENTE_A_20>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_8>=1))" | "((((i2.u3.NB_ATTENTE_A_20>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_9>=1))" | "((((i2.u3.NB_ATTENTE_A_20>=1)&&(i2.u3.NB_ATTENTE_B_0>=1))&&(i2.u3.CONTROLEUR_1>=1))&&(i2.u1.COMPTEUR_10>=1))" | FG"(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((i2.u3.NB_ATTENTE_A_19<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_3<1))&&((((i2.u3.NB_ATTENTE_A_4<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_2<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_1<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_18<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_6<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_5<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_16<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_17<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_5<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_14<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_15<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_3<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_2<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_10<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_11<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_12<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_13<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_10<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_13<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_18<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_8<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_7<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_20<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_9<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_8<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_20<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_1<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_19<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_2<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_4<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_6<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_18<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_5<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_17<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_15<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_16<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_14<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_5<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_15<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_16<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_3<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_2<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_4<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_13<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_11<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_10<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_8<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_13<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_16<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_7<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_12<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_8<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_18<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_7<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_20<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_9<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_19<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_3<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_20<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_2<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_1<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_18<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_6<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_1<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_16<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_17<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_14<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_5<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_3<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_4<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_15<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_14<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_12<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_11<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_10<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_12<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_13<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_11<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_7<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_8<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_19<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_9<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_20<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_2<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_19<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_3<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_4<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_1<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_6<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_18<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_17<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_6<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_16<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_5<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_14<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_17<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_3<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_15<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_14<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_12<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_9<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_10<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_11<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_13<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_17<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_11<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_7<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_8<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_9<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_20<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_20<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_3<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_1<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_2<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_5<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_6<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_18<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_6<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_14<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_16<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_17<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_3<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_4<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_1<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_15<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_14<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_10<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_13<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_12<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_11<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_12<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_17<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_7<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_8<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_9<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_19<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_9<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_1<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_19<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_3<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_2<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_20<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_18<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_6<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_5<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_6<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_16<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_17<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_1<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_14<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_4<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_15<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_12<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_10<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_13<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_11<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_12<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_11<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_7<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_8<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_9<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_20<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_9<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_19<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_20<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_1<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_2<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_19<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_4<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_18<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_5<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_15<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_5<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_6<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_17<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_16<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_14<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_15<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_16<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_4<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_3<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_10<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_11<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_13<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_8<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_10<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_7<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_12<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_8<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_7<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_9<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_20<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_4<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_1<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_2<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_19<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_5<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_18<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_6<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_15<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_17<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_16<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_4<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_15<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_14<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_2<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_3<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_5<1)))&&((((i2.u3.NB_ATTENTE_A_10<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_1<1)))&&((((i2.u3.NB_ATTENTE_A_11<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_6<1)))&&((((i2.u3.NB_ATTENTE_A_13<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_8<1)))&&((((i2.u3.NB_ATTENTE_A_10<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_9<1)))&&((((i2.u3.NB_ATTENTE_A_7<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_2<1)))&&((((i2.u3.NB_ATTENTE_A_12<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_3<1)))&&((((i2.u3.NB_ATTENTE_A_13<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_0<1)))&&((((i2.u3.NB_ATTENTE_A_18<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_8<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_7<1)))&&((((i2.u3.NB_ATTENTE_A_7<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_10<1)))&&((((i2.u3.NB_ATTENTE_A_9<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_4<1)))&&((((i2.u3.NB_ATTENTE_A_19<1)||(i2.u3.NB_ATTENTE_B_0<1))||(i2.u3.CONTROLEUR_1<1))||(i2.u1.COMPTEUR_7<1)))"))
Detected timeout of ITS tools.
Built C files in :
/tmp/ltsmin15071009845705181936
[2021-05-08 22:12:15] [INFO ] Built C files in 30ms conformant to PINS (ltsmin variant)in folder :/tmp/ltsmin15071009845705181936
Running compilation step : CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/include/, -I., -std=c99, -fPIC, -O2, model.c], workingDir=/tmp/ltsmin15071009845705181936]
WARNING : LTS min runner thread failed on error :java.lang.RuntimeException: Compilation or link of executable timed out.java.util.concurrent.TimeoutException: Subprocess running CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/include/, -I., -std=c99, -fPIC, -O2, model.c], workingDir=/tmp/ltsmin15071009845705181936] killed by timeout after 1 SECONDS
java.lang.RuntimeException: Compilation or link of executable timed out.java.util.concurrent.TimeoutException: Subprocess running CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/include/, -I., -std=c99, -fPIC, -O2, model.c], workingDir=/tmp/ltsmin15071009845705181936] killed by timeout after 1 SECONDS
at fr.lip6.move.gal.application.LTSminRunner$1.run(LTSminRunner.java:79)
at java.base/java.lang.Thread.run(Thread.java:834)
Treatment of property BridgeAndVehicles-COL-V20P20N10-09 finished in 45865 ms.
Running Spot : CommandLine [args=[/home/mcc/BenchKit/bin//..//ltl2tgba, --hoaf=tv, -f, !(X(p0))], workingDir=/home/mcc/execution]
Support contains 33 out of 66 places. Attempting structural reductions.
Starting structural reductions, iteration 0 : 66/66 places, 548/548 transitions.
Discarding 1 places :
Symmetric choice reduction at 0 with 1 rule applications. Total rules 1 place count 65 transition count 547
Iterating global reduction 0 with 1 rules applied. Total rules applied 2 place count 65 transition count 547
Applied a total of 2 rules in 10 ms. Remains 65 /66 variables (removed 1) and now considering 547/548 (removed 1) transitions.
[2021-05-08 22:12:16] [INFO ] Flow matrix only has 129 transitions (discarded 418 similar events)
// Phase 1: matrix 129 rows 65 cols
[2021-05-08 22:12:16] [INFO ] Computed 5 place invariants in 1 ms
[2021-05-08 22:12:16] [INFO ] Dead Transitions using invariants and state equation in 254 ms returned []
[2021-05-08 22:12:16] [INFO ] Flow matrix only has 129 transitions (discarded 418 similar events)
// Phase 1: matrix 129 rows 65 cols
[2021-05-08 22:12:16] [INFO ] Computed 5 place invariants in 13 ms
[2021-05-08 22:12:16] [INFO ] Implicit Places using invariants in 73 ms returned []
[2021-05-08 22:12:16] [INFO ] Flow matrix only has 129 transitions (discarded 418 similar events)
// Phase 1: matrix 129 rows 65 cols
[2021-05-08 22:12:16] [INFO ] Computed 5 place invariants in 1 ms
[2021-05-08 22:12:16] [INFO ] State equation strengthened by 22 read => feed constraints.
[2021-05-08 22:12:17] [INFO ] Implicit Places using invariants and state equation in 221 ms returned [23, 46]
Discarding 2 places :
Implicit Place search using SMT with State Equation took 304 ms to find 2 implicit places.
[2021-05-08 22:12:17] [INFO ] Flow matrix only has 129 transitions (discarded 418 similar events)
// Phase 1: matrix 129 rows 63 cols
[2021-05-08 22:12:17] [INFO ] Computed 5 place invariants in 1 ms
[2021-05-08 22:12:17] [INFO ] Dead Transitions using invariants and state equation in 202 ms returned []
Starting structural reductions, iteration 1 : 63/66 places, 547/548 transitions.
Applied a total of 0 rules in 8 ms. Remains 63 /63 variables (removed 0) and now considering 547/547 (removed 0) transitions.
[2021-05-08 22:12:17] [INFO ] Flow matrix only has 129 transitions (discarded 418 similar events)
// Phase 1: matrix 129 rows 63 cols
[2021-05-08 22:12:17] [INFO ] Computed 5 place invariants in 1 ms
[2021-05-08 22:12:17] [INFO ] Dead Transitions using invariants and state equation in 184 ms returned []
Finished structural reductions, in 2 iterations. Remains : 63/66 places, 547/548 transitions.
Stuttering acceptance computed with spot in 71 ms :[(NOT p0), (NOT p0), true]
Running random walk in product with property : BridgeAndVehicles-COL-V20P20N10-10 automaton TGBA [mat=[[{ cond=(NOT p0), acceptance={} source=0 dest: 2}], [{ cond=true, acceptance={} source=1 dest: 0}], [{ cond=true, acceptance={0} source=2 dest: 2}]], initial=1, aps=[p0:(OR (AND (GEQ s1 1) (GEQ s40 1) (GEQ s46 1) (GEQ s54 1)) (AND (GEQ s1 1) (GEQ s28 1) (GEQ s46 1) (GEQ s53 1)) (AND (GEQ s1 1) (GEQ s26 1) (GEQ s46 1) (GEQ s50 1)) (AND (GEQ s1 1) (GEQ s30 1) (GEQ s46 1) (GEQ s56 1)) (AND (GEQ s1 1) (GEQ s26 1) (GEQ s46 1) (GEQ s58 1)) (AND (GEQ s1 1) (GEQ s35 1) (GEQ s46 1) (GEQ s55 1)) (AND (GEQ s1 1) (GEQ s25 1) (GEQ s46 1) (GEQ s57 1)) (AND (GEQ s1 1) (GEQ s38 1) (GEQ s46 1) (GEQ s59 1)) (AND (GEQ s1 1) (GEQ s44 1) (GEQ s46 1) (GEQ s60 1)) (AND (GEQ s1 1) (GEQ s31 1) (GEQ s46 1) (GEQ s57 1)) (AND (GEQ s1 1) (GEQ s43 1) (GEQ s46 1) (GEQ s50 1)) (AND (GEQ s1 1) (GEQ s33 1) (GEQ s46 1) (GEQ s60 1)) (AND (GEQ s1 1) (GEQ s36 1) (GEQ s46 1) (GEQ s56 1)) (AND (GEQ s1 1) (GEQ s38 1) (GEQ s46 1) (GEQ s51 1)) (AND (GEQ s1 1) (GEQ s33 1) (GEQ s46 1) (GEQ s52 1)) (AND (GEQ s1 1) (GEQ s27 1) (GEQ s46 1) (GEQ s51 1)) (AND (GEQ s1 1) (GEQ s41 1) (GEQ s46 1) (GEQ s55 1)) (AND (GEQ s1 1) (GEQ s39 1) (GEQ s46 1) (GEQ s52 1)) (AND (GEQ s1 1) (GEQ s34 1) (GEQ s46 1) (GEQ s53 1)) (AND (GEQ s1 1) (GEQ s44 1) (GEQ s46 1) (GEQ s51 1)) (AND (GEQ s1 1) (GEQ s32 1) (GEQ s46 1) (GEQ s50 1)) (AND (GEQ s1 1) (GEQ s43 1) (GEQ s46 1) (GEQ s59 1)) (AND (GEQ s1 1) (GEQ s39 1) (GEQ s46 1) (GEQ s60 1)) (AND (GEQ s1 1) (GEQ s32 1) (GEQ s46 1) (GEQ s58 1)) (AND (GEQ s1 1) (GEQ s27 1) (GEQ s46 1) (GEQ s59 1)) (AND (GEQ s1 1) (GEQ s29 1) (GEQ s46 1) (GEQ s54 1)) (AND (GEQ s1 1) (GEQ s42 1) (GEQ s46 1) (GEQ s56 1)) (AND (GEQ s1 1) (GEQ s37 1) (GEQ s46 1) (GEQ s57 1)) (AND (GEQ s1 1) (GEQ s40 1) (GEQ s46 1) (GEQ s53 1)) (AND (GEQ s1 1) (GEQ s28 1) (GEQ s46 1) (GEQ s54 1)) (AND (GEQ s1 1) (GEQ s30 1) (GEQ s46 1) (GEQ s55 1)) (AND (GEQ s1 1) (GEQ s26 1) (GEQ s46 1) (GEQ s57 1)) (AND (GEQ s1 1) (GEQ s35 1) (GEQ s46 1) (GEQ s54 1)) (AND (GEQ s1 1) (GEQ s25 1) (GEQ s46 1) (GEQ s56 1)) (AND (GEQ s1 1) (GEQ s38 1) (GEQ s46 1) (GEQ s60 1)) (AND (GEQ s1 1) (GEQ s31 1) (GEQ s46 1) (GEQ s56 1)) (AND (GEQ s1 1) (GEQ s43 1) (GEQ s46 1) (GEQ s51 1)) (AND (GEQ s1 1) (GEQ s36 1) (GEQ s46 1) (GEQ s55 1)) (AND (GEQ s1 1) (GEQ s38 1) (GEQ s46 1) (GEQ s52 1)) (AND (GEQ s1 1) (GEQ s33 1) (GEQ s46 1) (GEQ s53 1)) (AND (GEQ s1 1) (GEQ s27 1) (GEQ s46 1) (GEQ s50 1)) (AND (GEQ s1 1) (GEQ s39 1) (GEQ s46 1) (GEQ s53 1)) (AND (GEQ s1 1) (GEQ s41 1) (GEQ s46 1) (GEQ s54 1)) (AND (GEQ s1 1) (GEQ s34 1) (GEQ s46 1) (GEQ s54 1)) (AND (GEQ s1 1) (GEQ s44 1) (GEQ s46 1) (GEQ s52 1)) (AND (GEQ s1 1) (GEQ s43 1) (GEQ s46 1) (GEQ s60 1)) (AND (GEQ s1 1) (GEQ s32 1) (GEQ s46 1) (GEQ s57 1)) (AND (GEQ s1 1) (GEQ s27 1) (GEQ s46 1) (GEQ s58 1)) (AND (GEQ s1 1) (GEQ s29 1) (GEQ s46 1) (GEQ s55 1)) (AND (GEQ s1 1) (GEQ s42 1) (GEQ s46 1) (GEQ s55 1)) (AND (GEQ s1 1) (GEQ s37 1) (GEQ s46 1) (GEQ s56 1)) (AND (GEQ s1 1) (GEQ s28 1) (GEQ s46 1) (GEQ s55 1)) (AND (GEQ s1 1) (GEQ s40 1) (GEQ s46 1) (GEQ s56 1)) (AND (GEQ s1 1) (GEQ s33 1) (GEQ s46 1) (GEQ s50 1)) (AND (GEQ s1 1) (GEQ s42 1) (GEQ s46 1) (GEQ s59 1)) (AND (GEQ s1 1) (GEQ s30 1) (GEQ s46 1) (GEQ s58 1)) (AND (GEQ s1 1) (GEQ s35 1) (GEQ s46 1) (GEQ s53 1)) (AND (GEQ s1 1) (GEQ s31 1) (GEQ s46 1) (GEQ s51 1)) (AND (GEQ s1 1) (GEQ s26 1) (GEQ s46 1) (GEQ s56 1)) (AND (GEQ s1 1) (GEQ s30 1) (GEQ s46 1) (GEQ s50 1)) (AND (GEQ s1 1) (GEQ s43 1) (GEQ s46 1) (GEQ s56 1)) (AND (GEQ s1 1) (GEQ s44 1) (GEQ s46 1) (GEQ s58 1)) (AND (GEQ s1 1) (GEQ s31 1) (GEQ s46 1) (GEQ s59 1)) (AND (GEQ s1 1) (GEQ s36 1) (GEQ s46 1) (GEQ s54 1)) (AND (GEQ s1 1) (GEQ s25 1) (GEQ s46 1) (GEQ s55 1)) (AND (GEQ s1 1) (GEQ s33 1) (GEQ s46 1) (GEQ s58 1)) (AND (GEQ s1 1) (GEQ s38 1) (GEQ s46 1) (GEQ s53 1)) (AND (GEQ s1 1) (GEQ s41 1) (GEQ s46 1) (GEQ s57 1)) (AND (GEQ s1 1) (GEQ s34 1) (GEQ s46 1) (GEQ s51 1)) (AND (GEQ s1 1) (GEQ s29 1) (GEQ s46 1) (GEQ s56 1)) (AND (GEQ s1 1) (GEQ s27 1) (GEQ s46 1) (GEQ s57 1)) (AND (GEQ s1 1) (GEQ s32 1) (GEQ s46 1) (GEQ s52 1)) (AND (GEQ s1 1) (GEQ s39 1) (GEQ s46 1) (GEQ s54 1)) (AND (GEQ s1 1) (GEQ s34 1) (GEQ s46 1) (GEQ s59 1)) (AND (GEQ s1 1) (GEQ s32 1) (GEQ s46 1) (GEQ s60 1)) (AND (GEQ s1 1) (GEQ s42 1) (GEQ s46 1) (GEQ s50 1)) (AND (GEQ s1 1) (GEQ s37 1) (GEQ s46 1) (GEQ s55 1)) (AND (GEQ s1 1) (GEQ s42 1) (GEQ s46 1) (GEQ s58 1)) (AND (GEQ s1 1) (GEQ s35 1) (GEQ s46 1) (GEQ s60 1)) (AND (GEQ s1 1) (GEQ s28 1) (GEQ s46 1) (GEQ s56 1)) (AND (GEQ s1 1) (GEQ s33 1) (GEQ s46 1) (GEQ s51 1)) (AND (GEQ s1 1) (GEQ s40 1) (GEQ s46 1) (GEQ s55 1)) (AND (GEQ s1 1) (GEQ s35 1) (GEQ s46 1) (GEQ s52 1)) (AND (GEQ s1 1) (GEQ s30 1) (GEQ s46 1) (GEQ s57 1)) (AND (GEQ s1 1) (GEQ s31 1) (GEQ s46 1) (GEQ s50 1)) (AND (GEQ s1 1) (GEQ s26 1) (GEQ s46 1) (GEQ s55 1)) (AND (GEQ s1 1) (GEQ s44 1) (GEQ s46 1) (GEQ s59 1)) (AND (GEQ s1 1) (GEQ s43 1) (GEQ s46 1) (GEQ s57 1)) (AND (GEQ s1 1) (GEQ s36 1) (GEQ s46 1) (GEQ s53 1)) (AND (GEQ s1 1) (GEQ s31 1) (GEQ s46 1) (GEQ s58 1)) (AND (GEQ s1 1) (GEQ s25 1) (GEQ s46 1) (GEQ s54 1)) (AND (GEQ s1 1) (GEQ s33 1) (GEQ s46 1) (GEQ s59 1)) (AND (GEQ s1 1) (GEQ s38 1) (GEQ s46 1) (GEQ s54 1)) (AND (GEQ s1 1) (GEQ s41 1) (GEQ s46 1) (GEQ s56 1)) (AND (GEQ s1 1) (GEQ s29 1) (GEQ s46 1) (GEQ s57 1)) (AND (GEQ s1 1) (GEQ s34 1) (GEQ s46 1) (GEQ s52 1)) (AND (GEQ s1 1) (GEQ s27 1) (GEQ s46 1) (GEQ s56 1)) (AND (GEQ s1 1) (GEQ s32 1) (GEQ s46 1) (GEQ s51 1)) (AND (GEQ s1 1) (GEQ s39 1) (GEQ s46 1) (GEQ s55 1)) (AND (GEQ s1 1) (GEQ s44 1) (GEQ s46 1) (GEQ s50 1)) (AND (GEQ s1 1) (GEQ s43 1) (GEQ s46 1) (GEQ s58 1)) (AND (GEQ s1 1) (GEQ s34 1) (GEQ s46 1) (GEQ s60 1)) (AND (GEQ s1 1) (GEQ s37 1) (GEQ s46 1) (GEQ s54 1)) (AND (GEQ s1 1) (GEQ s32 1) (GEQ s46 1) (GEQ s59 1)) (AND (GEQ s1 1) (GEQ s42 1) (GEQ s46 1) (GEQ s57 1)) (AND (GEQ s1 1) (GEQ s35 1) (GEQ s46 1) (GEQ s59 1)) (AND (GEQ s1 1) (GEQ s40 1) (GEQ s46 1) (GEQ s58 1)) (AND (GEQ s1 1) (GEQ s26 1) (GEQ s46 1) (GEQ s54 1)) (AND (GEQ s1 1) (GEQ s35 1) (GEQ s46 1) (GEQ s51 1)) (AND (GEQ s1 1) (GEQ s40 1) (GEQ s46 1) (GEQ s50 1)) (AND (GEQ s1 1) (GEQ s31 1) (GEQ s46 1) (GEQ s53 1)) (AND (GEQ s1 1) (GEQ s30 1) (GEQ s46 1) (GEQ s60 1)) (AND (GEQ s1 1) (GEQ s43 1) (GEQ s46 1) (GEQ s54 1)) (AND (GEQ s1 1) (GEQ s36 1) (GEQ s46 1) (GEQ s52 1)) (AND (GEQ s1 1) (GEQ s25 1) (GEQ s46 1) (GEQ s53 1)) (AND (GEQ s1 1) (GEQ s38 1) (GEQ s46 1) (GEQ s55 1)) (AND (GEQ s1 1) (GEQ s30 1) (GEQ s46 1) (GEQ s52 1)) (AND (GEQ s1 1) (GEQ s33 1) (GEQ s46 1) (GEQ s56 1)) (AND (GEQ s1 1) (GEQ s36 1) (GEQ s46 1) (GEQ s60 1)) (AND (GEQ s1 1) (GEQ s28 1) (GEQ s46 1) (GEQ s57 1)) (AND (GEQ s1 1) (GEQ s41 1) (GEQ s46 1) (GEQ s60 1)) (AND (GEQ s1 1) (GEQ s41 1) (GEQ s46 1) (GEQ s59 1)) (AND (GEQ s1 1) (GEQ s34 1) (GEQ s46 1) (GEQ s57 1)) (AND (GEQ s1 1) (GEQ s27 1) (GEQ s46 1) (GEQ s55 1)) (AND (GEQ s1 1) (GEQ s29 1) (GEQ s46 1) (GEQ s58 1)) (AND (GEQ s1 1) (GEQ s41 1) (GEQ s46 1) (GEQ s51 1)) (AND (GEQ s1 1) (GEQ s39 1) (GEQ s46 1) (GEQ s56 1)) (AND (GEQ s1 1) (GEQ s44 1) (GEQ s46 1) (GEQ s55 1)) (AND (GEQ s1 1) (GEQ s37 1) (GEQ s46 1) (GEQ s53 1)) (AND (GEQ s1 1) (GEQ s32 1) (GEQ s46 1) (GEQ s54 1)) (AND (GEQ s1 1) (GEQ s29 1) (GEQ s46 1) (GEQ s50 1)) (AND (GEQ s1 1) (GEQ s42 1) (GEQ s46 1) (GEQ s52 1)) (AND (GEQ s1 1) (GEQ s35 1) (GEQ s46 1) (GEQ s58 1)) (AND (GEQ s1 1) (GEQ s40 1) (GEQ s46 1) (GEQ s57 1)) (AND (GEQ s1 1) (GEQ s28 1) (GEQ s46 1) (GEQ s50 1)) (AND (GEQ s1 1) (GEQ s42 1) (GEQ s46 1) (GEQ s60 1)) (AND (GEQ s1 1) (GEQ s26 1) (GEQ s46 1) (GEQ s53 1)) (AND (GEQ s1 1) (GEQ s35 1) (GEQ s46 1) (GEQ s50 1)) (AND (GEQ s1 1) (GEQ s25 1) (GEQ s46 1) (GEQ s60 1)) (AND (GEQ s1 1) (GEQ s31 1) (GEQ s46 1) (GEQ s52 1)) (AND (GEQ s1 1) (GEQ s30 1) (GEQ s46 1) (GEQ s59 1)) (AND (GEQ s1 1) (GEQ s43 1) (GEQ s46 1) (GEQ s55 1)) (AND (GEQ s1 1) (GEQ s36 1) (GEQ s46 1) (GEQ s51 1)) (AND (GEQ s1 1) (GEQ s44 1) (GEQ s46 1) (GEQ s57 1)) (AND (GEQ s1 1) (GEQ s25 1) (GEQ s46 1) (GEQ s52 1)) (AND (GEQ s1 1) (GEQ s38 1) (GEQ s46 1) (GEQ s56 1)) (AND (GEQ s1 1) (GEQ s30 1) (GEQ s46 1) (GEQ s51 1)) (AND (GEQ s1 1) (GEQ s31 1) (GEQ s46 1) (GEQ s60 1)) (AND (GEQ s1 1) (GEQ s36 1) (GEQ s46 1) (GEQ s59 1)) (AND (GEQ s1 1) (GEQ s33 1) (GEQ s46 1) (GEQ s57 1)) (AND (GEQ s1 1) (GEQ s28 1) (GEQ s46 1) (GEQ s58 1)) (AND (GEQ s1 1) (GEQ s41 1) (GEQ s46 1) (GEQ s58 1)) (AND (GEQ s1 1) (GEQ s34 1) (GEQ s46 1) (GEQ s58 1)) (AND (GEQ s1 1) (GEQ s27 1) (GEQ s46 1) (GEQ s54 1)) (AND (GEQ s1 1) (GEQ s29 1) (GEQ s46 1) (GEQ s59 1)) (AND (GEQ s1 1) (GEQ s39 1) (GEQ s46 1) (GEQ s57 1)) (AND (GEQ s1 1) (GEQ s41 1) (GEQ s46 1) (GEQ s50 1)) (AND (GEQ s1 1) (GEQ s44 1) (GEQ s46 1) (GEQ s56 1)) (AND (GEQ s1 1) (GEQ s37 1) (GEQ s46 1) (GEQ s52 1)) (AND (GEQ s1 1) (GEQ s32 1) (GEQ s46 1) (GEQ s53 1)) (AND (GEQ s1 1) (GEQ s34 1) (GEQ s46 1) (GEQ s50 1)) (AND (GEQ s1 1) (GEQ s37 1) (GEQ s46 1) (GEQ s60 1)) (AND (GEQ s1 1) (GEQ s29 1) (GEQ s46 1) (GEQ s51 1)) (AND (GEQ s1 1) (GEQ s42 1) (GEQ s46 1) (GEQ s51 1)) (AND (GEQ s1 1) (GEQ s28 1) (GEQ s46 1) (GEQ s51 1)) (AND (GEQ s1 1) (GEQ s40 1) (GEQ s46 1) (GEQ s60 1)) (AND (GEQ s1 1) (GEQ s26 1) (GEQ s46 1) (GEQ s52 1)) (AND (GEQ s1 1) (GEQ s35 1) (GEQ s46 1) (GEQ s57 1)) (AND (GEQ s1 1) (GEQ s40 1) (GEQ s46 1) (GEQ s52 1)) (AND (GEQ s1 1) (GEQ s31 1) (GEQ s46 1) (GEQ s55 1)) (AND (GEQ s1 1) (GEQ s36 1) (GEQ s46 1) (GEQ s50 1)) (AND (GEQ s1 1) (GEQ s25 1) (GEQ s46 1) (GEQ s59 1)) (AND (GEQ s1 1) (GEQ s26 1) (GEQ s46 1) (GEQ s60 1)) (AND (GEQ s1 1) (GEQ s30 1) (GEQ s46 1) (GEQ s54 1)) (AND (GEQ s1 1) (GEQ s43 1) (GEQ s46 1) (GEQ s52 1)) (AND (GEQ s1 1) (GEQ s38 1) (GEQ s46 1) (GEQ s57 1)) (AND (GEQ s1 1) (GEQ s36 1) (GEQ s46 1) (GEQ s58 1)) (AND (GEQ s1 1) (GEQ s25 1) (GEQ s46 1) (GEQ s51 1)) (AND (GEQ s1 1) (GEQ s33 1) (GEQ s46 1) (GEQ s54 1)) (AND (GEQ s1 1) (GEQ s28 1) (GEQ s46 1) (GEQ s59 1)) (AND (GEQ s1 1) (GEQ s34 1) (GEQ s46 1) (GEQ s55 1)) (AND (GEQ s1 1) (GEQ s29 1) (GEQ s46 1) (GEQ s60 1)) (AND (GEQ s1 1) (GEQ s27 1) (GEQ s46 1) (GEQ s53 1)) (AND (GEQ s1 1) (GEQ s41 1) (GEQ s46 1) (GEQ s53 1)) (AND (GEQ s1 1) (GEQ s39 1) (GEQ s46 1) (GEQ s50 1)) (AND (GEQ s1 1) (GEQ s44 1) (GEQ s46 1) (GEQ s53 1)) (AND (GEQ s1 1) (GEQ s39 1) (GEQ s46 1) (GEQ s58 1)) (AND (GEQ s1 1) (GEQ s32 1) (GEQ s46 1) (GEQ s56 1)) (AND (GEQ s1 1) (GEQ s37 1) (GEQ s46 1) (GEQ s51 1)) (AND (GEQ s1 1) (GEQ s29 1) (GEQ s46 1) (GEQ s52 1)) (AND (GEQ s1 1) (GEQ s37 1) (GEQ s46 1) (GEQ s59 1)) (AND (GEQ s1 1) (GEQ s42 1) (GEQ s46 1) (GEQ s54 1)) (AND (GEQ s1 1) (GEQ s28 1) (GEQ s46 1) (GEQ s52 1)) (AND (GEQ s1 1) (GEQ s40 1) (GEQ s46 1) (GEQ s59 1)) (AND (GEQ s1 1) (GEQ s26 1) (GEQ s46 1) (GEQ s51 1)) (AND (GEQ s1 1) (GEQ s35 1) (GEQ s46 1) (GEQ s56 1)) (AND (GEQ s1 1) (GEQ s40 1) (GEQ s46 1) (GEQ s51 1)) (AND (GEQ s1 1) (GEQ s31 1) (GEQ s46 1) (GEQ s54 1)) (AND (GEQ s1 1) (GEQ s25 1) (GEQ s46 1) (GEQ s58 1)) (AND (GEQ s1 1) (GEQ s26 1) (GEQ s46 1) (GEQ s59 1)) (AND (GEQ s1 1) (GEQ s30 1) (GEQ s46 1) (GEQ s53 1)) (AND (GEQ s1 1) (GEQ s38 1) (GEQ s46 1) (GEQ s58 1)) (AND (GEQ s1 1) (GEQ s43 1) (GEQ s46 1) (GEQ s53 1)) (AND (GEQ s1 1) (GEQ s36 1) (GEQ s46 1) (GEQ s57 1)) (AND (GEQ s1 1) (GEQ s25 1) (GEQ s46 1) (GEQ s50 1)) (AND (GEQ s1 1) (GEQ s33 1) (GEQ s46 1) (GEQ s55 1)) (AND (GEQ s1 1) (GEQ s28 1) (GEQ s46 1) (GEQ s60 1)) (AND (GEQ s1 1) (GEQ s38 1) (GEQ s46 1) (GEQ s50 1)) (AND (GEQ s1 1) (GEQ s34 1) (GEQ s46 1) (GEQ s56 1)) (AND (GEQ s1 1) (GEQ s27 1) (GEQ s46 1) (GEQ s52 1)) (AND (GEQ s1 1) (GEQ s39 1) (GEQ s46 1) (GEQ s51 1)) (AND (GEQ s1 1) (GEQ s41 1) (GEQ s46 1) (GEQ s52 1)) (AND (GEQ s1 1) (GEQ s39 1) (GEQ s46 1) (GEQ s59 1)) (AND (GEQ s1 1) (GEQ s44 1) (GEQ s46 1) (GEQ s54 1)) (AND (GEQ s1 1) (GEQ s37 1) (GEQ s46 1) (GEQ s50 1)) (AND (GEQ s1 1) (GEQ s32 1) (GEQ s46 1) (GEQ s55 1)) (AND (GEQ s1 1) (GEQ s29 1) (GEQ s46 1) (GEQ s53 1)) (AND (GEQ s1 1) (GEQ s27 1) (GEQ s46 1) (GEQ s60 1)) (AND (GEQ s1 1) (GEQ s42 1) (GEQ s46 1) (GEQ s53 1)) (AND (GEQ s1 1) (GEQ s37 1) (GEQ s46 1) (GEQ s58 1)))], nbAcceptance=1, properties=[trans-labels, explicit-labels, trans-acc, deterministic, no-univ-branch, unambiguous, semi-deterministic, terminal, very-weak, weak, inherently-weak], stateDesc=[null, null, null][false, false, false]]
Entered a terminal (fully accepting) state of product in 1 steps with 0 reset in 0 ms.
FORMULA BridgeAndVehicles-COL-V20P20N10-10 FALSE TECHNIQUES STUTTER_TEST
Treatment of property BridgeAndVehicles-COL-V20P20N10-10 finished in 1068 ms.
Running Spot : CommandLine [args=[/home/mcc/BenchKit/bin//..//ltl2tgba, --hoaf=tv, -f, !(X(F(!p0)))], workingDir=/home/mcc/execution]
Support contains 23 out of 66 places. Attempting structural reductions.
Starting structural reductions, iteration 0 : 66/66 places, 548/548 transitions.
Discarding 1 places :
Symmetric choice reduction at 0 with 1 rule applications. Total rules 1 place count 65 transition count 547
Iterating global reduction 0 with 1 rules applied. Total rules applied 2 place count 65 transition count 547
Applied a total of 2 rules in 5 ms. Remains 65 /66 variables (removed 1) and now considering 547/548 (removed 1) transitions.
[2021-05-08 22:12:17] [INFO ] Flow matrix only has 129 transitions (discarded 418 similar events)
// Phase 1: matrix 129 rows 65 cols
[2021-05-08 22:12:17] [INFO ] Computed 5 place invariants in 2 ms
[2021-05-08 22:12:17] [INFO ] Dead Transitions using invariants and state equation in 231 ms returned []
[2021-05-08 22:12:17] [INFO ] Flow matrix only has 129 transitions (discarded 418 similar events)
// Phase 1: matrix 129 rows 65 cols
[2021-05-08 22:12:17] [INFO ] Computed 5 place invariants in 1 ms
[2021-05-08 22:12:17] [INFO ] Implicit Places using invariants in 107 ms returned []
[2021-05-08 22:12:17] [INFO ] Flow matrix only has 129 transitions (discarded 418 similar events)
// Phase 1: matrix 129 rows 65 cols
[2021-05-08 22:12:17] [INFO ] Computed 5 place invariants in 0 ms
[2021-05-08 22:12:18] [INFO ] State equation strengthened by 22 read => feed constraints.
[2021-05-08 22:12:18] [INFO ] Implicit Places using invariants and state equation in 131 ms returned [23]
Discarding 1 places :
Implicit Place search using SMT with State Equation took 242 ms to find 1 implicit places.
[2021-05-08 22:12:18] [INFO ] Flow matrix only has 129 transitions (discarded 418 similar events)
// Phase 1: matrix 129 rows 64 cols
[2021-05-08 22:12:18] [INFO ] Computed 5 place invariants in 1 ms
[2021-05-08 22:12:18] [INFO ] Dead Transitions using invariants and state equation in 129 ms returned []
Starting structural reductions, iteration 1 : 64/66 places, 547/548 transitions.
Applied a total of 0 rules in 2 ms. Remains 64 /64 variables (removed 0) and now considering 547/547 (removed 0) transitions.
[2021-05-08 22:12:18] [INFO ] Flow matrix only has 129 transitions (discarded 418 similar events)
// Phase 1: matrix 129 rows 64 cols
[2021-05-08 22:12:18] [INFO ] Computed 5 place invariants in 1 ms
[2021-05-08 22:12:18] [INFO ] Dead Transitions using invariants and state equation in 154 ms returned []
Finished structural reductions, in 2 iterations. Remains : 64/66 places, 547/548 transitions.
Stuttering acceptance computed with spot in 56 ms :[p0, p0]
Running random walk in product with property : BridgeAndVehicles-COL-V20P20N10-12 automaton TGBA [mat=[[{ cond=true, acceptance={} source=0 dest: 1}], [{ cond=p0, acceptance={0} source=1 dest: 1}]], initial=0, aps=[p0:(OR (AND (GEQ s0 1) (GEQ s28 1) (GEQ s45 1) (GEQ s48 1)) (AND (GEQ s0 1) (GEQ s35 1) (GEQ s45 1) (GEQ s48 1)) (AND (GEQ s0 1) (GEQ s40 1) (GEQ s45 1) (GEQ s48 1)) (AND (GEQ s0 1) (GEQ s29 1) (GEQ s45 1) (GEQ s48 1)) (AND (GEQ s0 1) (GEQ s42 1) (GEQ s45 1) (GEQ s48 1)) (AND (GEQ s0 1) (GEQ s30 1) (GEQ s45 1) (GEQ s48 1)) (AND (GEQ s0 1) (GEQ s39 1) (GEQ s45 1) (GEQ s48 1)) (AND (GEQ s0 1) (GEQ s43 1) (GEQ s45 1) (GEQ s48 1)) (AND (GEQ s0 1) (GEQ s34 1) (GEQ s45 1) (GEQ s48 1)) (AND (GEQ s0 1) (GEQ s44 1) (GEQ s45 1) (GEQ s48 1)) (AND (GEQ s0 1) (GEQ s25 1) (GEQ s45 1) (GEQ s48 1)) (AND (GEQ s0 1) (GEQ s38 1) (GEQ s45 1) (GEQ s48 1)) (AND (GEQ s0 1) (GEQ s26 1) (GEQ s45 1) (GEQ s48 1)) (AND (GEQ s0 1) (GEQ s37 1) (GEQ s45 1) (GEQ s48 1)) (AND (GEQ s0 1) (GEQ s31 1) (GEQ s45 1) (GEQ s48 1)) (AND (GEQ s0 1) (GEQ s27 1) (GEQ s45 1) (GEQ s48 1)) (AND (GEQ s0 1) (GEQ s33 1) (GEQ s45 1) (GEQ s48 1)) (AND (GEQ s0 1) (GEQ s36 1) (GEQ s45 1) (GEQ s48 1)) (AND (GEQ s0 1) (GEQ s32 1) (GEQ s45 1) (GEQ s48 1)) (AND (GEQ s0 1) (GEQ s41 1) (GEQ s45 1) (GEQ s48 1)))], nbAcceptance=1, properties=[trans-labels, explicit-labels, trans-acc, deterministic, no-univ-branch, unambiguous, semi-deterministic, very-weak, weak, inherently-weak], stateDesc=[null, null][false, false]]
Product exploration explored 100000 steps with 50000 reset in 311 ms.
Product exploration explored 100000 steps with 50000 reset in 483 ms.
Knowledge obtained : [(NOT p0)]
Stuttering acceptance computed with spot in 76 ms :[p0, p0]
Product exploration explored 100000 steps with 50000 reset in 476 ms.
Product exploration explored 100000 steps with 50000 reset in 541 ms.
Applying partial POR strategy [false, true]
Stuttering acceptance computed with spot in 89 ms :[p0, p0]
Support contains 23 out of 64 places. Attempting structural reductions.
Property had overlarge support with respect to TGBA, discarding it for now.
Starting structural reductions, iteration 0 : 64/64 places, 547/547 transitions.
Applied a total of 0 rules in 47 ms. Remains 64 /64 variables (removed 0) and now considering 547/547 (removed 0) transitions.
[2021-05-08 22:12:20] [INFO ] Flow matrix only has 129 transitions (discarded 418 similar events)
// Phase 1: matrix 129 rows 64 cols
[2021-05-08 22:12:20] [INFO ] Computed 5 place invariants in 0 ms
[2021-05-08 22:12:20] [INFO ] Dead Transitions using invariants and state equation in 214 ms returned []
[2021-05-08 22:12:20] [INFO ] Redundant transitions in 3 ms returned []
[2021-05-08 22:12:20] [INFO ] Flow matrix only has 129 transitions (discarded 418 similar events)
// Phase 1: matrix 129 rows 64 cols
[2021-05-08 22:12:20] [INFO ] Computed 5 place invariants in 6 ms
[2021-05-08 22:12:21] [INFO ] Dead Transitions using invariants and state equation in 215 ms returned []
Finished structural reductions, in 1 iterations. Remains : 64/64 places, 547/547 transitions.
Product exploration explored 100000 steps with 50000 reset in 894 ms.
Product exploration explored 100000 steps with 50000 reset in 907 ms.
[2021-05-08 22:12:23] [INFO ] Flatten gal took : 29 ms
[2021-05-08 22:12:23] [INFO ] Flatten gal took : 26 ms
[2021-05-08 22:12:23] [INFO ] Time to serialize gal into /tmp/LTL15062823736241218808.gal : 4 ms
[2021-05-08 22:12:23] [INFO ] Time to serialize properties into /tmp/LTL3289445164473414197.ltl : 1 ms
Invoking ITS tools like this :CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64, --gc-threshold, 2000000, -i, /tmp/LTL15062823736241218808.gal, -t, CGAL, -LTL, /tmp/LTL3289445164473414197.ltl, -c, -stutter-deadlock, --gen-order, FOLLOW], workingDir=/home/mcc/execution]

its-ltl command run as :

/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64 --gc-threshold 2000000 -i /tmp/LTL15062823736241218808.gal -t CGAL -LTL /tmp/LTL3289445164473414197.ltl -c -stutter-deadlock --gen-order FOLLOW
Read 1 LTL properties
Checking formula 0 : !((X(F("(((((((((((((((((((((((CAPACITE_0<1)||(NB_ATTENTE_B_4<1))||(ATTENTE_B_0<1))||(CONTROLEUR_1<1))&&((((CAPACITE_0<1)||(NB_ATTENTE_B_11<1))||(ATTENTE_B_0<1))||(CONTROLEUR_1<1)))&&((((CAPACITE_0<1)||(NB_ATTENTE_B_16<1))||(ATTENTE_B_0<1))||(CONTROLEUR_1<1)))&&((((CAPACITE_0<1)||(NB_ATTENTE_B_5<1))||(ATTENTE_B_0<1))||(CONTROLEUR_1<1)))&&((((CAPACITE_0<1)||(NB_ATTENTE_B_18<1))||(ATTENTE_B_0<1))||(CONTROLEUR_1<1)))&&((((CAPACITE_0<1)||(NB_ATTENTE_B_6<1))||(ATTENTE_B_0<1))||(CONTROLEUR_1<1)))&&((((CAPACITE_0<1)||(NB_ATTENTE_B_15<1))||(ATTENTE_B_0<1))||(CONTROLEUR_1<1)))&&((((CAPACITE_0<1)||(NB_ATTENTE_B_19<1))||(ATTENTE_B_0<1))||(CONTROLEUR_1<1)))&&((((CAPACITE_0<1)||(NB_ATTENTE_B_10<1))||(ATTENTE_B_0<1))||(CONTROLEUR_1<1)))&&((((CAPACITE_0<1)||(NB_ATTENTE_B_20<1))||(ATTENTE_B_0<1))||(CONTROLEUR_1<1)))&&((((CAPACITE_0<1)||(NB_ATTENTE_B_1<1))||(ATTENTE_B_0<1))||(CONTROLEUR_1<1)))&&((((CAPACITE_0<1)||(NB_ATTENTE_B_14<1))||(ATTENTE_B_0<1))||(CONTROLEUR_1<1)))&&((((CAPACITE_0<1)||(NB_ATTENTE_B_2<1))||(ATTENTE_B_0<1))||(CONTROLEUR_1<1)))&&((((CAPACITE_0<1)||(NB_ATTENTE_B_13<1))||(ATTENTE_B_0<1))||(CONTROLEUR_1<1)))&&((((CAPACITE_0<1)||(NB_ATTENTE_B_7<1))||(ATTENTE_B_0<1))||(CONTROLEUR_1<1)))&&((((CAPACITE_0<1)||(NB_ATTENTE_B_3<1))||(ATTENTE_B_0<1))||(CONTROLEUR_1<1)))&&((((CAPACITE_0<1)||(NB_ATTENTE_B_9<1))||(ATTENTE_B_0<1))||(CONTROLEUR_1<1)))&&((((CAPACITE_0<1)||(NB_ATTENTE_B_12<1))||(ATTENTE_B_0<1))||(CONTROLEUR_1<1)))&&((((CAPACITE_0<1)||(NB_ATTENTE_B_8<1))||(ATTENTE_B_0<1))||(CONTROLEUR_1<1)))&&((((CAPACITE_0<1)||(NB_ATTENTE_B_17<1))||(ATTENTE_B_0<1))||(CONTROLEUR_1<1)))"))))
Formula 0 simplified : !XF"(((((((((((((((((((((((CAPACITE_0<1)||(NB_ATTENTE_B_4<1))||(ATTENTE_B_0<1))||(CONTROLEUR_1<1))&&((((CAPACITE_0<1)||(NB_ATTENTE_B_11<1))||(ATTENTE_B_0<1))||(CONTROLEUR_1<1)))&&((((CAPACITE_0<1)||(NB_ATTENTE_B_16<1))||(ATTENTE_B_0<1))||(CONTROLEUR_1<1)))&&((((CAPACITE_0<1)||(NB_ATTENTE_B_5<1))||(ATTENTE_B_0<1))||(CONTROLEUR_1<1)))&&((((CAPACITE_0<1)||(NB_ATTENTE_B_18<1))||(ATTENTE_B_0<1))||(CONTROLEUR_1<1)))&&((((CAPACITE_0<1)||(NB_ATTENTE_B_6<1))||(ATTENTE_B_0<1))||(CONTROLEUR_1<1)))&&((((CAPACITE_0<1)||(NB_ATTENTE_B_15<1))||(ATTENTE_B_0<1))||(CONTROLEUR_1<1)))&&((((CAPACITE_0<1)||(NB_ATTENTE_B_19<1))||(ATTENTE_B_0<1))||(CONTROLEUR_1<1)))&&((((CAPACITE_0<1)||(NB_ATTENTE_B_10<1))||(ATTENTE_B_0<1))||(CONTROLEUR_1<1)))&&((((CAPACITE_0<1)||(NB_ATTENTE_B_20<1))||(ATTENTE_B_0<1))||(CONTROLEUR_1<1)))&&((((CAPACITE_0<1)||(NB_ATTENTE_B_1<1))||(ATTENTE_B_0<1))||(CONTROLEUR_1<1)))&&((((CAPACITE_0<1)||(NB_ATTENTE_B_14<1))||(ATTENTE_B_0<1))||(CONTROLEUR_1<1)))&&((((CAPACITE_0<1)||(NB_ATTENTE_B_2<1))||(ATTENTE_B_0<1))||(CONTROLEUR_1<1)))&&((((CAPACITE_0<1)||(NB_ATTENTE_B_13<1))||(ATTENTE_B_0<1))||(CONTROLEUR_1<1)))&&((((CAPACITE_0<1)||(NB_ATTENTE_B_7<1))||(ATTENTE_B_0<1))||(CONTROLEUR_1<1)))&&((((CAPACITE_0<1)||(NB_ATTENTE_B_3<1))||(ATTENTE_B_0<1))||(CONTROLEUR_1<1)))&&((((CAPACITE_0<1)||(NB_ATTENTE_B_9<1))||(ATTENTE_B_0<1))||(CONTROLEUR_1<1)))&&((((CAPACITE_0<1)||(NB_ATTENTE_B_12<1))||(ATTENTE_B_0<1))||(CONTROLEUR_1<1)))&&((((CAPACITE_0<1)||(NB_ATTENTE_B_8<1))||(ATTENTE_B_0<1))||(CONTROLEUR_1<1)))&&((((CAPACITE_0<1)||(NB_ATTENTE_B_17<1))||(ATTENTE_B_0<1))||(CONTROLEUR_1<1)))"
Detected timeout of ITS tools.
[2021-05-08 22:12:38] [INFO ] Flatten gal took : 44 ms
[2021-05-08 22:12:38] [INFO ] Applying decomposition
[2021-05-08 22:12:38] [INFO ] Flatten gal took : 34 ms
Converted graph to binary with : CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.louvain.binaries_1.0.0.202104292328/bin/convert-linux64, -i, /tmp/graph14683881875342672957.txt, -o, /tmp/graph14683881875342672957.bin, -w, /tmp/graph14683881875342672957.weights], workingDir=null]
Built communities with : CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.louvain.binaries_1.0.0.202104292328/bin/louvain-linux64, /tmp/graph14683881875342672957.bin, -l, -1, -v, -w, /tmp/graph14683881875342672957.weights, -q, 0, -e, 0.001], workingDir=null]
[2021-05-08 22:12:38] [INFO ] Decomposing Gal with order
[2021-05-08 22:12:38] [INFO ] Rewriting arrays to variables to allow decomposition.
[2021-05-08 22:12:38] [INFO ] Removed a total of 992 redundant transitions.
[2021-05-08 22:12:38] [INFO ] Flatten gal took : 189 ms
[2021-05-08 22:12:38] [INFO ] Fuse similar labels procedure discarded/fused a total of 175 labels/synchronizations in 11 ms.
[2021-05-08 22:12:38] [INFO ] Time to serialize gal into /tmp/LTL10810073717295459280.gal : 2 ms
[2021-05-08 22:12:38] [INFO ] Time to serialize properties into /tmp/LTL12743696012810279094.ltl : 1 ms
Invoking ITS tools like this :CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64, --gc-threshold, 2000000, -i, /tmp/LTL10810073717295459280.gal, -t, CGAL, -LTL, /tmp/LTL12743696012810279094.ltl, -c, -stutter-deadlock], workingDir=/home/mcc/execution]

its-ltl command run as :

/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64 --gc-threshold 2000000 -i /tmp/LTL10810073717295459280.gal -t CGAL -LTL /tmp/LTL12743696012810279094.ltl -c -stutter-deadlock
Read 1 LTL properties
Checking formula 0 : !((X(F("(((((((((((((((((((((((i0.u0.CAPACITE_0<1)||(i1.u4.NB_ATTENTE_B_4<1))||(i0.u1.ATTENTE_B_0<1))||(u3.CONTROLEUR_1<1))&&((((i0.u0.CAPACITE_0<1)||(i1.u4.NB_ATTENTE_B_11<1))||(i0.u1.ATTENTE_B_0<1))||(u3.CONTROLEUR_1<1)))&&((((i0.u0.CAPACITE_0<1)||(i1.u4.NB_ATTENTE_B_16<1))||(i0.u1.ATTENTE_B_0<1))||(u3.CONTROLEUR_1<1)))&&((((i0.u0.CAPACITE_0<1)||(i1.u4.NB_ATTENTE_B_5<1))||(i0.u1.ATTENTE_B_0<1))||(u3.CONTROLEUR_1<1)))&&((((i0.u0.CAPACITE_0<1)||(i1.u4.NB_ATTENTE_B_18<1))||(i0.u1.ATTENTE_B_0<1))||(u3.CONTROLEUR_1<1)))&&((((i0.u0.CAPACITE_0<1)||(i1.u4.NB_ATTENTE_B_6<1))||(i0.u1.ATTENTE_B_0<1))||(u3.CONTROLEUR_1<1)))&&((((i0.u0.CAPACITE_0<1)||(i1.u4.NB_ATTENTE_B_15<1))||(i0.u1.ATTENTE_B_0<1))||(u3.CONTROLEUR_1<1)))&&((((i0.u0.CAPACITE_0<1)||(i1.u4.NB_ATTENTE_B_19<1))||(i0.u1.ATTENTE_B_0<1))||(u3.CONTROLEUR_1<1)))&&((((i0.u0.CAPACITE_0<1)||(i1.u4.NB_ATTENTE_B_10<1))||(i0.u1.ATTENTE_B_0<1))||(u3.CONTROLEUR_1<1)))&&((((i0.u0.CAPACITE_0<1)||(i1.u4.NB_ATTENTE_B_20<1))||(i0.u1.ATTENTE_B_0<1))||(u3.CONTROLEUR_1<1)))&&((((i0.u0.CAPACITE_0<1)||(i1.u4.NB_ATTENTE_B_1<1))||(i0.u1.ATTENTE_B_0<1))||(u3.CONTROLEUR_1<1)))&&((((i0.u0.CAPACITE_0<1)||(i1.u4.NB_ATTENTE_B_14<1))||(i0.u1.ATTENTE_B_0<1))||(u3.CONTROLEUR_1<1)))&&((((i0.u0.CAPACITE_0<1)||(i1.u4.NB_ATTENTE_B_2<1))||(i0.u1.ATTENTE_B_0<1))||(u3.CONTROLEUR_1<1)))&&((((i0.u0.CAPACITE_0<1)||(i1.u4.NB_ATTENTE_B_13<1))||(i0.u1.ATTENTE_B_0<1))||(u3.CONTROLEUR_1<1)))&&((((i0.u0.CAPACITE_0<1)||(i1.u4.NB_ATTENTE_B_7<1))||(i0.u1.ATTENTE_B_0<1))||(u3.CONTROLEUR_1<1)))&&((((i0.u0.CAPACITE_0<1)||(i1.u4.NB_ATTENTE_B_3<1))||(i0.u1.ATTENTE_B_0<1))||(u3.CONTROLEUR_1<1)))&&((((i0.u0.CAPACITE_0<1)||(i1.u4.NB_ATTENTE_B_9<1))||(i0.u1.ATTENTE_B_0<1))||(u3.CONTROLEUR_1<1)))&&((((i0.u0.CAPACITE_0<1)||(i1.u4.NB_ATTENTE_B_12<1))||(i0.u1.ATTENTE_B_0<1))||(u3.CONTROLEUR_1<1)))&&((((i0.u0.CAPACITE_0<1)||(i1.u4.NB_ATTENTE_B_8<1))||(i0.u1.ATTENTE_B_0<1))||(u3.CONTROLEUR_1<1)))&&((((i0.u0.CAPACITE_0<1)||(i1.u4.NB_ATTENTE_B_17<1))||(i0.u1.ATTENTE_B_0<1))||(u3.CONTROLEUR_1<1)))"))))
Formula 0 simplified : !XF"(((((((((((((((((((((((i0.u0.CAPACITE_0<1)||(i1.u4.NB_ATTENTE_B_4<1))||(i0.u1.ATTENTE_B_0<1))||(u3.CONTROLEUR_1<1))&&((((i0.u0.CAPACITE_0<1)||(i1.u4.NB_ATTENTE_B_11<1))||(i0.u1.ATTENTE_B_0<1))||(u3.CONTROLEUR_1<1)))&&((((i0.u0.CAPACITE_0<1)||(i1.u4.NB_ATTENTE_B_16<1))||(i0.u1.ATTENTE_B_0<1))||(u3.CONTROLEUR_1<1)))&&((((i0.u0.CAPACITE_0<1)||(i1.u4.NB_ATTENTE_B_5<1))||(i0.u1.ATTENTE_B_0<1))||(u3.CONTROLEUR_1<1)))&&((((i0.u0.CAPACITE_0<1)||(i1.u4.NB_ATTENTE_B_18<1))||(i0.u1.ATTENTE_B_0<1))||(u3.CONTROLEUR_1<1)))&&((((i0.u0.CAPACITE_0<1)||(i1.u4.NB_ATTENTE_B_6<1))||(i0.u1.ATTENTE_B_0<1))||(u3.CONTROLEUR_1<1)))&&((((i0.u0.CAPACITE_0<1)||(i1.u4.NB_ATTENTE_B_15<1))||(i0.u1.ATTENTE_B_0<1))||(u3.CONTROLEUR_1<1)))&&((((i0.u0.CAPACITE_0<1)||(i1.u4.NB_ATTENTE_B_19<1))||(i0.u1.ATTENTE_B_0<1))||(u3.CONTROLEUR_1<1)))&&((((i0.u0.CAPACITE_0<1)||(i1.u4.NB_ATTENTE_B_10<1))||(i0.u1.ATTENTE_B_0<1))||(u3.CONTROLEUR_1<1)))&&((((i0.u0.CAPACITE_0<1)||(i1.u4.NB_ATTENTE_B_20<1))||(i0.u1.ATTENTE_B_0<1))||(u3.CONTROLEUR_1<1)))&&((((i0.u0.CAPACITE_0<1)||(i1.u4.NB_ATTENTE_B_1<1))||(i0.u1.ATTENTE_B_0<1))||(u3.CONTROLEUR_1<1)))&&((((i0.u0.CAPACITE_0<1)||(i1.u4.NB_ATTENTE_B_14<1))||(i0.u1.ATTENTE_B_0<1))||(u3.CONTROLEUR_1<1)))&&((((i0.u0.CAPACITE_0<1)||(i1.u4.NB_ATTENTE_B_2<1))||(i0.u1.ATTENTE_B_0<1))||(u3.CONTROLEUR_1<1)))&&((((i0.u0.CAPACITE_0<1)||(i1.u4.NB_ATTENTE_B_13<1))||(i0.u1.ATTENTE_B_0<1))||(u3.CONTROLEUR_1<1)))&&((((i0.u0.CAPACITE_0<1)||(i1.u4.NB_ATTENTE_B_7<1))||(i0.u1.ATTENTE_B_0<1))||(u3.CONTROLEUR_1<1)))&&((((i0.u0.CAPACITE_0<1)||(i1.u4.NB_ATTENTE_B_3<1))||(i0.u1.ATTENTE_B_0<1))||(u3.CONTROLEUR_1<1)))&&((((i0.u0.CAPACITE_0<1)||(i1.u4.NB_ATTENTE_B_9<1))||(i0.u1.ATTENTE_B_0<1))||(u3.CONTROLEUR_1<1)))&&((((i0.u0.CAPACITE_0<1)||(i1.u4.NB_ATTENTE_B_12<1))||(i0.u1.ATTENTE_B_0<1))||(u3.CONTROLEUR_1<1)))&&((((i0.u0.CAPACITE_0<1)||(i1.u4.NB_ATTENTE_B_8<1))||(i0.u1.ATTENTE_B_0<1))||(u3.CONTROLEUR_1<1)))&&((((i0.u0.CAPACITE_0<1)||(i1.u4.NB_ATTENTE_B_17<1))||(i0.u1.ATTENTE_B_0<1))||(u3.CONTROLEUR_1<1)))"
Detected timeout of ITS tools.
Built C files in :
/tmp/ltsmin1996926965662725647
[2021-05-08 22:12:53] [INFO ] Built C files in 6ms conformant to PINS (ltsmin variant)in folder :/tmp/ltsmin1996926965662725647
Running compilation step : CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/include/, -I., -std=c99, -fPIC, -O2, model.c], workingDir=/tmp/ltsmin1996926965662725647]
WARNING : LTS min runner thread failed on error :java.lang.RuntimeException: Compilation or link of executable timed out.java.util.concurrent.TimeoutException: Subprocess running CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/include/, -I., -std=c99, -fPIC, -O2, model.c], workingDir=/tmp/ltsmin1996926965662725647] killed by timeout after 1 SECONDS
java.lang.RuntimeException: Compilation or link of executable timed out.java.util.concurrent.TimeoutException: Subprocess running CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/include/, -I., -std=c99, -fPIC, -O2, model.c], workingDir=/tmp/ltsmin1996926965662725647] killed by timeout after 1 SECONDS
at fr.lip6.move.gal.application.LTSminRunner$1.run(LTSminRunner.java:79)
at java.base/java.lang.Thread.run(Thread.java:834)
Treatment of property BridgeAndVehicles-COL-V20P20N10-12 finished in 37010 ms.
Running Spot : CommandLine [args=[/home/mcc/BenchKit/bin//..//ltl2tgba, --hoaf=tv, -f, !((F(p0)&&X(G((G(p1)||X(X(p0)))))))], workingDir=/home/mcc/execution]
Support contains 34 out of 66 places. Attempting structural reductions.
Starting structural reductions, iteration 0 : 66/66 places, 548/548 transitions.
Discarding 1 places :
Symmetric choice reduction at 0 with 1 rule applications. Total rules 1 place count 65 transition count 547
Iterating global reduction 0 with 1 rules applied. Total rules applied 2 place count 65 transition count 547
Applied a total of 2 rules in 8 ms. Remains 65 /66 variables (removed 1) and now considering 547/548 (removed 1) transitions.
[2021-05-08 22:12:54] [INFO ] Flow matrix only has 129 transitions (discarded 418 similar events)
// Phase 1: matrix 129 rows 65 cols
[2021-05-08 22:12:54] [INFO ] Computed 5 place invariants in 1 ms
[2021-05-08 22:12:55] [INFO ] Dead Transitions using invariants and state equation in 436 ms returned []
[2021-05-08 22:12:55] [INFO ] Flow matrix only has 129 transitions (discarded 418 similar events)
// Phase 1: matrix 129 rows 65 cols
[2021-05-08 22:12:55] [INFO ] Computed 5 place invariants in 0 ms
[2021-05-08 22:12:55] [INFO ] Implicit Places using invariants in 62 ms returned []
[2021-05-08 22:12:55] [INFO ] Flow matrix only has 129 transitions (discarded 418 similar events)
// Phase 1: matrix 129 rows 65 cols
[2021-05-08 22:12:55] [INFO ] Computed 5 place invariants in 1 ms
[2021-05-08 22:12:55] [INFO ] State equation strengthened by 22 read => feed constraints.
[2021-05-08 22:12:55] [INFO ] Implicit Places using invariants and state equation in 161 ms returned [23, 46]
Discarding 2 places :
Implicit Place search using SMT with State Equation took 226 ms to find 2 implicit places.
[2021-05-08 22:12:55] [INFO ] Flow matrix only has 129 transitions (discarded 418 similar events)
// Phase 1: matrix 129 rows 63 cols
[2021-05-08 22:12:55] [INFO ] Computed 5 place invariants in 1 ms
[2021-05-08 22:12:55] [INFO ] Dead Transitions using invariants and state equation in 238 ms returned []
Starting structural reductions, iteration 1 : 63/66 places, 547/548 transitions.
Applied a total of 0 rules in 2 ms. Remains 63 /63 variables (removed 0) and now considering 547/547 (removed 0) transitions.
[2021-05-08 22:12:55] [INFO ] Flow matrix only has 129 transitions (discarded 418 similar events)
// Phase 1: matrix 129 rows 63 cols
[2021-05-08 22:12:55] [INFO ] Computed 5 place invariants in 1 ms
[2021-05-08 22:12:55] [INFO ] Dead Transitions using invariants and state equation in 194 ms returned []
Finished structural reductions, in 2 iterations. Remains : 63/66 places, 547/548 transitions.
Stuttering acceptance computed with spot in 287 ms :[(NOT p0), (NOT p0), (AND (NOT p1) (NOT p0)), (NOT p0), (AND (NOT p0) (NOT p1)), (NOT p0), true, (AND (NOT p0) (NOT p1)), (AND p0 (NOT p1))]
Running random walk in product with property : BridgeAndVehicles-COL-V20P20N10-13 automaton TGBA [mat=[[{ cond=(NOT p0), acceptance={} source=0 dest: 1}, { cond=true, acceptance={} source=0 dest: 2}], [{ cond=(NOT p0), acceptance={0} source=1 dest: 1}], [{ cond=true, acceptance={} source=2 dest: 2}, { cond=(NOT p1), acceptance={} source=2 dest: 3}, { cond=p1, acceptance={} source=2 dest: 4}], [{ cond=true, acceptance={} source=3 dest: 5}], [{ cond=(NOT p1), acceptance={} source=4 dest: 5}, { cond=p1, acceptance={} source=4 dest: 7}], [{ cond=(NOT p0), acceptance={} source=5 dest: 6}], [{ cond=true, acceptance={0} source=6 dest: 6}], [{ cond=(AND (NOT p0) (NOT p1)), acceptance={} source=7 dest: 6}, { cond=(AND (NOT p0) p1), acceptance={} source=7 dest: 8}], [{ cond=(AND p0 (NOT p1)), acceptance={} source=8 dest: 6}, { cond=(AND p0 p1), acceptance={} source=8 dest: 8}]], initial=0, aps=[p0:(AND (OR (LT s5 1) (LT s22 1)) (OR (LT s10 1) (LT s22 1)) (OR (LT s20 1) (LT s22 1)) (OR (LT s3 1) (LT s22 1)) (OR (LT s7 1) (LT s22 1)) (OR (LT s2 1) (LT s22 1)) (OR (LT s13 1) (LT s22 1)) (OR (LT s19 1) (LT s22 1)) (OR (LT s17 1) (LT s22 1)) (OR (LT s15 1) (LT s22 1)) (OR (LT s16 1) (LT s22 1)) (OR (LT s21 1) (LT s22 1)) (OR (LT s11 1) (LT s22 1)) (OR (LT s9 1) (LT s22 1)) (OR (LT s4 1) (LT s22 1)) (OR (LT s6 1) (LT s22 1)) (OR (LT s8 1) (LT s22 1)) (OR (LT s18 1) (LT s22 1)) (OR (LT s1 1) (LT s22 1)) (OR (LT s12 1) (LT s22 1)) (OR (LT s14 1) (LT s22 1))), p1:(OR (AND (GEQ s49 1) (GEQ s52 1)) (AND (GEQ s49 1) (GEQ s51 1)) (AND (GEQ s49 1) (GEQ s50 1)) (AND (GEQ s48 1) (GEQ s50 1)) (AND (GEQ s48 1) (GEQ s51 1)) (AND (GEQ s48 1) (GEQ s53 1)) (AND (GEQ s48 1) (GEQ s52 1)) (AND (GEQ s48 1) (GEQ s55 1)) (AND (GEQ s48 1) (GEQ s54 1)) (AND (GEQ s48 1) (GEQ s57 1)) (AND (GEQ s48 1) (GEQ s56 1)) (AND (GEQ s48 1) (GEQ s59 1)) (AND (GEQ s48 1) (GEQ s58 1)) (AND (GEQ s49 1) (GEQ s59 1)) (AND (GEQ s49 1) (GEQ s58 1)) (AND (GEQ s49 1) (GEQ s57 1)) (AND (GEQ s49 1) (GEQ s56 1)) (AND (GEQ s49 1) (GEQ s55 1)) (AND (GEQ s49 1) (GEQ s54 1)) (AND (GEQ s49 1) (GEQ s53 1)))], nbAcceptance=1, properties=[trans-labels, explicit-labels, trans-acc, no-univ-branch, very-weak, weak, inherently-weak], stateDesc=[null, null, null, null, null, null, null, null, null][false, false, false, false, false, false, false, false, false]]
Entered a terminal (fully accepting) state of product in 77 steps with 1 reset in 1 ms.
FORMULA BridgeAndVehicles-COL-V20P20N10-13 FALSE TECHNIQUES STUTTER_TEST
Treatment of property BridgeAndVehicles-COL-V20P20N10-13 finished in 1414 ms.
Running Spot : CommandLine [args=[/home/mcc/BenchKit/bin//..//ltl2tgba, --hoaf=tv, -f, !(G((F((p0&&F(G(!p1)))) U !p1)))], workingDir=/home/mcc/execution]
Support contains 45 out of 66 places. Attempting structural reductions.
Starting structural reductions, iteration 0 : 66/66 places, 548/548 transitions.
Discarding 1 places :
Symmetric choice reduction at 0 with 1 rule applications. Total rules 1 place count 65 transition count 547
Iterating global reduction 0 with 1 rules applied. Total rules applied 2 place count 65 transition count 547
Applied a total of 2 rules in 25 ms. Remains 65 /66 variables (removed 1) and now considering 547/548 (removed 1) transitions.
[2021-05-08 22:12:56] [INFO ] Flow matrix only has 129 transitions (discarded 418 similar events)
// Phase 1: matrix 129 rows 65 cols
[2021-05-08 22:12:56] [INFO ] Computed 5 place invariants in 0 ms
[2021-05-08 22:12:56] [INFO ] Dead Transitions using invariants and state equation in 152 ms returned []
[2021-05-08 22:12:56] [INFO ] Flow matrix only has 129 transitions (discarded 418 similar events)
// Phase 1: matrix 129 rows 65 cols
[2021-05-08 22:12:56] [INFO ] Computed 5 place invariants in 1 ms
[2021-05-08 22:12:56] [INFO ] Implicit Places using invariants in 37 ms returned []
[2021-05-08 22:12:56] [INFO ] Flow matrix only has 129 transitions (discarded 418 similar events)
// Phase 1: matrix 129 rows 65 cols
[2021-05-08 22:12:56] [INFO ] Computed 5 place invariants in 1 ms
[2021-05-08 22:12:56] [INFO ] State equation strengthened by 22 read => feed constraints.
[2021-05-08 22:12:56] [INFO ] Implicit Places using invariants and state equation in 88 ms returned [23]
Discarding 1 places :
Implicit Place search using SMT with State Equation took 127 ms to find 1 implicit places.
[2021-05-08 22:12:56] [INFO ] Redundant transitions in 2 ms returned []
[2021-05-08 22:12:56] [INFO ] Flow matrix only has 129 transitions (discarded 418 similar events)
// Phase 1: matrix 129 rows 64 cols
[2021-05-08 22:12:56] [INFO ] Computed 5 place invariants in 1 ms
[2021-05-08 22:12:56] [INFO ] Dead Transitions using invariants and state equation in 130 ms returned []
Starting structural reductions, iteration 1 : 64/66 places, 547/548 transitions.
Applied a total of 0 rules in 19 ms. Remains 64 /64 variables (removed 0) and now considering 547/547 (removed 0) transitions.
[2021-05-08 22:12:56] [INFO ] Redundant transitions in 2 ms returned []
[2021-05-08 22:12:56] [INFO ] Flow matrix only has 129 transitions (discarded 418 similar events)
// Phase 1: matrix 129 rows 64 cols
[2021-05-08 22:12:56] [INFO ] Computed 5 place invariants in 0 ms
[2021-05-08 22:12:56] [INFO ] Dead Transitions using invariants and state equation in 142 ms returned []
Finished structural reductions, in 2 iterations. Remains : 64/66 places, 547/548 transitions.
Stuttering acceptance computed with spot in 132 ms :[p1, p1, (NOT p0), p1]
Running random walk in product with property : BridgeAndVehicles-COL-V20P20N10-14 automaton TGBA [mat=[[{ cond=true, acceptance={} source=0 dest: 0}, { cond=p1, acceptance={} source=0 dest: 1}, { cond=(AND p1 (NOT p0)), acceptance={} source=0 dest: 2}, { cond=p1, acceptance={} source=0 dest: 3}], [{ cond=(NOT p1), acceptance={} source=1 dest: 1}, { cond=p1, acceptance={0} source=1 dest: 1}], [{ cond=(NOT p0), acceptance={0} source=2 dest: 2}], [{ cond=(AND p1 (NOT p0)), acceptance={} source=3 dest: 2}, { cond=p1, acceptance={0} source=3 dest: 3}]], initial=0, aps=[p1:(AND (OR (LT s5 1) (LT s22 1)) (OR (LT s10 1) (LT s22 1)) (OR (LT s20 1) (LT s22 1)) (OR (LT s3 1) (LT s22 1)) (OR (LT s7 1) (LT s22 1)) (OR (LT s2 1) (LT s22 1)) (OR (LT s13 1) (LT s22 1)) (OR (LT s19 1) (LT s22 1)) (OR (LT s17 1) (LT s22 1)) (OR (LT s15 1) (LT s22 1)) (OR (LT s16 1) (LT s22 1)) (OR (LT s21 1) (LT s22 1)) (OR (LT s11 1) (LT s22 1)) (OR (LT s9 1) (LT s22 1)) (OR (LT s4 1) (LT s22 1)) (OR (LT s6 1) (LT s22 1)) (OR (LT s8 1) (LT s22 1)) (OR (LT s18 1) (LT s22 1)) (OR (LT s1 1) (LT s22 1)) (OR (LT s12 1) (LT s22 1)) (OR (LT s14 1) (LT s22 1))), p0:(OR (AND (GEQ s0 1) (GEQ s28 1) (GEQ s45 1) (GEQ s48 1)) (AND (GEQ s0 1) (GEQ s35 1) (GEQ s45 1) (GEQ s48 1)) (AND (GEQ s0 1) (GEQ s40 1) (GEQ s45 1) (GEQ s48 1)) (AND (GEQ s0 1) (GEQ s29 1) (GEQ s45 1) (GEQ s48 1)) (AND (GEQ s0 1) (GEQ s42 1) (GEQ s45 1) (GEQ s48 1)) (AND (GEQ s0 1) (GEQ s30 1) (GEQ s45 1) (GEQ s48 1)) (AND (GEQ s0 1) (GEQ s39 1) (GEQ s45 1) (GEQ s48 1)) (AND (GEQ s0 1) (GEQ s43 1) (GEQ s45 1) (GEQ s48 1)) (AND (GEQ s0 1) (GEQ s34 1) (GEQ s45 1) (GEQ s48 1)) (AND (GEQ s0 1) (GEQ s44 1) (GEQ s45 1) (GEQ s48 1)) (AND (GEQ s0 1) (GEQ s25 1) (GEQ s45 1) (GEQ s48 1)) (AND (GEQ s0 1) (GEQ s38 1) (GEQ s45 1) (GEQ s48 1)) (AND (GEQ s0 1) (GEQ s26 1) (GEQ s45 1) (GEQ s48 1)) (AND (GEQ s0 1) (GEQ s37 1) (GEQ s45 1) (GEQ s48 1)) (AND (GEQ s0 1) (GEQ s31 1) (GEQ s45 1) (GEQ s48 1)) (AND (GEQ s0 1) (GEQ s27 1) (GEQ s45 1) (GEQ s48 1)) (AND (GEQ s0 1) (GEQ s33 1) (GEQ s45 1) (GEQ s48 1)) (AND (GEQ s0 1) (GEQ s36 1) (GEQ s45 1) (GEQ s48 1)) (AND (GEQ s0 1) (GEQ s32 1) (GEQ s45 1) (GEQ s48 1)) (AND (GEQ s0 1) (GEQ s41 1) (GEQ s45 1) (GEQ s48 1)))], nbAcceptance=1, properties=[trans-labels, explicit-labels, trans-acc, no-univ-branch, stutter-invariant], stateDesc=[null, null, null, null][true, true, true, true]]
Stuttering criterion allowed to conclude after 247 steps with 1 reset in 1 ms.
FORMULA BridgeAndVehicles-COL-V20P20N10-14 FALSE TECHNIQUES STUTTER_TEST
Treatment of property BridgeAndVehicles-COL-V20P20N10-14 finished in 771 ms.
Running Spot : CommandLine [args=[/home/mcc/BenchKit/bin//..//ltl2tgba, --hoaf=tv, -f, !(F(G(((F(p1)||G(!p2))&&p0))))], workingDir=/home/mcc/execution]
Support contains 36 out of 66 places. Attempting structural reductions.
Starting structural reductions, iteration 0 : 66/66 places, 548/548 transitions.
Performed 1 Post agglomeration using F-continuation condition.Transition count delta: 1
Deduced a syphon composed of 1 places in 0 ms
Reduce places removed 1 places and 0 transitions.
Iterating global reduction 0 with 2 rules applied. Total rules applied 2 place count 65 transition count 547
Applied a total of 2 rules in 11 ms. Remains 65 /66 variables (removed 1) and now considering 547/548 (removed 1) transitions.
[2021-05-08 22:12:56] [INFO ] Flow matrix only has 129 transitions (discarded 418 similar events)
// Phase 1: matrix 129 rows 65 cols
[2021-05-08 22:12:56] [INFO ] Computed 5 place invariants in 1 ms
[2021-05-08 22:12:57] [INFO ] Dead Transitions using invariants and state equation in 171 ms returned []
[2021-05-08 22:12:57] [INFO ] Flow matrix only has 129 transitions (discarded 418 similar events)
// Phase 1: matrix 129 rows 65 cols
[2021-05-08 22:12:57] [INFO ] Computed 5 place invariants in 1 ms
[2021-05-08 22:12:57] [INFO ] Implicit Places using invariants in 44 ms returned []
[2021-05-08 22:12:57] [INFO ] Flow matrix only has 129 transitions (discarded 418 similar events)
// Phase 1: matrix 129 rows 65 cols
[2021-05-08 22:12:57] [INFO ] Computed 5 place invariants in 1 ms
[2021-05-08 22:12:57] [INFO ] State equation strengthened by 22 read => feed constraints.
[2021-05-08 22:12:57] [INFO ] Implicit Places using invariants and state equation in 191 ms returned [23, 46]
Discarding 2 places :
Implicit Place search using SMT with State Equation took 238 ms to find 2 implicit places.
[2021-05-08 22:12:57] [INFO ] Redundant transitions in 2 ms returned []
[2021-05-08 22:12:57] [INFO ] Flow matrix only has 129 transitions (discarded 418 similar events)
// Phase 1: matrix 129 rows 63 cols
[2021-05-08 22:12:57] [INFO ] Computed 5 place invariants in 2 ms
[2021-05-08 22:12:57] [INFO ] Dead Transitions using invariants and state equation in 216 ms returned []
Starting structural reductions, iteration 1 : 63/66 places, 547/548 transitions.
Applied a total of 0 rules in 7 ms. Remains 63 /63 variables (removed 0) and now considering 547/547 (removed 0) transitions.
[2021-05-08 22:12:57] [INFO ] Redundant transitions in 2 ms returned []
[2021-05-08 22:12:57] [INFO ] Flow matrix only has 129 transitions (discarded 418 similar events)
// Phase 1: matrix 129 rows 63 cols
[2021-05-08 22:12:57] [INFO ] Computed 5 place invariants in 0 ms
[2021-05-08 22:12:57] [INFO ] Dead Transitions using invariants and state equation in 208 ms returned []
Finished structural reductions, in 2 iterations. Remains : 63/66 places, 547/548 transitions.
Stuttering acceptance computed with spot in 104 ms :[(OR (NOT p0) (AND (NOT p1) p2)), (OR (AND (NOT p0) (NOT p1)) (AND (NOT p1) p2)), (AND (NOT p1) p2)]
Running random walk in product with property : BridgeAndVehicles-COL-V20P20N10-15 automaton TGBA [mat=[[{ cond=(NOT p0), acceptance={0, 1} source=0 dest: 0}, { cond=p0, acceptance={0} source=0 dest: 0}, { cond=(AND p0 (NOT p1) p2), acceptance={} source=0 dest: 1}, { cond=(AND p0 (NOT p1) (NOT p2)), acceptance={} source=0 dest: 2}], [{ cond=(AND p0 (NOT p1) (NOT p2)), acceptance={0} source=1 dest: 1}, { cond=(OR (AND (NOT p0) (NOT p1)) (AND (NOT p1) p2)), acceptance={0, 1} source=1 dest: 1}, { cond=(AND p0 (NOT p1) (NOT p2)), acceptance={1} source=1 dest: 2}], [{ cond=(AND (NOT p1) p2), acceptance={0, 1} source=2 dest: 1}, { cond=(AND (NOT p1) (NOT p2)), acceptance={1} source=2 dest: 2}]], initial=0, aps=[p0:(AND (OR (LT s49 1) (LT s52 1)) (OR (LT s49 1) (LT s51 1)) (OR (LT s49 1) (LT s50 1)) (OR (LT s48 1) (LT s50 1)) (OR (LT s48 1) (LT s51 1)) (OR (LT s48 1) (LT s53 1)) (OR (LT s48 1) (LT s52 1)) (OR (LT s48 1) (LT s55 1)) (OR (LT s48 1) (LT s54 1)) (OR (LT s48 1) (LT s57 1)) (OR (LT s48 1) (LT s56 1)) (OR (LT s48 1) (LT s59 1)) (OR (LT s48 1) (LT s58 1)) (OR (LT s49 1) (LT s59 1)) (OR (LT s49 1) (LT s58 1)) (OR (LT s49 1) (LT s57 1)) (OR (LT s49 1) (LT s56 1)) (OR (LT s49 1) (LT s55 1)) (OR (LT s49 1) (LT s54 1)) (OR (LT s49 1) (LT s53 1))), p1:(AND (OR (LT s1 1) (LT s40 1) (LT s46 1) (LT s54 1)) (OR (LT s1 1) (LT s28 1) (LT s46 1) (LT s53 1)) (OR (LT s1 1) (LT s26 1) (LT s46 1) (LT s50 1)) (OR (LT s1 1) (LT s30 1) (LT s46 1) (LT s56 1)) (OR (LT s1 1) (LT s26 1) (LT s46 1) (LT s58 1)) (OR (LT s1 1) (LT s35 1) (LT s46 1) (LT s55 1)) (OR (LT s1 1) (LT s25 1) (LT s46 1) (LT s57 1)) (OR (LT s1 1) (LT s38 1) (LT s46 1) (LT s59 1)) (OR (LT s1 1) (LT s44 1) (LT s46 1) (LT s60 1)) (OR (LT s1 1) (LT s31 1) (LT s46 1) (LT s57 1)) (OR (LT s1 1) (LT s43 1) (LT s46 1) (LT s50 1)) (OR (LT s1 1) (LT s33 1) (LT s46 1) (LT s60 1)) (OR (LT s1 1) (LT s36 1) (LT s46 1) (LT s56 1)) (OR (LT s1 1) (LT s38 1) (LT s46 1) (LT s51 1)) (OR (LT s1 1) (LT s33 1) (LT s46 1) (LT s52 1)) (OR (LT s1 1) (LT s27 1) (LT s46 1) (LT s51 1)) (OR (LT s1 1) (LT s41 1) (LT s46 1) (LT s55 1)) (OR (LT s1 1) (LT s39 1) (LT s46 1) (LT s52 1)) (OR (LT s1 1) (LT s34 1) (LT s46 1) (LT s53 1)) (OR (LT s1 1) (LT s44 1) (LT s46 1) (LT s51 1)) (OR (LT s1 1) (LT s32 1) (LT s46 1) (LT s50 1)) (OR (LT s1 1) (LT s43 1) (LT s46 1) (LT s59 1)) (OR (LT s1 1) (LT s39 1) (LT s46 1) (LT s60 1)) (OR (LT s1 1) (LT s32 1) (LT s46 1) (LT s58 1)) (OR (LT s1 1) (LT s27 1) (LT s46 1) (LT s59 1)) (OR (LT s1 1) (LT s29 1) (LT s46 1) (LT s54 1)) (OR (LT s1 1) (LT s42 1) (LT s46 1) (LT s56 1)) (OR (LT s1 1) (LT s37 1) (LT s46 1) (LT s57 1)) (OR (LT s1 1) (LT s40 1) (LT s46 1) (LT s53 1)) (OR (LT s1 1) (LT s28 1) (LT s46 1) (LT s54 1)) (OR (LT s1 1) (LT s30 1) (LT s46 1) (LT s55 1)) (OR (LT s1 1) (LT s26 1) (LT s46 1) (LT s57 1)) (OR (LT s1 1) (LT s35 1) (LT s46 1) (LT s54 1)) (OR (LT s1 1) (LT s25 1) (LT s46 1) (LT s56 1)) (OR (LT s1 1) (LT s38 1) (LT s46 1) (LT s60 1)) (OR (LT s1 1) (LT s31 1) (LT s46 1) (LT s56 1)) (OR (LT s1 1) (LT s43 1) (LT s46 1) (LT s51 1)) (OR (LT s1 1) (LT s36 1) (LT s46 1) (LT s55 1)) (OR (LT s1 1) (LT s38 1) (LT s46 1) (LT s52 1)) (OR (LT s1 1) (LT s33 1) (LT s46 1) (LT s53 1)) (OR (LT s1 1) (LT s27 1) (LT s46 1) (LT s50 1)) (OR (LT s1 1) (LT s39 1) (LT s46 1) (LT s53 1)) (OR (LT s1 1) (LT s41 1) (LT s46 1) (LT s54 1)) (OR (LT s1 1) (LT s34 1) (LT s46 1) (LT s54 1)) (OR (LT s1 1) (LT s44 1) (LT s46 1) (LT s52 1)) (OR (LT s1 1) (LT s43 1) (LT s46 1) (LT s60 1)) (OR (LT s1 1) (LT s32 1) (LT s46 1) (LT s57 1)) (OR (LT s1 1) (LT s27 1) (LT s46 1) (LT s58 1)) (OR (LT s1 1) (LT s29 1) (LT s46 1) (LT s55 1)) (OR (LT s1 1) (LT s42 1) (LT s46 1) (LT s55 1)) (OR (LT s1 1) (LT s37 1) (LT s46 1) (LT s56 1)) (OR (LT s1 1) (LT s28 1) (LT s46 1) (LT s55 1)) (OR (LT s1 1) (LT s40 1) (LT s46 1) (LT s56 1)) (OR (LT s1 1) (LT s33 1) (LT s46 1) (LT s50 1)) (OR (LT s1 1) (LT s42 1) (LT s46 1) (LT s59 1)) (OR (LT s1 1) (LT s30 1) (LT s46 1) (LT s58 1)) (OR (LT s1 1) (LT s35 1) (LT s46 1) (LT s53 1)) (OR (LT s1 1) (LT s31 1) (LT s46 1) (LT s51 1)) (OR (LT s1 1) (LT s26 1) (LT s46 1) (LT s56 1)) (OR (LT s1 1) (LT s30 1) (LT s46 1) (LT s50 1)) (OR (LT s1 1) (LT s43 1) (LT s46 1) (LT s56 1)) (OR (LT s1 1) (LT s44 1) (LT s46 1) (LT s58 1)) (OR (LT s1 1) (LT s31 1) (LT s46 1) (LT s59 1)) (OR (LT s1 1) (LT s36 1) (LT s46 1) (LT s54 1)) (OR (LT s1 1) (LT s25 1) (LT s46 1) (LT s55 1)) (OR (LT s1 1) (LT s33 1) (LT s46 1) (LT s58 1)) (OR (LT s1 1) (LT s38 1) (LT s46 1) (LT s53 1)) (OR (LT s1 1) (LT s41 1) (LT s46 1) (LT s57 1)) (OR (LT s1 1) (LT s34 1) (LT s46 1) (LT s51 1)) (OR (LT s1 1) (LT s29 1) (LT s46 1) (LT s56 1)) (OR (LT s1 1) (LT s27 1) (LT s46 1) (LT s57 1)) (OR (LT s1 1) (LT s32 1) (LT s46 1) (LT s52 1)) (OR (LT s1 1) (LT s39 1) (LT s46 1) (LT s54 1)) (OR (LT s1 1) (LT s34 1) (LT s46 1) (LT s59 1)) (OR (LT s1 1) (LT s32 1) (LT s46 1) (LT s60 1)) (OR (LT s1 1) (LT s42 1) (LT s46 1) (LT s50 1)) (OR (LT s1 1) (LT s37 1) (LT s46 1) (LT s55 1)) (OR (LT s1 1) (LT s42 1) (LT s46 1) (LT s58 1)) (OR (LT s1 1) (LT s35 1) (LT s46 1) (LT s60 1)) (OR (LT s1 1) (LT s28 1) (LT s46 1) (LT s56 1)) (OR (LT s1 1) (LT s33 1) (LT s46 1) (LT s51 1)) (OR (LT s1 1) (LT s40 1) (LT s46 1) (LT s55 1)) (OR (LT s1 1) (LT s35 1) (LT s46 1) (LT s52 1)) (OR (LT s1 1) (LT s30 1) (LT s46 1) (LT s57 1)) (OR (LT s1 1) (LT s31 1) (LT s46 1) (LT s50 1)) (OR (LT s1 1) (LT s26 1) (LT s46 1) (LT s55 1)) (OR (LT s1 1) (LT s44 1) (LT s46 1) (LT s59 1)) (OR (LT s1 1) (LT s43 1) (LT s46 1) (LT s57 1)) (OR (LT s1 1) (LT s36 1) (LT s46 1) (LT s53 1)) (OR (LT s1 1) (LT s31 1) (LT s46 1) (LT s58 1)) (OR (LT s1 1) (LT s25 1) (LT s46 1) (LT s54 1)) (OR (LT s1 1) (LT s33 1) (LT s46 1) (LT s59 1)) (OR (LT s1 1) (LT s38 1) (LT s46 1) (LT s54 1)) (OR (LT s1 1) (LT s41 1) (LT s46 1) (LT s56 1)) (OR (LT s1 1) (LT s29 1) (LT s46 1) (LT s57 1)) (OR (LT s1 1) (LT s34 1) (LT s46 1) (LT s52 1)) (OR (LT s1 1) (LT s27 1) (LT s46 1) (LT s56 1)) (OR (LT s1 1) (LT s32 1) (LT s46 1) (LT s51 1)) (OR (LT s1 1) (LT s39 1) (LT s46 1) (LT s55 1)) (OR (LT s1 1) (LT s44 1) (LT s46 1) (LT s50 1)) (OR (LT s1 1) (LT s43 1) (LT s46 1) (LT s58 1)) (OR (LT s1 1) (LT s34 1) (LT s46 1) (LT s60 1)) (OR (LT s1 1) (LT s37 1) (LT s46 1) (LT s54 1)) (OR (LT s1 1) (LT s32 1) (LT s46 1) (LT s59 1)) (OR (LT s1 1) (LT s42 1) (LT s46 1) (LT s57 1)) (OR (LT s1 1) (LT s35 1) (LT s46 1) (LT s59 1)) (OR (LT s1 1) (LT s40 1) (LT s46 1) (LT s58 1)) (OR (LT s1 1) (LT s26 1) (LT s46 1) (LT s54 1)) (OR (LT s1 1) (LT s35 1) (LT s46 1) (LT s51 1)) (OR (LT s1 1) (LT s40 1) (LT s46 1) (LT s50 1)) (OR (LT s1 1) (LT s31 1) (LT s46 1) (LT s53 1)) (OR (LT s1 1) (LT s30 1) (LT s46 1) (LT s60 1)) (OR (LT s1 1) (LT s43 1) (LT s46 1) (LT s54 1)) (OR (LT s1 1) (LT s36 1) (LT s46 1) (LT s52 1)) (OR (LT s1 1) (LT s25 1) (LT s46 1) (LT s53 1)) (OR (LT s1 1) (LT s38 1) (LT s46 1) (LT s55 1)) (OR (LT s1 1) (LT s30 1) (LT s46 1) (LT s52 1)) (OR (LT s1 1) (LT s33 1) (LT s46 1) (LT s56 1)) (OR (LT s1 1) (LT s36 1) (LT s46 1) (LT s60 1)) (OR (LT s1 1) (LT s28 1) (LT s46 1) (LT s57 1)) (OR (LT s1 1) (LT s41 1) (LT s46 1) (LT s60 1)) (OR (LT s1 1) (LT s41 1) (LT s46 1) (LT s59 1)) (OR (LT s1 1) (LT s34 1) (LT s46 1) (LT s57 1)) (OR (LT s1 1) (LT s27 1) (LT s46 1) (LT s55 1)) (OR (LT s1 1) (LT s29 1) (LT s46 1) (LT s58 1)) (OR (LT s1 1) (LT s41 1) (LT s46 1) (LT s51 1)) (OR (LT s1 1) (LT s39 1) (LT s46 1) (LT s56 1)) (OR (LT s1 1) (LT s44 1) (LT s46 1) (LT s55 1)) (OR (LT s1 1) (LT s37 1) (LT s46 1) (LT s53 1)) (OR (LT s1 1) (LT s32 1) (LT s46 1) (LT s54 1)) (OR (LT s1 1) (LT s29 1) (LT s46 1) (LT s50 1)) (OR (LT s1 1) (LT s42 1) (LT s46 1) (LT s52 1)) (OR (LT s1 1) (LT s35 1) (LT s46 1) (LT s58 1)) (OR (LT s1 1) (LT s40 1) (LT s46 1) (LT s57 1)) (OR (LT s1 1) (LT s28 1) (LT s46 1) (LT s50 1)) (OR (LT s1 1) (LT s42 1) (LT s46 1) (LT s60 1)) (OR (LT s1 1) (LT s26 1) (LT s46 1) (LT s53 1)) (OR (LT s1 1) (LT s35 1) (LT s46 1) (LT s50 1)) (OR (LT s1 1) (LT s25 1) (LT s46 1) (LT s60 1)) (OR (LT s1 1) (LT s31 1) (LT s46 1) (LT s52 1)) (OR (LT s1 1) (LT s30 1) (LT s46 1) (LT s59 1)) (OR (LT s1 1) (LT s43 1) (LT s46 1) (LT s55 1)) (OR (LT s1 1) (LT s36 1) (LT s46 1) (LT s51 1)) (OR (LT s1 1) (LT s44 1) (LT s46 1) (LT s57 1)) (OR (LT s1 1) (LT s25 1) (LT s46 1) (LT s52 1)) (OR (LT s1 1) (LT s38 1) (LT s46 1) (LT s56 1)) (OR (LT s1 1) (LT s30 1) (LT s46 1) (LT s51 1)) (OR (LT s1 1) (LT s31 1) (LT s46 1) (LT s60 1)) (OR (LT s1 1) (LT s36 1) (LT s46 1) (LT s59 1)) (OR (LT s1 1) (LT s33 1) (LT s46 1) (LT s57 1)) (OR (LT s1 1) (LT s28 1) (LT s46 1) (LT s58 1)) (OR (LT s1 1) (LT s41 1) (LT s46 1) (LT s58 1)) (OR (LT s1 1) (LT s34 1) (LT s46 1) (LT s58 1)) (OR (LT s1 1) (LT s27 1) (LT s46 1) (LT s54 1)) (OR (LT s1 1) (LT s29 1) (LT s46 1) (LT s59 1)) (OR (LT s1 1) (LT s39 1) (LT s46 1) (LT s57 1)) (OR (LT s1 1) (LT s41 1) (LT s46 1) (LT s50 1)) (OR (LT s1 1) (LT s44 1) (LT s46 1) (LT s56 1)) (OR (LT s1 1) (LT s37 1) (LT s46 1) (LT s52 1)) (OR (LT s1 1) (LT s32 1) (LT s46 1) (LT s53 1)) (OR (LT s1 1) (LT s34 1) (LT s46 1) (LT s50 1)) (OR (LT s1 1) (LT s37 1) (LT s46 1) (LT s60 1)) (OR (LT s1 1) (LT s29 1) (LT s46 1) (LT s51 1)) (OR (LT s1 1) (LT s42 1) (LT s46 1) (LT s51 1)) (OR (LT s1 1) (LT s28 1) (LT s46 1) (LT s51 1)) (OR (LT s1 1) (LT s40 1) (LT s46 1) (LT s60 1)) (OR (LT s1 1) (LT s26 1) (LT s46 1) (LT s52 1)) (OR (LT s1 1) (LT s35 1) (LT s46 1) (LT s57 1)) (OR (LT s1 1) (LT s40 1) (LT s46 1) (LT s52 1)) (OR (LT s1 1) (LT s31 1) (LT s46 1) (LT s55 1)) (OR (LT s1 1) (LT s36 1) (LT s46 1) (LT s50 1)) (OR (LT s1 1) (LT s25 1) (LT s46 1) (LT s59 1)) (OR (LT s1 1) (LT s26 1) (LT s46 1) (LT s60 1)) (OR (LT s1 1) (LT s30 1) (LT s46 1) (LT s54 1)) (OR (LT s1 1) (LT s43 1) (LT s46 1) (LT s52 1)) (OR (LT s1 1) (LT s38 1) (LT s46 1) (LT s57 1)) (OR (LT s1 1) (LT s36 1) (LT s46 1) (LT s58 1)) (OR (LT s1 1) (LT s25 1) (LT s46 1) (LT s51 1)) (OR (LT s1 1) (LT s33 1) (LT s46 1) (LT s54 1)) (OR (LT s1 1) (LT s28 1) (LT s46 1) (LT s59 1)) (OR (LT s1 1) (LT s34 1) (LT s46 1) (LT s55 1)) (OR (LT s1 1) (LT s29 1) (LT s46 1) (LT s60 1)) (OR (LT s1 1) (LT s27 1) (LT s46 1) (LT s53 1)) (OR (LT s1 1) (LT s41 1) (LT s46 1) (LT s53 1)) (OR (LT s1 1) (LT s39 1) (LT s46 1) (LT s50 1)) (OR (LT s1 1) (LT s44 1) (LT s46 1) (LT s53 1)) (OR (LT s1 1) (LT s39 1) (LT s46 1) (LT s58 1)) (OR (LT s1 1) (LT s32 1) (LT s46 1) (LT s56 1)) (OR (LT s1 1) (LT s37 1) (LT s46 1) (LT s51 1)) (OR (LT s1 1) (LT s29 1) (LT s46 1) (LT s52 1)) (OR (LT s1 1) (LT s37 1) (LT s46 1) (LT s59 1)) (OR (LT s1 1) (LT s42 1) (LT s46 1) (LT s54 1)) (OR (LT s1 1) (LT s28 1) (LT s46 1) (LT s52 1)) (OR (LT s1 1) (LT s40 1) (LT s46 1) (LT s59 1)) (OR (LT s1 1) (LT s26 1) (LT s46 1) (LT s51 1)) (OR (LT s1 1) (LT s35 1) (LT s46 1) (LT s56 1)) (OR (LT s1 1) (LT s40 1) (LT s46 1) (LT s51 1)) (OR (LT s1 1) (LT s31 1) (LT s46 1) (LT s54 1)) (OR (LT s1 1) (LT s25 1) (LT s46 1) (LT s58 1)) (OR (LT s1 1) (LT s26 1) (LT s46 1) (LT s59 1)) (OR (LT s1 1) (LT s30 1) (LT s46 1) (LT s53 1)) (OR (LT s1 1) (LT s38 1) (LT s46 1) (LT s58 1)) (OR (LT s1 1) (LT s43 1) (LT s46 1) (LT s53 1)) (OR (LT s1 1) (LT s36 1) (LT s46 1) (LT s57 1)) (OR (LT s1 1) (LT s25 1) (LT s46 1) (LT s50 1)) (OR (LT s1 1) (LT s33 1) (LT s46 1) (LT s55 1)) (OR (LT s1 1) (LT s28 1) (LT s46 1) (LT s60 1)) (OR (LT s1 1) (LT s38 1) (LT s46 1) (LT s50 1)) (OR (LT s1 1) (LT s34 1) (LT s46 1) (LT s56 1)) (OR (LT s1 1) (LT s27 1) (LT s46 1) (LT s52 1)) (OR (LT s1 1) (LT s39 1) (LT s46 1) (LT s51 1)) (OR (LT s1 1) (LT s41 1) (LT s46 1) (LT s52 1)) (OR (LT s1 1) (LT s39 1) (LT s46 1) (LT s59 1)) (OR (LT s1 1) (LT s44 1) (LT s46 1) (LT s54 1)) (OR (LT s1 1) (LT s37 1) (LT s46 1) (LT s50 1)) (OR (LT s1 1) (LT s32 1) (LT s46 1) (LT s55 1)) (OR (LT s1 1) (LT s29 1) (LT s46 1) (LT s53 1)) (OR (LT s1 1) (LT s27 1) (LT s46 1) (LT s60 1)) (OR (LT s1 1) (LT s42 1) (LT s46 1) (LT s53 1)) (OR (LT s1 1) (LT s37 1) (LT s46 1) (LT s58 1)) (LT s23 1)), p2:(OR (AND (GEQ s49 1) (GEQ s52 1)) (AND (GEQ s49 1) (GEQ s51 1)) (AND (GEQ s49 1) (GEQ s50 1)) (AND (GEQ s48 1) (GEQ s50 1)) (AND (GEQ s48 1) (GEQ s51 1)) (AND (GEQ s48 1) (GEQ s53 1)) (AND (GEQ s48 1) (GEQ s52 1)) (AND (GEQ s48 1) (GEQ s55 1)) (AND (GEQ s48 1) (GEQ s54 1)) (AND (GEQ s48 1) (GEQ s57 1)) (AND (GEQ s48 1) (GEQ s56 1)) (AND (GEQ s48 1) (GEQ s59 1)) (AND (GEQ s48 1) (GEQ s58 1)) (AND (GEQ s49 1) (GEQ s59 1)) (AND (GEQ s49 1) (GEQ s58 1)) (AND (GEQ s49 1) (GEQ s57 1)) (AND (GEQ s49 1) (GEQ s56 1)) (AND (GEQ s49 1) (GEQ s55 1)) (AND (GEQ s49 1) (GEQ s54 1)) (AND (GEQ s49 1) (GEQ s53 1)))], nbAcceptance=2, properties=[trans-labels, explicit-labels, trans-acc, no-univ-branch, stutter-invariant], stateDesc=[null, null, null][true, true, true]]
Product exploration explored 100000 steps with 3510 reset in 1071 ms.
Product exploration explored 100000 steps with 3483 reset in 1217 ms.
Knowledge obtained : [(NOT p0), p1, p2]
Stuttering acceptance computed with spot in 181 ms :[(OR (NOT p0) (AND (NOT p1) p2)), (OR (AND (NOT p0) (NOT p1)) (AND (NOT p1) p2)), (AND (NOT p1) p2)]
Product exploration explored 100000 steps with 3497 reset in 1292 ms.
Product exploration explored 100000 steps with 3452 reset in 993 ms.
[2021-05-08 22:13:02] [INFO ] Flatten gal took : 16 ms
[2021-05-08 22:13:02] [INFO ] Flatten gal took : 15 ms
[2021-05-08 22:13:02] [INFO ] Time to serialize gal into /tmp/LTL10732888346720013376.gal : 2 ms
[2021-05-08 22:13:02] [INFO ] Time to serialize properties into /tmp/LTL11528796080641426231.ltl : 1 ms
Invoking ITS tools like this :CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64, --gc-threshold, 2000000, -i, /tmp/LTL10732888346720013376.gal, -t, CGAL, -LTL, /tmp/LTL11528796080641426231.ltl, -c, -stutter-deadlock, --gen-order, FOLLOW], workingDir=/home/mcc/execution]

its-ltl command run as :

/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64 --gc-threshold 2000000 -i /tmp/LTL10732888346720013376.gal -t CGAL -LTL /tmp/LTL11528796080641426231.ltl -c -stutter-deadlock --gen-order FOLLOW
Read 1 LTL properties
Checking formula 0 : !((F(G(("(((((((((((((((((((((CHOIX_1<1)||(COMPTEUR_2<1))&&((CHOIX_1<1)||(COMPTEUR_1<1)))&&((CHOIX_1<1)||(COMPTEUR_0<1)))&&((CHOIX_0<1)||(COMPTEUR_0<1)))&&((CHOIX_0<1)||(COMPTEUR_1<1)))&&((CHOIX_0<1)||(COMPTEUR_3<1)))&&((CHOIX_0<1)||(COMPTEUR_2<1)))&&((CHOIX_0<1)||(COMPTEUR_5<1)))&&((CHOIX_0<1)||(COMPTEUR_4<1)))&&((CHOIX_0<1)||(COMPTEUR_7<1)))&&((CHOIX_0<1)||(COMPTEUR_6<1)))&&((CHOIX_0<1)||(COMPTEUR_9<1)))&&((CHOIX_0<1)||(COMPTEUR_8<1)))&&((CHOIX_1<1)||(COMPTEUR_9<1)))&&((CHOIX_1<1)||(COMPTEUR_8<1)))&&((CHOIX_1<1)||(COMPTEUR_7<1)))&&((CHOIX_1<1)||(COMPTEUR_6<1)))&&((CHOIX_1<1)||(COMPTEUR_5<1)))&&((CHOIX_1<1)||(COMPTEUR_4<1)))&&((CHOIX_1<1)||(COMPTEUR_3<1)))")&&((F("((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&(SUR_PONT_A_0<1))"))||(G("(((((((((((((((((((((CHOIX_1<1)||(COMPTEUR_2<1))&&((CHOIX_1<1)||(COMPTEUR_1<1)))&&((CHOIX_1<1)||(COMPTEUR_0<1)))&&((CHOIX_0<1)||(COMPTEUR_0<1)))&&((CHOIX_0<1)||(COMPTEUR_1<1)))&&((CHOIX_0<1)||(COMPTEUR_3<1)))&&((CHOIX_0<1)||(COMPTEUR_2<1)))&&((CHOIX_0<1)||(COMPTEUR_5<1)))&&((CHOIX_0<1)||(COMPTEUR_4<1)))&&((CHOIX_0<1)||(COMPTEUR_7<1)))&&((CHOIX_0<1)||(COMPTEUR_6<1)))&&((CHOIX_0<1)||(COMPTEUR_9<1)))&&((CHOIX_0<1)||(COMPTEUR_8<1)))&&((CHOIX_1<1)||(COMPTEUR_9<1)))&&((CHOIX_1<1)||(COMPTEUR_8<1)))&&((CHOIX_1<1)||(COMPTEUR_7<1)))&&((CHOIX_1<1)||(COMPTEUR_6<1)))&&((CHOIX_1<1)||(COMPTEUR_5<1)))&&((CHOIX_1<1)||(COMPTEUR_4<1)))&&((CHOIX_1<1)||(COMPTEUR_3<1)))")))))))
Formula 0 simplified : !FG("(((((((((((((((((((((CHOIX_1<1)||(COMPTEUR_2<1))&&((CHOIX_1<1)||(COMPTEUR_1<1)))&&((CHOIX_1<1)||(COMPTEUR_0<1)))&&((CHOIX_0<1)||(COMPTEUR_0<1)))&&((CHOIX_0<1)||(COMPTEUR_1<1)))&&((CHOIX_0<1)||(COMPTEUR_3<1)))&&((CHOIX_0<1)||(COMPTEUR_2<1)))&&((CHOIX_0<1)||(COMPTEUR_5<1)))&&((CHOIX_0<1)||(COMPTEUR_4<1)))&&((CHOIX_0<1)||(COMPTEUR_7<1)))&&((CHOIX_0<1)||(COMPTEUR_6<1)))&&((CHOIX_0<1)||(COMPTEUR_9<1)))&&((CHOIX_0<1)||(COMPTEUR_8<1)))&&((CHOIX_1<1)||(COMPTEUR_9<1)))&&((CHOIX_1<1)||(COMPTEUR_8<1)))&&((CHOIX_1<1)||(COMPTEUR_7<1)))&&((CHOIX_1<1)||(COMPTEUR_6<1)))&&((CHOIX_1<1)||(COMPTEUR_5<1)))&&((CHOIX_1<1)||(COMPTEUR_4<1)))&&((CHOIX_1<1)||(COMPTEUR_3<1)))" & (F"((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&(SUR_PONT_A_0<1))" | G"(((((((((((((((((((((CHOIX_1<1)||(COMPTEUR_2<1))&&((CHOIX_1<1)||(COMPTEUR_1<1)))&&((CHOIX_1<1)||(COMPTEUR_0<1)))&&((CHOIX_0<1)||(COMPTEUR_0<1)))&&((CHOIX_0<1)||(COMPTEUR_1<1)))&&((CHOIX_0<1)||(COMPTEUR_3<1)))&&((CHOIX_0<1)||(COMPTEUR_2<1)))&&((CHOIX_0<1)||(COMPTEUR_5<1)))&&((CHOIX_0<1)||(COMPTEUR_4<1)))&&((CHOIX_0<1)||(COMPTEUR_7<1)))&&((CHOIX_0<1)||(COMPTEUR_6<1)))&&((CHOIX_0<1)||(COMPTEUR_9<1)))&&((CHOIX_0<1)||(COMPTEUR_8<1)))&&((CHOIX_1<1)||(COMPTEUR_9<1)))&&((CHOIX_1<1)||(COMPTEUR_8<1)))&&((CHOIX_1<1)||(COMPTEUR_7<1)))&&((CHOIX_1<1)||(COMPTEUR_6<1)))&&((CHOIX_1<1)||(COMPTEUR_5<1)))&&((CHOIX_1<1)||(COMPTEUR_4<1)))&&((CHOIX_1<1)||(COMPTEUR_3<1)))"))
Detected timeout of ITS tools.
[2021-05-08 22:13:17] [INFO ] Flatten gal took : 36 ms
[2021-05-08 22:13:17] [INFO ] Applying decomposition
[2021-05-08 22:13:17] [INFO ] Flatten gal took : 29 ms
Converted graph to binary with : CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.louvain.binaries_1.0.0.202104292328/bin/convert-linux64, -i, /tmp/graph13069670704701606685.txt, -o, /tmp/graph13069670704701606685.bin, -w, /tmp/graph13069670704701606685.weights], workingDir=null]
Built communities with : CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.louvain.binaries_1.0.0.202104292328/bin/louvain-linux64, /tmp/graph13069670704701606685.bin, -l, -1, -v, -w, /tmp/graph13069670704701606685.weights, -q, 0, -e, 0.001], workingDir=null]
[2021-05-08 22:13:18] [INFO ] Decomposing Gal with order
[2021-05-08 22:13:18] [INFO ] Rewriting arrays to variables to allow decomposition.
[2021-05-08 22:13:18] [INFO ] Removed a total of 1081 redundant transitions.
[2021-05-08 22:13:18] [INFO ] Flatten gal took : 80 ms
[2021-05-08 22:13:18] [INFO ] Fuse similar labels procedure discarded/fused a total of 162 labels/synchronizations in 5 ms.
[2021-05-08 22:13:18] [INFO ] Time to serialize gal into /tmp/LTL7057789532616063527.gal : 1 ms
[2021-05-08 22:13:18] [INFO ] Time to serialize properties into /tmp/LTL1917029756889478564.ltl : 3 ms
Invoking ITS tools like this :CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64, --gc-threshold, 2000000, -i, /tmp/LTL7057789532616063527.gal, -t, CGAL, -LTL, /tmp/LTL1917029756889478564.ltl, -c, -stutter-deadlock], workingDir=/home/mcc/execution]

its-ltl command run as :

/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64 --gc-threshold 2000000 -i /tmp/LTL7057789532616063527.gal -t CGAL -LTL /tmp/LTL1917029756889478564.ltl -c -stutter-deadlock
Read 1 LTL properties
Checking formula 0 : !((F(G(("(((((((((((((((((((((i1.u3.CHOIX_1<1)||(i0.u0.COMPTEUR_2<1))&&((i1.u3.CHOIX_1<1)||(i0.u0.COMPTEUR_1<1)))&&((i1.u3.CHOIX_1<1)||(i0.u0.COMPTEUR_0<1)))&&((i1.u3.CHOIX_0<1)||(i0.u0.COMPTEUR_0<1)))&&((i1.u3.CHOIX_0<1)||(i0.u0.COMPTEUR_1<1)))&&((i1.u3.CHOIX_0<1)||(i0.u0.COMPTEUR_3<1)))&&((i1.u3.CHOIX_0<1)||(i0.u0.COMPTEUR_2<1)))&&((i1.u3.CHOIX_0<1)||(i0.u0.COMPTEUR_5<1)))&&((i1.u3.CHOIX_0<1)||(i0.u0.COMPTEUR_4<1)))&&((i1.u3.CHOIX_0<1)||(i0.u0.COMPTEUR_7<1)))&&((i1.u3.CHOIX_0<1)||(i0.u0.COMPTEUR_6<1)))&&((i1.u3.CHOIX_0<1)||(i0.u0.COMPTEUR_9<1)))&&((i1.u3.CHOIX_0<1)||(i0.u0.COMPTEUR_8<1)))&&((i1.u3.CHOIX_1<1)||(i0.u0.COMPTEUR_9<1)))&&((i1.u3.CHOIX_1<1)||(i0.u0.COMPTEUR_8<1)))&&((i1.u3.CHOIX_1<1)||(i0.u0.COMPTEUR_7<1)))&&((i1.u3.CHOIX_1<1)||(i0.u0.COMPTEUR_6<1)))&&((i1.u3.CHOIX_1<1)||(i0.u0.COMPTEUR_5<1)))&&((i1.u3.CHOIX_1<1)||(i0.u0.COMPTEUR_4<1)))&&((i1.u3.CHOIX_1<1)||(i0.u0.COMPTEUR_3<1)))")&&((F("((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_16<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_4<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_2<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_6<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_2<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_11<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_1<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_14<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_20<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_7<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_19<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_9<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_12<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_14<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_9<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_3<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_17<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_15<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_10<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_20<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_8<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_19<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_15<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_8<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_3<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_5<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_18<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_13<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_16<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_4<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_6<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_2<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_11<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_1<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_14<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_7<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_19<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_12<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_14<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_9<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_3<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_15<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_17<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_10<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_20<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_19<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_8<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_3<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_5<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_18<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_13<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_4<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_16<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_9<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_18<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_6<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_11<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_7<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_2<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_6<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_19<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_20<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_7<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_12<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_1<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_9<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_14<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_17<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_10<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_5<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_3<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_8<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_15<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_10<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_8<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_18<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_13<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_18<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_11<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_4<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_9<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_16<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_11<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_6<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_7<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_2<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_20<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_19<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_12<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_7<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_1<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_9<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_14<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_17<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_5<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_10<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_3<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_8<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_15<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_20<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_19<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_10<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_13<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_8<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_18<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_11<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_16<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_2<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_11<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_16<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_7<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_6<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_19<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_12<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_1<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_14<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_6<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_9<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_12<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_4<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_17<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_17<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_10<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_3<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_5<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_17<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_15<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_20<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_13<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_8<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_5<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_18<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_11<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_16<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_4<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_18<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_2<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_11<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_1<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_7<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_6<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_19<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_12<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_20<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_1<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_14<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_6<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_7<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_12<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_9<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_4<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_17<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_10<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_3<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_5<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_15<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_17<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_20<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_13<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_8<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_10<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_13<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_5<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_18<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_4<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_16<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_2<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_11<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_16<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_7<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_12<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_1<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_2<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_6<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_19<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_14<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_12<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_1<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_9<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_4<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_10<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_5<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_3<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_17<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_15<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_20<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_15<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_8<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_13<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_5<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_13<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_18<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_4<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_16<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_2<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_11<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_16<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_7<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_1<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_2<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_6<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_14<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_19<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_12<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_1<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_9<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_4<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_14<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_10<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_3<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_15<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_17<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_15<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_20<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_13<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_8<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_5<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_3<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_18<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_13<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&(i1.u3.SUR_PONT_A_0<1))"))||(G("(((((((((((((((((((((i1.u3.CHOIX_1<1)||(i0.u0.COMPTEUR_2<1))&&((i1.u3.CHOIX_1<1)||(i0.u0.COMPTEUR_1<1)))&&((i1.u3.CHOIX_1<1)||(i0.u0.COMPTEUR_0<1)))&&((i1.u3.CHOIX_0<1)||(i0.u0.COMPTEUR_0<1)))&&((i1.u3.CHOIX_0<1)||(i0.u0.COMPTEUR_1<1)))&&((i1.u3.CHOIX_0<1)||(i0.u0.COMPTEUR_3<1)))&&((i1.u3.CHOIX_0<1)||(i0.u0.COMPTEUR_2<1)))&&((i1.u3.CHOIX_0<1)||(i0.u0.COMPTEUR_5<1)))&&((i1.u3.CHOIX_0<1)||(i0.u0.COMPTEUR_4<1)))&&((i1.u3.CHOIX_0<1)||(i0.u0.COMPTEUR_7<1)))&&((i1.u3.CHOIX_0<1)||(i0.u0.COMPTEUR_6<1)))&&((i1.u3.CHOIX_0<1)||(i0.u0.COMPTEUR_9<1)))&&((i1.u3.CHOIX_0<1)||(i0.u0.COMPTEUR_8<1)))&&((i1.u3.CHOIX_1<1)||(i0.u0.COMPTEUR_9<1)))&&((i1.u3.CHOIX_1<1)||(i0.u0.COMPTEUR_8<1)))&&((i1.u3.CHOIX_1<1)||(i0.u0.COMPTEUR_7<1)))&&((i1.u3.CHOIX_1<1)||(i0.u0.COMPTEUR_6<1)))&&((i1.u3.CHOIX_1<1)||(i0.u0.COMPTEUR_5<1)))&&((i1.u3.CHOIX_1<1)||(i0.u0.COMPTEUR_4<1)))&&((i1.u3.CHOIX_1<1)||(i0.u0.COMPTEUR_3<1)))")))))))
Formula 0 simplified : !FG("(((((((((((((((((((((i1.u3.CHOIX_1<1)||(i0.u0.COMPTEUR_2<1))&&((i1.u3.CHOIX_1<1)||(i0.u0.COMPTEUR_1<1)))&&((i1.u3.CHOIX_1<1)||(i0.u0.COMPTEUR_0<1)))&&((i1.u3.CHOIX_0<1)||(i0.u0.COMPTEUR_0<1)))&&((i1.u3.CHOIX_0<1)||(i0.u0.COMPTEUR_1<1)))&&((i1.u3.CHOIX_0<1)||(i0.u0.COMPTEUR_3<1)))&&((i1.u3.CHOIX_0<1)||(i0.u0.COMPTEUR_2<1)))&&((i1.u3.CHOIX_0<1)||(i0.u0.COMPTEUR_5<1)))&&((i1.u3.CHOIX_0<1)||(i0.u0.COMPTEUR_4<1)))&&((i1.u3.CHOIX_0<1)||(i0.u0.COMPTEUR_7<1)))&&((i1.u3.CHOIX_0<1)||(i0.u0.COMPTEUR_6<1)))&&((i1.u3.CHOIX_0<1)||(i0.u0.COMPTEUR_9<1)))&&((i1.u3.CHOIX_0<1)||(i0.u0.COMPTEUR_8<1)))&&((i1.u3.CHOIX_1<1)||(i0.u0.COMPTEUR_9<1)))&&((i1.u3.CHOIX_1<1)||(i0.u0.COMPTEUR_8<1)))&&((i1.u3.CHOIX_1<1)||(i0.u0.COMPTEUR_7<1)))&&((i1.u3.CHOIX_1<1)||(i0.u0.COMPTEUR_6<1)))&&((i1.u3.CHOIX_1<1)||(i0.u0.COMPTEUR_5<1)))&&((i1.u3.CHOIX_1<1)||(i0.u0.COMPTEUR_4<1)))&&((i1.u3.CHOIX_1<1)||(i0.u0.COMPTEUR_3<1)))" & (F"((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_16<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_4<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_2<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_6<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_2<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_11<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_1<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_14<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_20<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_7<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_19<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_9<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_12<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_14<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_9<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_3<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_17<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_15<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_10<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_20<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_8<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_19<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_15<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_8<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_3<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_5<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_18<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_13<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_16<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_4<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_6<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_2<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_11<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_1<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_14<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_7<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_19<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_12<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_14<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_9<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_3<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_15<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_17<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_10<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_20<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_19<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_8<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_3<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_5<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_18<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_13<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_4<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_16<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_9<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_18<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_6<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_11<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_7<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_2<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_6<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_19<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_20<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_7<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_12<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_1<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_9<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_14<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_17<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_10<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_5<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_3<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_8<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_15<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_10<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_8<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_18<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_13<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_18<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_11<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_4<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_9<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_16<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_11<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_6<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_7<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_2<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_20<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_19<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_12<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_7<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_1<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_9<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_14<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_17<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_5<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_10<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_3<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_8<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_15<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_20<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_19<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_10<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_13<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_8<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_18<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_11<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_16<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_2<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_11<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_16<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_7<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_6<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_19<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_12<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_1<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_14<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_6<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_9<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_12<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_4<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_17<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_17<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_10<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_3<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_5<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_17<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_15<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_20<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_13<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_8<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_5<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_18<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_11<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_16<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_4<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_18<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_2<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_11<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_1<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_7<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_6<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_19<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_12<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_20<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_1<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_14<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_6<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_7<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_12<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_9<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_4<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_17<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_10<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_3<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_5<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_15<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_17<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_20<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_13<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_8<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_10<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_13<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_5<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_18<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_4<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_16<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_2<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_11<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_16<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_7<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_12<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_1<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_2<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_6<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_19<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_14<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_12<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_1<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_9<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_4<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_10<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_5<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_3<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_17<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_15<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_20<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_15<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_8<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_13<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_5<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_13<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_18<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_4<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_16<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_2<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_11<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_16<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_7<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_1<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_2<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_6<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_14<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_19<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_12<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_7<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_1<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_9<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_4<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_14<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_10<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_6<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_3<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_15<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_1<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_17<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_2<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_15<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_9<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_20<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_4<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_13<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_0<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_8<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_5<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_5<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i1.u1.NB_ATTENTE_B_3<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_10<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_18<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_3<1)))&&((((i0.u2.NB_ATTENTE_A_0<1)||(i0.u2.NB_ATTENTE_B_13<1))||(i0.u2.CONTROLEUR_0<1))||(i0.u0.COMPTEUR_8<1)))&&(i1.u3.SUR_PONT_A_0<1))" | G"(((((((((((((((((((((i1.u3.CHOIX_1<1)||(i0.u0.COMPTEUR_2<1))&&((i1.u3.CHOIX_1<1)||(i0.u0.COMPTEUR_1<1)))&&((i1.u3.CHOIX_1<1)||(i0.u0.COMPTEUR_0<1)))&&((i1.u3.CHOIX_0<1)||(i0.u0.COMPTEUR_0<1)))&&((i1.u3.CHOIX_0<1)||(i0.u0.COMPTEUR_1<1)))&&((i1.u3.CHOIX_0<1)||(i0.u0.COMPTEUR_3<1)))&&((i1.u3.CHOIX_0<1)||(i0.u0.COMPTEUR_2<1)))&&((i1.u3.CHOIX_0<1)||(i0.u0.COMPTEUR_5<1)))&&((i1.u3.CHOIX_0<1)||(i0.u0.COMPTEUR_4<1)))&&((i1.u3.CHOIX_0<1)||(i0.u0.COMPTEUR_7<1)))&&((i1.u3.CHOIX_0<1)||(i0.u0.COMPTEUR_6<1)))&&((i1.u3.CHOIX_0<1)||(i0.u0.COMPTEUR_9<1)))&&((i1.u3.CHOIX_0<1)||(i0.u0.COMPTEUR_8<1)))&&((i1.u3.CHOIX_1<1)||(i0.u0.COMPTEUR_9<1)))&&((i1.u3.CHOIX_1<1)||(i0.u0.COMPTEUR_8<1)))&&((i1.u3.CHOIX_1<1)||(i0.u0.COMPTEUR_7<1)))&&((i1.u3.CHOIX_1<1)||(i0.u0.COMPTEUR_6<1)))&&((i1.u3.CHOIX_1<1)||(i0.u0.COMPTEUR_5<1)))&&((i1.u3.CHOIX_1<1)||(i0.u0.COMPTEUR_4<1)))&&((i1.u3.CHOIX_1<1)||(i0.u0.COMPTEUR_3<1)))"))
Detected timeout of ITS tools.
Built C files in :
/tmp/ltsmin14314473669667026492
[2021-05-08 22:13:33] [INFO ] Built C files in 7ms conformant to PINS (ltsmin variant)in folder :/tmp/ltsmin14314473669667026492
Running compilation step : CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/include/, -I., -std=c99, -fPIC, -O2, model.c], workingDir=/tmp/ltsmin14314473669667026492]
WARNING : LTS min runner thread failed on error :java.lang.RuntimeException: Compilation or link of executable timed out.java.util.concurrent.TimeoutException: Subprocess running CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/include/, -I., -std=c99, -fPIC, -O2, model.c], workingDir=/tmp/ltsmin14314473669667026492] killed by timeout after 1 SECONDS
java.lang.RuntimeException: Compilation or link of executable timed out.java.util.concurrent.TimeoutException: Subprocess running CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/include/, -I., -std=c99, -fPIC, -O2, model.c], workingDir=/tmp/ltsmin14314473669667026492] killed by timeout after 1 SECONDS
at fr.lip6.move.gal.application.LTSminRunner$1.run(LTSminRunner.java:79)
at java.base/java.lang.Thread.run(Thread.java:834)
Treatment of property BridgeAndVehicles-COL-V20P20N10-15 finished in 37412 ms.
Using solver Z3 to compute partial order matrices.
Built C files in :
/tmp/ltsmin4907763740624149179
[2021-05-08 22:13:34] [INFO ] Computing symmetric may disable matrix : 548 transitions.
[2021-05-08 22:13:34] [INFO ] Computation of Complete disable matrix. took 31 ms. Total solver calls (SAT/UNSAT): 0(0/0)
[2021-05-08 22:13:34] [INFO ] Applying decomposition
[2021-05-08 22:13:34] [INFO ] Computing symmetric may enable matrix : 548 transitions.
[2021-05-08 22:13:34] [INFO ] Computation of Complete enable matrix. took 6 ms. Total solver calls (SAT/UNSAT): 0(0/0)
[2021-05-08 22:13:34] [INFO ] Flatten gal took : 41 ms
Converted graph to binary with : CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.louvain.binaries_1.0.0.202104292328/bin/convert-linux64, -i, /tmp/graph4241161774149376259.txt, -o, /tmp/graph4241161774149376259.bin, -w, /tmp/graph4241161774149376259.weights], workingDir=null]
Built communities with : CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.louvain.binaries_1.0.0.202104292328/bin/louvain-linux64, /tmp/graph4241161774149376259.bin, -l, -1, -v, -w, /tmp/graph4241161774149376259.weights, -q, 0, -e, 0.001], workingDir=null]
[2021-05-08 22:13:34] [INFO ] Decomposing Gal with order
[2021-05-08 22:13:34] [INFO ] Rewriting arrays to variables to allow decomposition.
[2021-05-08 22:13:34] [INFO ] Computing Do-Not-Accords matrix : 548 transitions.
[2021-05-08 22:13:34] [INFO ] Computation of Completed DNA matrix. took 25 ms. Total solver calls (SAT/UNSAT): 0(0/0)
[2021-05-08 22:13:34] [INFO ] Built C files in 616ms conformant to PINS (ltsmin variant)in folder :/tmp/ltsmin4907763740624149179
Running compilation step : CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/include/, -I., -std=c99, -fPIC, -O2, model.c], workingDir=/tmp/ltsmin4907763740624149179]
[2021-05-08 22:13:34] [INFO ] Removed a total of 1101 redundant transitions.
[2021-05-08 22:13:34] [INFO ] Flatten gal took : 272 ms
[2021-05-08 22:13:35] [INFO ] Fuse similar labels procedure discarded/fused a total of 223 labels/synchronizations in 7 ms.
[2021-05-08 22:13:35] [INFO ] Time to serialize gal into /tmp/LTLFireability950090177213391228.gal : 1 ms
[2021-05-08 22:13:35] [INFO ] Time to serialize properties into /tmp/LTLFireability9749564134278332071.ltl : 24 ms
Invoking ITS tools like this :CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64, --gc-threshold, 2000000, -i, /tmp/LTLFireability950090177213391228.gal, -t, CGAL, -LTL, /tmp/LTLFireability9749564134278332071.ltl, -c, -stutter-deadlock], workingDir=/home/mcc/execution]

its-ltl command run as :

/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64 --gc-threshold 2000000 -i /tmp/LTLFireability950090177213391228.gal -t CGAL -LTL /tmp/LTLFireability9749564134278332071.ltl -c -stutter-deadlock
Read 5 LTL properties
Checking formula 0 : !((F((G(F("(((((((((((((((((((((((i0.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_4>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(i1.u4.CONTROLEUR_1>=1))||((((i0.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_11>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(i1.u4.CONTROLEUR_1>=1)))||((((i0.u2.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_B_16>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(i1.u4.CONTROLEUR_1>=1)))||((((i0.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_5>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(i1.u4.CONTROLEUR_1>=1)))||((((i0.u2.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_B_18>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(i1.u4.CONTROLEUR_1>=1)))||((((i0.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_6>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(i1.u4.CONTROLEUR_1>=1)))||((((i0.u2.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_B_15>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(i1.u4.CONTROLEUR_1>=1)))||((((i0.u2.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_B_19>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(i1.u4.CONTROLEUR_1>=1)))||((((i0.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_10>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(i1.u4.CONTROLEUR_1>=1)))||((((i0.u2.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_B_20>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(i1.u4.CONTROLEUR_1>=1)))||((((i0.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_1>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(i1.u4.CONTROLEUR_1>=1)))||((((i0.u2.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_B_14>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(i1.u4.CONTROLEUR_1>=1)))||((((i0.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_2>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(i1.u4.CONTROLEUR_1>=1)))||((((i0.u2.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_B_13>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(i1.u4.CONTROLEUR_1>=1)))||((((i0.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_7>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(i1.u4.CONTROLEUR_1>=1)))||((((i0.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_3>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(i1.u4.CONTROLEUR_1>=1)))||((((i0.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_9>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(i1.u4.CONTROLEUR_1>=1)))||((((i0.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_12>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(i1.u4.CONTROLEUR_1>=1)))||((((i0.u2.CAPACITE_0>=1)&&(i2.u5.NB_ATTENTE_B_8>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(i1.u4.CONTROLEUR_1>=1)))||((((i0.u2.CAPACITE_0>=1)&&(i2.u3.NB_ATTENTE_B_17>=1))&&(i2.u3.ATTENTE_B_0>=1))&&(i1.u4.CONTROLEUR_1>=1)))")))||(("(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((i1.u4.NB_ATTENTE_A_19<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_3<1))&&((((i1.u4.NB_ATTENTE_A_4<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_7<1)))&&((((i1.u4.NB_ATTENTE_A_2<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_1<1)))&&((((i1.u4.NB_ATTENTE_A_1<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_6<1)))&&((((i1.u4.NB_ATTENTE_A_18<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_0<1)))&&((((i1.u4.NB_ATTENTE_A_6<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_5<1)))&&((((i1.u4.NB_ATTENTE_A_5<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_10<1)))&&((((i1.u4.NB_ATTENTE_A_16<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_2<1)))&&((((i1.u4.NB_ATTENTE_A_17<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_5<1)))&&((((i1.u4.NB_ATTENTE_A_5<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_2<1)))&&((((i1.u4.NB_ATTENTE_A_14<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_4<1)))&&((((i1.u4.NB_ATTENTE_A_15<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_7<1)))&&((((i1.u4.NB_ATTENTE_A_3<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_4<1)))&&((((i1.u4.NB_ATTENTE_A_2<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_9<1)))&&((((i1.u4.NB_ATTENTE_A_10<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_0<1)))&&((((i1.u4.NB_ATTENTE_A_11<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_3<1)))&&((((i1.u4.NB_ATTENTE_A_12<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_6<1)))&&((((i1.u4.NB_ATTENTE_A_13<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_9<1)))&&((((i1.u4.NB_ATTENTE_A_10<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_8<1)))&&((((i1.u4.NB_ATTENTE_A_13<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_1<1)))&&((((i1.u4.NB_ATTENTE_A_18<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_9<1)))&&((((i1.u4.NB_ATTENTE_A_8<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_2<1)))&&((((i1.u4.NB_ATTENTE_A_7<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_7<1)))&&((((i1.u4.NB_ATTENTE_A_20<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_7<1)))&&((((i1.u4.NB_ATTENTE_A_9<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_5<1)))&&((((i1.u4.NB_ATTENTE_A_8<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_10<1)))&&((((i1.u4.NB_ATTENTE_A_20<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_5<1)))&&((((i1.u4.NB_ATTENTE_A_1<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_5<1)))&&((((i1.u4.NB_ATTENTE_A_19<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_4<1)))&&((((i1.u4.NB_ATTENTE_A_2<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_2<1)))&&((((i1.u4.NB_ATTENTE_A_4<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_8<1)))&&((((i1.u4.NB_ATTENTE_A_6<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_6<1)))&&((((i1.u4.NB_ATTENTE_A_18<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_7<1)))&&((((i1.u4.NB_ATTENTE_A_5<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_9<1)))&&((((i1.u4.NB_ATTENTE_A_17<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_6<1)))&&((((i1.u4.NB_ATTENTE_A_15<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_0<1)))&&((((i1.u4.NB_ATTENTE_A_16<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_9<1)))&&((((i1.u4.NB_ATTENTE_A_14<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_3<1)))&&((((i1.u4.NB_ATTENTE_A_5<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_1<1)))&&((((i1.u4.NB_ATTENTE_A_15<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_8<1)))&&((((i1.u4.NB_ATTENTE_A_16<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_1<1)))&&((((i1.u4.NB_ATTENTE_A_3<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_3<1)))&&((((i1.u4.NB_ATTENTE_A_2<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_10<1)))&&((((i1.u4.NB_ATTENTE_A_4<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_0<1)))&&((((i1.u4.NB_ATTENTE_A_13<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_10<1)))&&((((i1.u4.NB_ATTENTE_A_11<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_4<1)))&&((((i1.u4.NB_ATTENTE_A_10<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_7<1)))&&((((i1.u4.NB_ATTENTE_A_8<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_1<1)))&&((((i1.u4.NB_ATTENTE_A_13<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_2<1)))&&((((i1.u4.NB_ATTENTE_A_16<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_10<1)))&&((((i1.u4.NB_ATTENTE_A_7<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_0<1)))&&((((i1.u4.NB_ATTENTE_A_12<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_5<1)))&&((((i1.u4.NB_ATTENTE_A_8<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_9<1)))&&((((i1.u4.NB_ATTENTE_A_18<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_8<1)))&&((((i1.u4.NB_ATTENTE_A_7<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_8<1)))&&((((i1.u4.NB_ATTENTE_A_20<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_6<1)))&&((((i1.u4.NB_ATTENTE_A_9<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_6<1)))&&((((i1.u4.NB_ATTENTE_A_19<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_1<1)))&&((((i1.u4.NB_ATTENTE_A_3<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_10<1)))&&((((i1.u4.NB_ATTENTE_A_20<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_0<1)))&&((((i1.u4.NB_ATTENTE_A_2<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_3<1)))&&((((i1.u4.NB_ATTENTE_A_1<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_8<1)))&&((((i1.u4.NB_ATTENTE_A_18<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_6<1)))&&((((i1.u4.NB_ATTENTE_A_6<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_7<1)))&&((((i1.u4.NB_ATTENTE_A_1<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_0<1)))&&((((i1.u4.NB_ATTENTE_A_16<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_4<1)))&&((((i1.u4.NB_ATTENTE_A_17<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_7<1)))&&((((i1.u4.NB_ATTENTE_A_14<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_2<1)))&&((((i1.u4.NB_ATTENTE_A_5<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_4<1)))&&((((i1.u4.NB_ATTENTE_A_3<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_2<1)))&&((((i1.u4.NB_ATTENTE_A_4<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_5<1)))&&((((i1.u4.NB_ATTENTE_A_15<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_5<1)))&&((((i1.u4.NB_ATTENTE_A_14<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_10<1)))&&((((i1.u4.NB_ATTENTE_A_12<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_8<1)))&&((((i1.u4.NB_ATTENTE_A_11<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_1<1)))&&((((i1.u4.NB_ATTENTE_A_10<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_6<1)))&&((((i1.u4.NB_ATTENTE_A_12<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_0<1)))&&((((i1.u4.NB_ATTENTE_A_13<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_3<1)))&&((((i1.u4.NB_ATTENTE_A_11<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_9<1)))&&((((i1.u4.NB_ATTENTE_A_7<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_5<1)))&&((((i1.u4.NB_ATTENTE_A_8<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_4<1)))&&((((i1.u4.NB_ATTENTE_A_19<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_10<1)))&&((((i1.u4.NB_ATTENTE_A_9<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_7<1)))&&((((i1.u4.NB_ATTENTE_A_20<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_9<1)))&&((((i1.u4.NB_ATTENTE_A_2<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_4<1)))&&((((i1.u4.NB_ATTENTE_A_19<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_2<1)))&&((((i1.u4.NB_ATTENTE_A_3<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_9<1)))&&((((i1.u4.NB_ATTENTE_A_4<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_6<1)))&&((((i1.u4.NB_ATTENTE_A_1<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_7<1)))&&((((i1.u4.NB_ATTENTE_A_6<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_8<1)))&&((((i1.u4.NB_ATTENTE_A_18<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_5<1)))&&((((i1.u4.NB_ATTENTE_A_17<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_8<1)))&&((((i1.u4.NB_ATTENTE_A_6<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_0<1)))&&((((i1.u4.NB_ATTENTE_A_16<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_3<1)))&&((((i1.u4.NB_ATTENTE_A_5<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_3<1)))&&((((i1.u4.NB_ATTENTE_A_14<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_1<1)))&&((((i1.u4.NB_ATTENTE_A_17<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_0<1)))&&((((i1.u4.NB_ATTENTE_A_3<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_1<1)))&&((((i1.u4.NB_ATTENTE_A_15<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_6<1)))&&((((i1.u4.NB_ATTENTE_A_14<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_9<1)))&&((((i1.u4.NB_ATTENTE_A_12<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_7<1)))&&((((i1.u4.NB_ATTENTE_A_9<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_0<1)))&&((((i1.u4.NB_ATTENTE_A_10<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_5<1)))&&((((i1.u4.NB_ATTENTE_A_11<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_2<1)))&&((((i1.u4.NB_ATTENTE_A_13<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_4<1)))&&((((i1.u4.NB_ATTENTE_A_17<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_9<1)))&&((((i1.u4.NB_ATTENTE_A_11<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_10<1)))&&((((i1.u4.NB_ATTENTE_A_7<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_6<1)))&&((((i1.u4.NB_ATTENTE_A_8<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_3<1)))&&((((i1.u4.NB_ATTENTE_A_9<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_8<1)))&&((((i1.u4.NB_ATTENTE_A_20<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_8<1)))&&((((i1.u4.NB_ATTENTE_A_20<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_2<1)))&&((((i1.u4.NB_ATTENTE_A_3<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_8<1)))&&((((i1.u4.NB_ATTENTE_A_1<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_2<1)))&&((((i1.u4.NB_ATTENTE_A_2<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_5<1)))&&((((i1.u4.NB_ATTENTE_A_5<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_6<1)))&&((((i1.u4.NB_ATTENTE_A_6<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_9<1)))&&((((i1.u4.NB_ATTENTE_A_18<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_4<1)))&&((((i1.u4.NB_ATTENTE_A_6<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_1<1)))&&((((i1.u4.NB_ATTENTE_A_14<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_0<1)))&&((((i1.u4.NB_ATTENTE_A_16<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_6<1)))&&((((i1.u4.NB_ATTENTE_A_17<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_1<1)))&&((((i1.u4.NB_ATTENTE_A_3<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_0<1)))&&((((i1.u4.NB_ATTENTE_A_4<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_3<1)))&&((((i1.u4.NB_ATTENTE_A_1<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_10<1)))&&((((i1.u4.NB_ATTENTE_A_15<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_3<1)))&&((((i1.u4.NB_ATTENTE_A_14<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_8<1)))&&((((i1.u4.NB_ATTENTE_A_10<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_4<1)))&&((((i1.u4.NB_ATTENTE_A_13<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_5<1)))&&((((i1.u4.NB_ATTENTE_A_12<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_10<1)))&&((((i1.u4.NB_ATTENTE_A_11<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_7<1)))&&((((i1.u4.NB_ATTENTE_A_12<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_2<1)))&&((((i1.u4.NB_ATTENTE_A_17<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_10<1)))&&((((i1.u4.NB_ATTENTE_A_7<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_3<1)))&&((((i1.u4.NB_ATTENTE_A_8<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_6<1)))&&((((i1.u4.NB_ATTENTE_A_9<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_9<1)))&&((((i1.u4.NB_ATTENTE_A_19<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_8<1)))&&((((i1.u4.NB_ATTENTE_A_9<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_1<1)))&&((((i1.u4.NB_ATTENTE_A_1<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_1<1)))&&((((i1.u4.NB_ATTENTE_A_19<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_0<1)))&&((((i1.u4.NB_ATTENTE_A_3<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_7<1)))&&((((i1.u4.NB_ATTENTE_A_2<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_6<1)))&&((((i1.u4.NB_ATTENTE_A_20<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_1<1)))&&((((i1.u4.NB_ATTENTE_A_18<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_3<1)))&&((((i1.u4.NB_ATTENTE_A_6<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_10<1)))&&((((i1.u4.NB_ATTENTE_A_5<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_5<1)))&&((((i1.u4.NB_ATTENTE_A_6<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_2<1)))&&((((i1.u4.NB_ATTENTE_A_16<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_5<1)))&&((((i1.u4.NB_ATTENTE_A_17<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_2<1)))&&((((i1.u4.NB_ATTENTE_A_1<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_9<1)))&&((((i1.u4.NB_ATTENTE_A_14<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_7<1)))&&((((i1.u4.NB_ATTENTE_A_4<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_4<1)))&&((((i1.u4.NB_ATTENTE_A_15<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_4<1)))&&((((i1.u4.NB_ATTENTE_A_12<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_9<1)))&&((((i1.u4.NB_ATTENTE_A_10<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_3<1)))&&((((i1.u4.NB_ATTENTE_A_13<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_6<1)))&&((((i1.u4.NB_ATTENTE_A_11<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_0<1)))&&((((i1.u4.NB_ATTENTE_A_12<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_1<1)))&&((((i1.u4.NB_ATTENTE_A_11<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_8<1)))&&((((i1.u4.NB_ATTENTE_A_7<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_4<1)))&&((((i1.u4.NB_ATTENTE_A_8<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_5<1)))&&((((i1.u4.NB_ATTENTE_A_9<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_10<1)))&&((((i1.u4.NB_ATTENTE_A_20<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_10<1)))&&((((i1.u4.NB_ATTENTE_A_9<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_2<1)))&&((((i1.u4.NB_ATTENTE_A_19<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_9<1)))&&((((i1.u4.NB_ATTENTE_A_20<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_4<1)))&&((((i1.u4.NB_ATTENTE_A_1<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_4<1)))&&((((i1.u4.NB_ATTENTE_A_2<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_7<1)))&&((((i1.u4.NB_ATTENTE_A_19<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_5<1)))&&((((i1.u4.NB_ATTENTE_A_4<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_9<1)))&&((((i1.u4.NB_ATTENTE_A_18<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_2<1)))&&((((i1.u4.NB_ATTENTE_A_5<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_8<1)))&&((((i1.u4.NB_ATTENTE_A_15<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_1<1)))&&((((i1.u4.NB_ATTENTE_A_5<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_0<1)))&&((((i1.u4.NB_ATTENTE_A_6<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_3<1)))&&((((i1.u4.NB_ATTENTE_A_17<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_3<1)))&&((((i1.u4.NB_ATTENTE_A_16<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_8<1)))&&((((i1.u4.NB_ATTENTE_A_14<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_6<1)))&&((((i1.u4.NB_ATTENTE_A_15<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_9<1)))&&((((i1.u4.NB_ATTENTE_A_16<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_0<1)))&&((((i1.u4.NB_ATTENTE_A_4<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_1<1)))&&((((i1.u4.NB_ATTENTE_A_3<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_6<1)))&&((((i1.u4.NB_ATTENTE_A_10<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_2<1)))&&((((i1.u4.NB_ATTENTE_A_11<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_5<1)))&&((((i1.u4.NB_ATTENTE_A_13<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_7<1)))&&((((i1.u4.NB_ATTENTE_A_8<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_0<1)))&&((((i1.u4.NB_ATTENTE_A_10<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_10<1)))&&((((i1.u4.NB_ATTENTE_A_7<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_1<1)))&&((((i1.u4.NB_ATTENTE_A_12<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_4<1)))&&((((i1.u4.NB_ATTENTE_A_8<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_8<1)))&&((((i1.u4.NB_ATTENTE_A_7<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_9<1)))&&((((i1.u4.NB_ATTENTE_A_9<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_3<1)))&&((((i1.u4.NB_ATTENTE_A_20<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_3<1)))&&((((i1.u4.NB_ATTENTE_A_4<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_10<1)))&&((((i1.u4.NB_ATTENTE_A_1<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_3<1)))&&((((i1.u4.NB_ATTENTE_A_2<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_0<1)))&&((((i1.u4.NB_ATTENTE_A_19<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_6<1)))&&((((i1.u4.NB_ATTENTE_A_5<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_7<1)))&&((((i1.u4.NB_ATTENTE_A_18<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_1<1)))&&((((i1.u4.NB_ATTENTE_A_6<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_4<1)))&&((((i1.u4.NB_ATTENTE_A_15<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_2<1)))&&((((i1.u4.NB_ATTENTE_A_17<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_4<1)))&&((((i1.u4.NB_ATTENTE_A_16<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_7<1)))&&((((i1.u4.NB_ATTENTE_A_4<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_2<1)))&&((((i1.u4.NB_ATTENTE_A_15<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_10<1)))&&((((i1.u4.NB_ATTENTE_A_14<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_5<1)))&&((((i1.u4.NB_ATTENTE_A_2<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_8<1)))&&((((i1.u4.NB_ATTENTE_A_3<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_5<1)))&&((((i1.u4.NB_ATTENTE_A_10<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_1<1)))&&((((i1.u4.NB_ATTENTE_A_11<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_6<1)))&&((((i1.u4.NB_ATTENTE_A_13<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_8<1)))&&((((i1.u4.NB_ATTENTE_A_10<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_9<1)))&&((((i1.u4.NB_ATTENTE_A_7<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_2<1)))&&((((i1.u4.NB_ATTENTE_A_12<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_3<1)))&&((((i1.u4.NB_ATTENTE_A_13<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_0<1)))&&((((i1.u4.NB_ATTENTE_A_18<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_10<1)))&&((((i1.u4.NB_ATTENTE_A_8<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_7<1)))&&((((i1.u4.NB_ATTENTE_A_7<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_10<1)))&&((((i1.u4.NB_ATTENTE_A_9<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_4<1)))&&((((i1.u4.NB_ATTENTE_A_19<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_7<1)))")U((G("(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((i1.u4.NB_ATTENTE_A_19<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_3<1))&&((((i1.u4.NB_ATTENTE_A_4<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_7<1)))&&((((i1.u4.NB_ATTENTE_A_2<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_1<1)))&&((((i1.u4.NB_ATTENTE_A_1<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_6<1)))&&((((i1.u4.NB_ATTENTE_A_18<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_0<1)))&&((((i1.u4.NB_ATTENTE_A_6<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_5<1)))&&((((i1.u4.NB_ATTENTE_A_5<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_10<1)))&&((((i1.u4.NB_ATTENTE_A_16<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_2<1)))&&((((i1.u4.NB_ATTENTE_A_17<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_5<1)))&&((((i1.u4.NB_ATTENTE_A_5<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_2<1)))&&((((i1.u4.NB_ATTENTE_A_14<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_4<1)))&&((((i1.u4.NB_ATTENTE_A_15<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_7<1)))&&((((i1.u4.NB_ATTENTE_A_3<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_4<1)))&&((((i1.u4.NB_ATTENTE_A_2<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_9<1)))&&((((i1.u4.NB_ATTENTE_A_10<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_0<1)))&&((((i1.u4.NB_ATTENTE_A_11<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_3<1)))&&((((i1.u4.NB_ATTENTE_A_12<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_6<1)))&&((((i1.u4.NB_ATTENTE_A_13<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_9<1)))&&((((i1.u4.NB_ATTENTE_A_10<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_8<1)))&&((((i1.u4.NB_ATTENTE_A_13<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_1<1)))&&((((i1.u4.NB_ATTENTE_A_18<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_9<1)))&&((((i1.u4.NB_ATTENTE_A_8<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_2<1)))&&((((i1.u4.NB_ATTENTE_A_7<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_7<1)))&&((((i1.u4.NB_ATTENTE_A_20<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_7<1)))&&((((i1.u4.NB_ATTENTE_A_9<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_5<1)))&&((((i1.u4.NB_ATTENTE_A_8<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_10<1)))&&((((i1.u4.NB_ATTENTE_A_20<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_5<1)))&&((((i1.u4.NB_ATTENTE_A_1<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_5<1)))&&((((i1.u4.NB_ATTENTE_A_19<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_4<1)))&&((((i1.u4.NB_ATTENTE_A_2<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_2<1)))&&((((i1.u4.NB_ATTENTE_A_4<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_8<1)))&&((((i1.u4.NB_ATTENTE_A_6<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_6<1)))&&((((i1.u4.NB_ATTENTE_A_18<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_7<1)))&&((((i1.u4.NB_ATTENTE_A_5<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_9<1)))&&((((i1.u4.NB_ATTENTE_A_17<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_6<1)))&&((((i1.u4.NB_ATTENTE_A_15<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_0<1)))&&((((i1.u4.NB_ATTENTE_A_16<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_9<1)))&&((((i1.u4.NB_ATTENTE_A_14<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_3<1)))&&((((i1.u4.NB_ATTENTE_A_5<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_1<1)))&&((((i1.u4.NB_ATTENTE_A_15<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_8<1)))&&((((i1.u4.NB_ATTENTE_A_16<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_1<1)))&&((((i1.u4.NB_ATTENTE_A_3<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_3<1)))&&((((i1.u4.NB_ATTENTE_A_2<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_10<1)))&&((((i1.u4.NB_ATTENTE_A_4<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_0<1)))&&((((i1.u4.NB_ATTENTE_A_13<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_10<1)))&&((((i1.u4.NB_ATTENTE_A_11<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_4<1)))&&((((i1.u4.NB_ATTENTE_A_10<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_7<1)))&&((((i1.u4.NB_ATTENTE_A_8<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_1<1)))&&((((i1.u4.NB_ATTENTE_A_13<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_2<1)))&&((((i1.u4.NB_ATTENTE_A_16<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_10<1)))&&((((i1.u4.NB_ATTENTE_A_7<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_0<1)))&&((((i1.u4.NB_ATTENTE_A_12<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_5<1)))&&((((i1.u4.NB_ATTENTE_A_8<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_9<1)))&&((((i1.u4.NB_ATTENTE_A_18<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_8<1)))&&((((i1.u4.NB_ATTENTE_A_7<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_8<1)))&&((((i1.u4.NB_ATTENTE_A_20<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_6<1)))&&((((i1.u4.NB_ATTENTE_A_9<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_6<1)))&&((((i1.u4.NB_ATTENTE_A_19<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_1<1)))&&((((i1.u4.NB_ATTENTE_A_3<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_10<1)))&&((((i1.u4.NB_ATTENTE_A_20<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_0<1)))&&((((i1.u4.NB_ATTENTE_A_2<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_3<1)))&&((((i1.u4.NB_ATTENTE_A_1<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_8<1)))&&((((i1.u4.NB_ATTENTE_A_18<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_6<1)))&&((((i1.u4.NB_ATTENTE_A_6<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_7<1)))&&((((i1.u4.NB_ATTENTE_A_1<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_0<1)))&&((((i1.u4.NB_ATTENTE_A_16<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_4<1)))&&((((i1.u4.NB_ATTENTE_A_17<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_7<1)))&&((((i1.u4.NB_ATTENTE_A_14<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_2<1)))&&((((i1.u4.NB_ATTENTE_A_5<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_4<1)))&&((((i1.u4.NB_ATTENTE_A_3<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_2<1)))&&((((i1.u4.NB_ATTENTE_A_4<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_5<1)))&&((((i1.u4.NB_ATTENTE_A_15<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_5<1)))&&((((i1.u4.NB_ATTENTE_A_14<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_10<1)))&&((((i1.u4.NB_ATTENTE_A_12<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_8<1)))&&((((i1.u4.NB_ATTENTE_A_11<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_1<1)))&&((((i1.u4.NB_ATTENTE_A_10<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_6<1)))&&((((i1.u4.NB_ATTENTE_A_12<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_0<1)))&&((((i1.u4.NB_ATTENTE_A_13<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_3<1)))&&((((i1.u4.NB_ATTENTE_A_11<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_9<1)))&&((((i1.u4.NB_ATTENTE_A_7<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_5<1)))&&((((i1.u4.NB_ATTENTE_A_8<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_4<1)))&&((((i1.u4.NB_ATTENTE_A_19<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_10<1)))&&((((i1.u4.NB_ATTENTE_A_9<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_7<1)))&&((((i1.u4.NB_ATTENTE_A_20<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_9<1)))&&((((i1.u4.NB_ATTENTE_A_2<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_4<1)))&&((((i1.u4.NB_ATTENTE_A_19<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_2<1)))&&((((i1.u4.NB_ATTENTE_A_3<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_9<1)))&&((((i1.u4.NB_ATTENTE_A_4<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_6<1)))&&((((i1.u4.NB_ATTENTE_A_1<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_7<1)))&&((((i1.u4.NB_ATTENTE_A_6<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_8<1)))&&((((i1.u4.NB_ATTENTE_A_18<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_5<1)))&&((((i1.u4.NB_ATTENTE_A_17<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_8<1)))&&((((i1.u4.NB_ATTENTE_A_6<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_0<1)))&&((((i1.u4.NB_ATTENTE_A_16<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_3<1)))&&((((i1.u4.NB_ATTENTE_A_5<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_3<1)))&&((((i1.u4.NB_ATTENTE_A_14<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_1<1)))&&((((i1.u4.NB_ATTENTE_A_17<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_0<1)))&&((((i1.u4.NB_ATTENTE_A_3<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_1<1)))&&((((i1.u4.NB_ATTENTE_A_15<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_6<1)))&&((((i1.u4.NB_ATTENTE_A_14<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_9<1)))&&((((i1.u4.NB_ATTENTE_A_12<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_7<1)))&&((((i1.u4.NB_ATTENTE_A_9<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_0<1)))&&((((i1.u4.NB_ATTENTE_A_10<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_5<1)))&&((((i1.u4.NB_ATTENTE_A_11<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_2<1)))&&((((i1.u4.NB_ATTENTE_A_13<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_4<1)))&&((((i1.u4.NB_ATTENTE_A_17<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_9<1)))&&((((i1.u4.NB_ATTENTE_A_11<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_10<1)))&&((((i1.u4.NB_ATTENTE_A_7<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_6<1)))&&((((i1.u4.NB_ATTENTE_A_8<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_3<1)))&&((((i1.u4.NB_ATTENTE_A_9<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_8<1)))&&((((i1.u4.NB_ATTENTE_A_20<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_8<1)))&&((((i1.u4.NB_ATTENTE_A_20<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_2<1)))&&((((i1.u4.NB_ATTENTE_A_3<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_8<1)))&&((((i1.u4.NB_ATTENTE_A_1<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_2<1)))&&((((i1.u4.NB_ATTENTE_A_2<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_5<1)))&&((((i1.u4.NB_ATTENTE_A_5<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_6<1)))&&((((i1.u4.NB_ATTENTE_A_6<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_9<1)))&&((((i1.u4.NB_ATTENTE_A_18<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_4<1)))&&((((i1.u4.NB_ATTENTE_A_6<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_1<1)))&&((((i1.u4.NB_ATTENTE_A_14<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_0<1)))&&((((i1.u4.NB_ATTENTE_A_16<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_6<1)))&&((((i1.u4.NB_ATTENTE_A_17<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_1<1)))&&((((i1.u4.NB_ATTENTE_A_3<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_0<1)))&&((((i1.u4.NB_ATTENTE_A_4<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_3<1)))&&((((i1.u4.NB_ATTENTE_A_1<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_10<1)))&&((((i1.u4.NB_ATTENTE_A_15<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_3<1)))&&((((i1.u4.NB_ATTENTE_A_14<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_8<1)))&&((((i1.u4.NB_ATTENTE_A_10<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_4<1)))&&((((i1.u4.NB_ATTENTE_A_13<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_5<1)))&&((((i1.u4.NB_ATTENTE_A_12<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_10<1)))&&((((i1.u4.NB_ATTENTE_A_11<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_7<1)))&&((((i1.u4.NB_ATTENTE_A_12<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_2<1)))&&((((i1.u4.NB_ATTENTE_A_17<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_10<1)))&&((((i1.u4.NB_ATTENTE_A_7<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_3<1)))&&((((i1.u4.NB_ATTENTE_A_8<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_6<1)))&&((((i1.u4.NB_ATTENTE_A_9<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_9<1)))&&((((i1.u4.NB_ATTENTE_A_19<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_8<1)))&&((((i1.u4.NB_ATTENTE_A_9<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_1<1)))&&((((i1.u4.NB_ATTENTE_A_1<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_1<1)))&&((((i1.u4.NB_ATTENTE_A_19<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_0<1)))&&((((i1.u4.NB_ATTENTE_A_3<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_7<1)))&&((((i1.u4.NB_ATTENTE_A_2<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_6<1)))&&((((i1.u4.NB_ATTENTE_A_20<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_1<1)))&&((((i1.u4.NB_ATTENTE_A_18<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_3<1)))&&((((i1.u4.NB_ATTENTE_A_6<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_10<1)))&&((((i1.u4.NB_ATTENTE_A_5<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_5<1)))&&((((i1.u4.NB_ATTENTE_A_6<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_2<1)))&&((((i1.u4.NB_ATTENTE_A_16<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_5<1)))&&((((i1.u4.NB_ATTENTE_A_17<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_2<1)))&&((((i1.u4.NB_ATTENTE_A_1<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_9<1)))&&((((i1.u4.NB_ATTENTE_A_14<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_7<1)))&&((((i1.u4.NB_ATTENTE_A_4<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_4<1)))&&((((i1.u4.NB_ATTENTE_A_15<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_4<1)))&&((((i1.u4.NB_ATTENTE_A_12<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_9<1)))&&((((i1.u4.NB_ATTENTE_A_10<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_3<1)))&&((((i1.u4.NB_ATTENTE_A_13<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_6<1)))&&((((i1.u4.NB_ATTENTE_A_11<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_0<1)))&&((((i1.u4.NB_ATTENTE_A_12<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_1<1)))&&((((i1.u4.NB_ATTENTE_A_11<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_8<1)))&&((((i1.u4.NB_ATTENTE_A_7<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_4<1)))&&((((i1.u4.NB_ATTENTE_A_8<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_5<1)))&&((((i1.u4.NB_ATTENTE_A_9<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_10<1)))&&((((i1.u4.NB_ATTENTE_A_20<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_10<1)))&&((((i1.u4.NB_ATTENTE_A_9<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_2<1)))&&((((i1.u4.NB_ATTENTE_A_19<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_9<1)))&&((((i1.u4.NB_ATTENTE_A_20<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_4<1)))&&((((i1.u4.NB_ATTENTE_A_1<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_4<1)))&&((((i1.u4.NB_ATTENTE_A_2<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_7<1)))&&((((i1.u4.NB_ATTENTE_A_19<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_5<1)))&&((((i1.u4.NB_ATTENTE_A_4<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_9<1)))&&((((i1.u4.NB_ATTENTE_A_18<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_2<1)))&&((((i1.u4.NB_ATTENTE_A_5<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_8<1)))&&((((i1.u4.NB_ATTENTE_A_15<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_1<1)))&&((((i1.u4.NB_ATTENTE_A_5<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_0<1)))&&((((i1.u4.NB_ATTENTE_A_6<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_3<1)))&&((((i1.u4.NB_ATTENTE_A_17<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_3<1)))&&((((i1.u4.NB_ATTENTE_A_16<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_8<1)))&&((((i1.u4.NB_ATTENTE_A_14<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_6<1)))&&((((i1.u4.NB_ATTENTE_A_15<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_9<1)))&&((((i1.u4.NB_ATTENTE_A_16<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_0<1)))&&((((i1.u4.NB_ATTENTE_A_4<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_1<1)))&&((((i1.u4.NB_ATTENTE_A_3<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_6<1)))&&((((i1.u4.NB_ATTENTE_A_10<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_2<1)))&&((((i1.u4.NB_ATTENTE_A_11<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_5<1)))&&((((i1.u4.NB_ATTENTE_A_13<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_7<1)))&&((((i1.u4.NB_ATTENTE_A_8<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_0<1)))&&((((i1.u4.NB_ATTENTE_A_10<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_10<1)))&&((((i1.u4.NB_ATTENTE_A_7<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_1<1)))&&((((i1.u4.NB_ATTENTE_A_12<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_4<1)))&&((((i1.u4.NB_ATTENTE_A_8<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_8<1)))&&((((i1.u4.NB_ATTENTE_A_7<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_9<1)))&&((((i1.u4.NB_ATTENTE_A_9<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_3<1)))&&((((i1.u4.NB_ATTENTE_A_20<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_3<1)))&&((((i1.u4.NB_ATTENTE_A_4<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_10<1)))&&((((i1.u4.NB_ATTENTE_A_1<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_3<1)))&&((((i1.u4.NB_ATTENTE_A_2<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_0<1)))&&((((i1.u4.NB_ATTENTE_A_19<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_6<1)))&&((((i1.u4.NB_ATTENTE_A_5<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_7<1)))&&((((i1.u4.NB_ATTENTE_A_18<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_1<1)))&&((((i1.u4.NB_ATTENTE_A_6<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_4<1)))&&((((i1.u4.NB_ATTENTE_A_15<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_2<1)))&&((((i1.u4.NB_ATTENTE_A_17<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_4<1)))&&((((i1.u4.NB_ATTENTE_A_16<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_7<1)))&&((((i1.u4.NB_ATTENTE_A_4<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_2<1)))&&((((i1.u4.NB_ATTENTE_A_15<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_10<1)))&&((((i1.u4.NB_ATTENTE_A_14<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_5<1)))&&((((i1.u4.NB_ATTENTE_A_2<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_8<1)))&&((((i1.u4.NB_ATTENTE_A_3<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_5<1)))&&((((i1.u4.NB_ATTENTE_A_10<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_1<1)))&&((((i1.u4.NB_ATTENTE_A_11<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_6<1)))&&((((i1.u4.NB_ATTENTE_A_13<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_8<1)))&&((((i1.u4.NB_ATTENTE_A_10<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_9<1)))&&((((i1.u4.NB_ATTENTE_A_7<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_2<1)))&&((((i1.u4.NB_ATTENTE_A_12<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_3<1)))&&((((i1.u4.NB_ATTENTE_A_13<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_0<1)))&&((((i1.u4.NB_ATTENTE_A_18<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_10<1)))&&((((i1.u4.NB_ATTENTE_A_8<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_7<1)))&&((((i1.u4.NB_ATTENTE_A_7<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_10<1)))&&((((i1.u4.NB_ATTENTE_A_9<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_4<1)))&&((((i1.u4.NB_ATTENTE_A_19<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_7<1)))"))||(("(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((i1.u4.NB_ATTENTE_A_19<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_3<1))&&((((i1.u4.NB_ATTENTE_A_4<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_7<1)))&&((((i1.u4.NB_ATTENTE_A_2<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_1<1)))&&((((i1.u4.NB_ATTENTE_A_1<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_6<1)))&&((((i1.u4.NB_ATTENTE_A_18<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_0<1)))&&((((i1.u4.NB_ATTENTE_A_6<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_5<1)))&&((((i1.u4.NB_ATTENTE_A_5<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_10<1)))&&((((i1.u4.NB_ATTENTE_A_16<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_2<1)))&&((((i1.u4.NB_ATTENTE_A_17<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_5<1)))&&((((i1.u4.NB_ATTENTE_A_5<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_2<1)))&&((((i1.u4.NB_ATTENTE_A_14<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_4<1)))&&((((i1.u4.NB_ATTENTE_A_15<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_7<1)))&&((((i1.u4.NB_ATTENTE_A_3<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_4<1)))&&((((i1.u4.NB_ATTENTE_A_2<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_9<1)))&&((((i1.u4.NB_ATTENTE_A_10<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_0<1)))&&((((i1.u4.NB_ATTENTE_A_11<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_3<1)))&&((((i1.u4.NB_ATTENTE_A_12<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_6<1)))&&((((i1.u4.NB_ATTENTE_A_13<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_9<1)))&&((((i1.u4.NB_ATTENTE_A_10<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_8<1)))&&((((i1.u4.NB_ATTENTE_A_13<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_1<1)))&&((((i1.u4.NB_ATTENTE_A_18<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_9<1)))&&((((i1.u4.NB_ATTENTE_A_8<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_2<1)))&&((((i1.u4.NB_ATTENTE_A_7<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_7<1)))&&((((i1.u4.NB_ATTENTE_A_20<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_7<1)))&&((((i1.u4.NB_ATTENTE_A_9<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_5<1)))&&((((i1.u4.NB_ATTENTE_A_8<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_10<1)))&&((((i1.u4.NB_ATTENTE_A_20<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_5<1)))&&((((i1.u4.NB_ATTENTE_A_1<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_5<1)))&&((((i1.u4.NB_ATTENTE_A_19<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_4<1)))&&((((i1.u4.NB_ATTENTE_A_2<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_2<1)))&&((((i1.u4.NB_ATTENTE_A_4<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_8<1)))&&((((i1.u4.NB_ATTENTE_A_6<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_6<1)))&&((((i1.u4.NB_ATTENTE_A_18<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_7<1)))&&((((i1.u4.NB_ATTENTE_A_5<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_9<1)))&&((((i1.u4.NB_ATTENTE_A_17<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_6<1)))&&((((i1.u4.NB_ATTENTE_A_15<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_0<1)))&&((((i1.u4.NB_ATTENTE_A_16<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_9<1)))&&((((i1.u4.NB_ATTENTE_A_14<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_3<1)))&&((((i1.u4.NB_ATTENTE_A_5<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_1<1)))&&((((i1.u4.NB_ATTENTE_A_15<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_8<1)))&&((((i1.u4.NB_ATTENTE_A_16<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_1<1)))&&((((i1.u4.NB_ATTENTE_A_3<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_3<1)))&&((((i1.u4.NB_ATTENTE_A_2<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_10<1)))&&((((i1.u4.NB_ATTENTE_A_4<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_0<1)))&&((((i1.u4.NB_ATTENTE_A_13<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_10<1)))&&((((i1.u4.NB_ATTENTE_A_11<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_4<1)))&&((((i1.u4.NB_ATTENTE_A_10<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_7<1)))&&((((i1.u4.NB_ATTENTE_A_8<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_1<1)))&&((((i1.u4.NB_ATTENTE_A_13<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_2<1)))&&((((i1.u4.NB_ATTENTE_A_16<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_10<1)))&&((((i1.u4.NB_ATTENTE_A_7<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_0<1)))&&((((i1.u4.NB_ATTENTE_A_12<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_5<1)))&&((((i1.u4.NB_ATTENTE_A_8<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_9<1)))&&((((i1.u4.NB_ATTENTE_A_18<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_8<1)))&&((((i1.u4.NB_ATTENTE_A_7<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_8<1)))&&((((i1.u4.NB_ATTENTE_A_20<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_6<1)))&&((((i1.u4.NB_ATTENTE_A_9<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_6<1)))&&((((i1.u4.NB_ATTENTE_A_19<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_1<1)))&&((((i1.u4.NB_ATTENTE_A_3<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_10<1)))&&((((i1.u4.NB_ATTENTE_A_20<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_0<1)))&&((((i1.u4.NB_ATTENTE_A_2<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_3<1)))&&((((i1.u4.NB_ATTENTE_A_1<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_8<1)))&&((((i1.u4.NB_ATTENTE_A_18<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_6<1)))&&((((i1.u4.NB_ATTENTE_A_6<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_7<1)))&&((((i1.u4.NB_ATTENTE_A_1<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_0<1)))&&((((i1.u4.NB_ATTENTE_A_16<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_4<1)))&&((((i1.u4.NB_ATTENTE_A_17<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_7<1)))&&((((i1.u4.NB_ATTENTE_A_14<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_2<1)))&&((((i1.u4.NB_ATTENTE_A_5<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_4<1)))&&((((i1.u4.NB_ATTENTE_A_3<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_2<1)))&&((((i1.u4.NB_ATTENTE_A_4<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_5<1)))&&((((i1.u4.NB_ATTENTE_A_15<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_5<1)))&&((((i1.u4.NB_ATTENTE_A_14<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_10<1)))&&((((i1.u4.NB_ATTENTE_A_12<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_8<1)))&&((((i1.u4.NB_ATTENTE_A_11<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_1<1)))&&((((i1.u4.NB_ATTENTE_A_10<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_6<1)))&&((((i1.u4.NB_ATTENTE_A_12<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_0<1)))&&((((i1.u4.NB_ATTENTE_A_13<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_3<1)))&&((((i1.u4.NB_ATTENTE_A_11<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_9<1)))&&((((i1.u4.NB_ATTENTE_A_7<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_5<1)))&&((((i1.u4.NB_ATTENTE_A_8<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_4<1)))&&((((i1.u4.NB_ATTENTE_A_19<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_10<1)))&&((((i1.u4.NB_ATTENTE_A_9<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_7<1)))&&((((i1.u4.NB_ATTENTE_A_20<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_9<1)))&&((((i1.u4.NB_ATTENTE_A_2<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_4<1)))&&((((i1.u4.NB_ATTENTE_A_19<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_2<1)))&&((((i1.u4.NB_ATTENTE_A_3<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_9<1)))&&((((i1.u4.NB_ATTENTE_A_4<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_6<1)))&&((((i1.u4.NB_ATTENTE_A_1<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_7<1)))&&((((i1.u4.NB_ATTENTE_A_6<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_8<1)))&&((((i1.u4.NB_ATTENTE_A_18<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_5<1)))&&((((i1.u4.NB_ATTENTE_A_17<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_8<1)))&&((((i1.u4.NB_ATTENTE_A_6<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_0<1)))&&((((i1.u4.NB_ATTENTE_A_16<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_3<1)))&&((((i1.u4.NB_ATTENTE_A_5<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_3<1)))&&((((i1.u4.NB_ATTENTE_A_14<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_1<1)))&&((((i1.u4.NB_ATTENTE_A_17<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_0<1)))&&((((i1.u4.NB_ATTENTE_A_3<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_1<1)))&&((((i1.u4.NB_ATTENTE_A_15<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_6<1)))&&((((i1.u4.NB_ATTENTE_A_14<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_9<1)))&&((((i1.u4.NB_ATTENTE_A_12<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_7<1)))&&((((i1.u4.NB_ATTENTE_A_9<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_0<1)))&&((((i1.u4.NB_ATTENTE_A_10<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_5<1)))&&((((i1.u4.NB_ATTENTE_A_11<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_2<1)))&&((((i1.u4.NB_ATTENTE_A_13<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_4<1)))&&((((i1.u4.NB_ATTENTE_A_17<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_9<1)))&&((((i1.u4.NB_ATTENTE_A_11<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_10<1)))&&((((i1.u4.NB_ATTENTE_A_7<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_6<1)))&&((((i1.u4.NB_ATTENTE_A_8<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_3<1)))&&((((i1.u4.NB_ATTENTE_A_9<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_8<1)))&&((((i1.u4.NB_ATTENTE_A_20<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_8<1)))&&((((i1.u4.NB_ATTENTE_A_20<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_2<1)))&&((((i1.u4.NB_ATTENTE_A_3<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_8<1)))&&((((i1.u4.NB_ATTENTE_A_1<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_2<1)))&&((((i1.u4.NB_ATTENTE_A_2<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_5<1)))&&((((i1.u4.NB_ATTENTE_A_5<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_6<1)))&&((((i1.u4.NB_ATTENTE_A_6<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_9<1)))&&((((i1.u4.NB_ATTENTE_A_18<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_4<1)))&&((((i1.u4.NB_ATTENTE_A_6<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_1<1)))&&((((i1.u4.NB_ATTENTE_A_14<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_0<1)))&&((((i1.u4.NB_ATTENTE_A_16<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_6<1)))&&((((i1.u4.NB_ATTENTE_A_17<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_1<1)))&&((((i1.u4.NB_ATTENTE_A_3<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_0<1)))&&((((i1.u4.NB_ATTENTE_A_4<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_3<1)))&&((((i1.u4.NB_ATTENTE_A_1<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_10<1)))&&((((i1.u4.NB_ATTENTE_A_15<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_3<1)))&&((((i1.u4.NB_ATTENTE_A_14<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_8<1)))&&((((i1.u4.NB_ATTENTE_A_10<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_4<1)))&&((((i1.u4.NB_ATTENTE_A_13<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_5<1)))&&((((i1.u4.NB_ATTENTE_A_12<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_10<1)))&&((((i1.u4.NB_ATTENTE_A_11<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_7<1)))&&((((i1.u4.NB_ATTENTE_A_12<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_2<1)))&&((((i1.u4.NB_ATTENTE_A_17<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_10<1)))&&((((i1.u4.NB_ATTENTE_A_7<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_3<1)))&&((((i1.u4.NB_ATTENTE_A_8<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_6<1)))&&((((i1.u4.NB_ATTENTE_A_9<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_9<1)))&&((((i1.u4.NB_ATTENTE_A_19<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_8<1)))&&((((i1.u4.NB_ATTENTE_A_9<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_1<1)))&&((((i1.u4.NB_ATTENTE_A_1<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_1<1)))&&((((i1.u4.NB_ATTENTE_A_19<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_0<1)))&&((((i1.u4.NB_ATTENTE_A_3<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_7<1)))&&((((i1.u4.NB_ATTENTE_A_2<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_6<1)))&&((((i1.u4.NB_ATTENTE_A_20<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_1<1)))&&((((i1.u4.NB_ATTENTE_A_18<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_3<1)))&&((((i1.u4.NB_ATTENTE_A_6<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_10<1)))&&((((i1.u4.NB_ATTENTE_A_5<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_5<1)))&&((((i1.u4.NB_ATTENTE_A_6<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_2<1)))&&((((i1.u4.NB_ATTENTE_A_16<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_5<1)))&&((((i1.u4.NB_ATTENTE_A_17<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_2<1)))&&((((i1.u4.NB_ATTENTE_A_1<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_9<1)))&&((((i1.u4.NB_ATTENTE_A_14<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_7<1)))&&((((i1.u4.NB_ATTENTE_A_4<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_4<1)))&&((((i1.u4.NB_ATTENTE_A_15<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_4<1)))&&((((i1.u4.NB_ATTENTE_A_12<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_9<1)))&&((((i1.u4.NB_ATTENTE_A_10<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_3<1)))&&((((i1.u4.NB_ATTENTE_A_13<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_6<1)))&&((((i1.u4.NB_ATTENTE_A_11<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_0<1)))&&((((i1.u4.NB_ATTENTE_A_12<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_1<1)))&&((((i1.u4.NB_ATTENTE_A_11<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_8<1)))&&((((i1.u4.NB_ATTENTE_A_7<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_4<1)))&&((((i1.u4.NB_ATTENTE_A_8<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_5<1)))&&((((i1.u4.NB_ATTENTE_A_9<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_10<1)))&&((((i1.u4.NB_ATTENTE_A_20<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_10<1)))&&((((i1.u4.NB_ATTENTE_A_9<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_2<1)))&&((((i1.u4.NB_ATTENTE_A_19<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_9<1)))&&((((i1.u4.NB_ATTENTE_A_20<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_4<1)))&&((((i1.u4.NB_ATTENTE_A_1<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_4<1)))&&((((i1.u4.NB_ATTENTE_A_2<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_7<1)))&&((((i1.u4.NB_ATTENTE_A_19<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_5<1)))&&((((i1.u4.NB_ATTENTE_A_4<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_9<1)))&&((((i1.u4.NB_ATTENTE_A_18<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_2<1)))&&((((i1.u4.NB_ATTENTE_A_5<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_8<1)))&&((((i1.u4.NB_ATTENTE_A_15<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_1<1)))&&((((i1.u4.NB_ATTENTE_A_5<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_0<1)))&&((((i1.u4.NB_ATTENTE_A_6<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_3<1)))&&((((i1.u4.NB_ATTENTE_A_17<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_3<1)))&&((((i1.u4.NB_ATTENTE_A_16<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_8<1)))&&((((i1.u4.NB_ATTENTE_A_14<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_6<1)))&&((((i1.u4.NB_ATTENTE_A_15<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_9<1)))&&((((i1.u4.NB_ATTENTE_A_16<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_0<1)))&&((((i1.u4.NB_ATTENTE_A_4<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_1<1)))&&((((i1.u4.NB_ATTENTE_A_3<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_6<1)))&&((((i1.u4.NB_ATTENTE_A_10<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_2<1)))&&((((i1.u4.NB_ATTENTE_A_11<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_5<1)))&&((((i1.u4.NB_ATTENTE_A_13<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_7<1)))&&((((i1.u4.NB_ATTENTE_A_8<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_0<1)))&&((((i1.u4.NB_ATTENTE_A_10<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_10<1)))&&((((i1.u4.NB_ATTENTE_A_7<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_1<1)))&&((((i1.u4.NB_ATTENTE_A_12<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_4<1)))&&((((i1.u4.NB_ATTENTE_A_8<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_8<1)))&&((((i1.u4.NB_ATTENTE_A_7<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_9<1)))&&((((i1.u4.NB_ATTENTE_A_9<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_3<1)))&&((((i1.u4.NB_ATTENTE_A_20<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_3<1)))&&((((i1.u4.NB_ATTENTE_A_4<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_10<1)))&&((((i1.u4.NB_ATTENTE_A_1<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_3<1)))&&((((i1.u4.NB_ATTENTE_A_2<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_0<1)))&&((((i1.u4.NB_ATTENTE_A_19<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_6<1)))&&((((i1.u4.NB_ATTENTE_A_5<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_7<1)))&&((((i1.u4.NB_ATTENTE_A_18<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_1<1)))&&((((i1.u4.NB_ATTENTE_A_6<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_4<1)))&&((((i1.u4.NB_ATTENTE_A_15<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_2<1)))&&((((i1.u4.NB_ATTENTE_A_17<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_4<1)))&&((((i1.u4.NB_ATTENTE_A_16<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_7<1)))&&((((i1.u4.NB_ATTENTE_A_4<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_2<1)))&&((((i1.u4.NB_ATTENTE_A_15<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_10<1)))&&((((i1.u4.NB_ATTENTE_A_14<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_5<1)))&&((((i1.u4.NB_ATTENTE_A_2<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_8<1)))&&((((i1.u4.NB_ATTENTE_A_3<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_5<1)))&&((((i1.u4.NB_ATTENTE_A_10<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_1<1)))&&((((i1.u4.NB_ATTENTE_A_11<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_6<1)))&&((((i1.u4.NB_ATTENTE_A_13<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_8<1)))&&((((i1.u4.NB_ATTENTE_A_10<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_9<1)))&&((((i1.u4.NB_ATTENTE_A_7<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_2<1)))&&((((i1.u4.NB_ATTENTE_A_12<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_3<1)))&&((((i1.u4.NB_ATTENTE_A_13<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_0<1)))&&((((i1.u4.NB_ATTENTE_A_18<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_10<1)))&&((((i1.u4.NB_ATTENTE_A_8<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_7<1)))&&((((i1.u4.NB_ATTENTE_A_7<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_10<1)))&&((((i1.u4.NB_ATTENTE_A_9<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_4<1)))&&((((i1.u4.NB_ATTENTE_A_19<1)||(i1.u4.NB_ATTENTE_B_0<1))||(i1.u4.CONTROLEUR_1<1))||(i1.u0.COMPTEUR_7<1)))")&&(G("(((((((((((((((((((((((i0.u2.CAPACITE_0>=1)&&(i1.u4.NB_ATTENTE_A_16>=1))&&(i0.u1.ATTENTE_A_0>=1))&&(i2.u5.CONTROLEUR_0>=1))||((((i0.u2.CAPACITE_0>=1)&&(i1.u4.NB_ATTENTE_A_10>=1))&&(i0.u1.ATTENTE_A_0>=1))&&(i2.u5.CONTROLEUR_0>=1)))||((((i0.u2.CAPACITE_0>=1)&&(i1.u4.NB_ATTENTE_A_4>=1))&&(i0.u1.ATTENTE_A_0>=1))&&(i2.u5.CONTROLEUR_0>=1)))||((((i0.u2.CAPACITE_0>=1)&&(i1.u4.NB_ATTENTE_A_3>=1))&&(i0.u1.ATTENTE_A_0>=1))&&(i2.u5.CONTROLEUR_0>=1)))||((((i0.u2.CAPACITE_0>=1)&&(i1.u4.NB_ATTENTE_A_9>=1))&&(i0.u1.ATTENTE_A_0>=1))&&(i2.u5.CONTROLEUR_0>=1)))||((((i0.u2.CAPACITE_0>=1)&&(i1.u4.NB_ATTENTE_A_5>=1))&&(i0.u1.ATTENTE_A_0>=1))&&(i2.u5.CONTROLEUR_0>=1)))||((((i0.u2.CAPACITE_0>=1)&&(i1.u4.NB_ATTENTE_A_11>=1))&&(i0.u1.ATTENTE_A_0>=1))&&(i2.u5.CONTROLEUR_0>=1)))||((((i0.u2.CAPACITE_0>=1)&&(i1.u4.NB_ATTENTE_A_14>=1))&&(i0.u1.ATTENTE_A_0>=1))&&(i2.u5.CONTROLEUR_0>=1)))||((((i0.u2.CAPACITE_0>=1)&&(i1.u4.NB_ATTENTE_A_2>=1))&&(i0.u1.ATTENTE_A_0>=1))&&(i2.u5.CONTROLEUR_0>=1)))||((((i0.u2.CAPACITE_0>=1)&&(i1.u4.NB_ATTENTE_A_6>=1))&&(i0.u1.ATTENTE_A_0>=1))&&(i2.u5.CONTROLEUR_0>=1)))||((((i0.u2.CAPACITE_0>=1)&&(i1.u4.NB_ATTENTE_A_15>=1))&&(i0.u1.ATTENTE_A_0>=1))&&(i2.u5.CONTROLEUR_0>=1)))||((((i0.u2.CAPACITE_0>=1)&&(i1.u4.NB_ATTENTE_A_19>=1))&&(i0.u1.ATTENTE_A_0>=1))&&(i2.u5.CONTROLEUR_0>=1)))||((((i0.u2.CAPACITE_0>=1)&&(i1.u4.NB_ATTENTE_A_1>=1))&&(i0.u1.ATTENTE_A_0>=1))&&(i2.u5.CONTROLEUR_0>=1)))||((((i0.u2.CAPACITE_0>=1)&&(i1.u4.NB_ATTENTE_A_13>=1))&&(i0.u1.ATTENTE_A_0>=1))&&(i2.u5.CONTROLEUR_0>=1)))||((((i0.u2.CAPACITE_0>=1)&&(i1.u4.NB_ATTENTE_A_20>=1))&&(i0.u1.ATTENTE_A_0>=1))&&(i2.u5.CONTROLEUR_0>=1)))||((((i0.u2.CAPACITE_0>=1)&&(i1.u4.NB_ATTENTE_A_7>=1))&&(i0.u1.ATTENTE_A_0>=1))&&(i2.u5.CONTROLEUR_0>=1)))||((((i0.u2.CAPACITE_0>=1)&&(i1.u4.NB_ATTENTE_A_18>=1))&&(i0.u1.ATTENTE_A_0>=1))&&(i2.u5.CONTROLEUR_0>=1)))||((((i0.u2.CAPACITE_0>=1)&&(i1.u4.NB_ATTENTE_A_12>=1))&&(i0.u1.ATTENTE_A_0>=1))&&(i2.u5.CONTROLEUR_0>=1)))||((((i0.u2.CAPACITE_0>=1)&&(i1.u4.NB_ATTENTE_A_8>=1))&&(i0.u1.ATTENTE_A_0>=1))&&(i2.u5.CONTROLEUR_0>=1)))||((((i0.u2.CAPACITE_0>=1)&&(i1.u4.NB_ATTENTE_A_17>=1))&&(i0.u1.ATTENTE_A_0>=1))&&(i2.u5.CONTROLEUR_0>=1)))"))))))))

========== file over 1MB has been truncated ======
retrieve it from the run archives if needed

Sequence of Actions to be Executed by the VM

This is useful if one wants to reexecute the tool in the VM from the submitted image disk.

set -x
# this is for BenchKit: configuration of major elements for the test
export BK_INPUT="BridgeAndVehicles-COL-V20P20N10"
export BK_EXAMINATION="LTLFireability"
export BK_TOOL="itstools"
export BK_RESULT_DIR="/tmp/BK_RESULTS/OUTPUTS"
export BK_TIME_CONFINEMENT="3600"
export BK_MEMORY_CONFINEMENT="16384"
export BK_BIN_PATH="/home/mcc/BenchKit/bin/"

# this is specific to your benchmark or test

export BIN_DIR="$HOME/BenchKit/bin"

# remove the execution directoty if it exists (to avoid increse of .vmdk images)
if [ -d execution ] ; then
rm -rf execution
fi

# this is for BenchKit: explicit launching of the test
echo "====================================================================="
echo " Generated by BenchKit 2-4028"
echo " Executing tool itstools"
echo " Input is BridgeAndVehicles-COL-V20P20N10, examination is LTLFireability"
echo " Time confinement is $BK_TIME_CONFINEMENT seconds"
echo " Memory confinement is 16384 MBytes"
echo " Number of cores is 4"
echo " Run identifier is r026-tajo-162038143300045"
echo "====================================================================="
echo
echo "--------------------"
echo "preparation of the directory to be used:"

tar xzf /home/mcc/BenchKit/INPUTS/BridgeAndVehicles-COL-V20P20N10.tgz
mv BridgeAndVehicles-COL-V20P20N10 execution
cd execution
if [ "LTLFireability" = "ReachabilityDeadlock" ] || [ "LTLFireability" = "UpperBounds" ] || [ "LTLFireability" = "QuasiLiveness" ] || [ "LTLFireability" = "StableMarking" ] || [ "LTLFireability" = "Liveness" ] || [ "LTLFireability" = "OneSafe" ] || [ "LTLFireability" = "StateSpace" ]; then
rm -f GenericPropertiesVerdict.xml
fi
pwd
ls -lh

echo
echo "--------------------"
echo "content from stdout:"
echo
echo "=== Data for post analysis generated by BenchKit (invocation template)"
echo
if [ "LTLFireability" = "UpperBounds" ] ; then
echo "The expected result is a vector of positive values"
echo NUM_VECTOR
elif [ "LTLFireability" != "StateSpace" ] ; then
echo "The expected result is a vector of booleans"
echo BOOL_VECTOR
else
echo "no data necessary for post analysis"
fi
echo
if [ -f "LTLFireability.txt" ] ; then
echo "here is the order used to build the result vector(from text file)"
for x in $(grep Property LTLFireability.txt | cut -d ' ' -f 2 | sort -u) ; do
echo "FORMULA_NAME $x"
done
elif [ -f "LTLFireability.xml" ] ; then # for cunf (txt files deleted;-)
echo echo "here is the order used to build the result vector(from xml file)"
for x in $(grep '' LTLFireability.xml | cut -d '>' -f 2 | cut -d '<' -f 1 | sort -u) ; do
echo "FORMULA_NAME $x"
done
elif [ "LTLFireability" = "ReachabilityDeadlock" ] || [ "LTLFireability" = "QuasiLiveness" ] || [ "LTLFireability" = "StableMarking" ] || [ "LTLFireability" = "Liveness" ] || [ "LTLFireability" = "OneSafe" ] ; then
echo "FORMULA_NAME LTLFireability"
fi
echo
echo "=== Now, execution of the tool begins"
echo
echo -n "BK_START "
date -u +%s%3N
echo
timeout -s 9 $BK_TIME_CONFINEMENT bash -c "/home/mcc/BenchKit/BenchKit_head.sh 2> STDERR ; echo ; echo -n \"BK_STOP \" ; date -u +%s%3N"
if [ $? -eq 137 ] ; then
echo
echo "BK_TIME_CONFINEMENT_REACHED"
fi
echo
echo "--------------------"
echo "content from stderr:"
echo
cat STDERR ;