fond
Model Checking Contest 2021
11th edition, Paris, France, June 23, 2021
Execution of r026-tajo-162038143300053
Last Updated
Jun 28, 2021

About the Execution of ITS-Tools for BridgeAndVehicles-COL-V20P20N20

Execution Summary
Max Memory
Used (MB)
Time wait (ms) CPU Usage (ms) I/O Wait (ms) Computed Result Execution
Status
5804.188 3600000.00 13734031.00 183.10 ?FFTFFF?TFFFFTF? normal

Execution Chart

We display below the execution chart for this examination (boot time has been removed).

Trace from the execution

Formatting '/mnt/tpsp/fkordon/mcc2021-input.r026-tajo-162038143300053.qcow2', fmt=qcow2 size=4294967296 backing_file='/mnt/tpsp/fkordon/mcc2021-input.qcow2' encryption=off cluster_size=65536 lazy_refcounts=off
Waiting for the VM to be ready (probing ssh)
.............................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................
=====================================================================
Generated by BenchKit 2-4028
Executing tool itstools
Input is BridgeAndVehicles-COL-V20P20N20, examination is LTLFireability
Time confinement is 3600 seconds
Memory confinement is 16384 MBytes
Number of cores is 4
Run identifier is r026-tajo-162038143300053
=====================================================================

--------------------
preparation of the directory to be used:
/home/mcc/execution
total 428K
-rw-r--r-- 1 mcc users 19K May 5 16:58 CTLCardinality.txt
-rw-r--r-- 1 mcc users 138K May 5 16:58 CTLCardinality.xml
-rw-r--r-- 1 mcc users 14K May 5 16:58 CTLFireability.txt
-rw-r--r-- 1 mcc users 84K May 5 16:58 CTLFireability.xml
-rw-r--r-- 1 mcc users 4.2K May 6 14:48 GenericPropertiesDefinition.xml
-rw-r--r-- 1 mcc users 6.4K May 6 14:48 GenericPropertiesVerdict.xml
-rw-r--r-- 1 mcc users 3.9K Mar 28 15:46 LTLCardinality.txt
-rw-r--r-- 1 mcc users 24K Mar 28 15:46 LTLCardinality.xml
-rw-r--r-- 1 mcc users 2.6K Mar 28 15:46 LTLFireability.txt
-rw-r--r-- 1 mcc users 16K Mar 28 15:46 LTLFireability.xml
-rw-r--r-- 1 mcc users 3.9K Mar 23 02:49 ReachabilityCardinality.txt
-rw-r--r-- 1 mcc users 18K Mar 23 02:49 ReachabilityCardinality.xml
-rw-r--r-- 1 mcc users 3.4K Mar 22 11:08 ReachabilityFireability.txt
-rw-r--r-- 1 mcc users 16K Mar 22 11:08 ReachabilityFireability.xml
-rw-r--r-- 1 mcc users 1.9K Mar 22 09:10 UpperBounds.txt
-rw-r--r-- 1 mcc users 4.0K Mar 22 09:10 UpperBounds.xml
-rw-r--r-- 1 mcc users 5 May 5 16:51 equiv_pt
-rw-r--r-- 1 mcc users 10 May 5 16:51 instance
-rw-r--r-- 1 mcc users 5 May 5 16:51 iscolored
-rw-r--r-- 1 mcc users 40K May 5 16:51 model.pnml

--------------------
content from stdout:

=== Data for post analysis generated by BenchKit (invocation template)

The expected result is a vector of booleans
BOOL_VECTOR

here is the order used to build the result vector(from text file)
FORMULA_NAME BridgeAndVehicles-COL-V20P20N20-00
FORMULA_NAME BridgeAndVehicles-COL-V20P20N20-01
FORMULA_NAME BridgeAndVehicles-COL-V20P20N20-02
FORMULA_NAME BridgeAndVehicles-COL-V20P20N20-03
FORMULA_NAME BridgeAndVehicles-COL-V20P20N20-04
FORMULA_NAME BridgeAndVehicles-COL-V20P20N20-05
FORMULA_NAME BridgeAndVehicles-COL-V20P20N20-06
FORMULA_NAME BridgeAndVehicles-COL-V20P20N20-07
FORMULA_NAME BridgeAndVehicles-COL-V20P20N20-08
FORMULA_NAME BridgeAndVehicles-COL-V20P20N20-09
FORMULA_NAME BridgeAndVehicles-COL-V20P20N20-10
FORMULA_NAME BridgeAndVehicles-COL-V20P20N20-11
FORMULA_NAME BridgeAndVehicles-COL-V20P20N20-12
FORMULA_NAME BridgeAndVehicles-COL-V20P20N20-13
FORMULA_NAME BridgeAndVehicles-COL-V20P20N20-14
FORMULA_NAME BridgeAndVehicles-COL-V20P20N20-15

=== Now, execution of the tool begins

BK_START 1620512395169

Running Version 0
[2021-05-08 22:19:57] [INFO ] Running its-tools with arguments : [-pnfolder, /home/mcc/execution, -examination, LTLFireability, -spotpath, /home/mcc/BenchKit/bin//..//ltlfilt, -z3path, /home/mcc/BenchKit/bin//..//z3/bin/z3, -yices2path, /home/mcc/BenchKit/bin//..//yices/bin/yices, -its, -ltsmin, -greatspnpath, /home/mcc/BenchKit/bin//..//greatspn/, -order, META, -manyOrder, -smt, -timeout, 3600]
[2021-05-08 22:19:57] [INFO ] Parsing pnml file : /home/mcc/execution/model.pnml
[2021-05-08 22:19:57] [INFO ] Detected file is not PT type :http://www.pnml.org/version-2009/grammar/symmetricnet
log4j:WARN No appenders could be found for logger (org.apache.axiom.locator.DefaultOMMetaFactoryLocator).
log4j:WARN Please initialize the log4j system properly.
[2021-05-08 22:19:57] [WARNING] Using fallBack plugin, rng conformance not checked
[2021-05-08 22:19:57] [INFO ] Load time of PNML (colored model parsed with PNMLFW) : 544 ms
[2021-05-08 22:19:57] [INFO ] Imported 15 HL places and 11 HL transitions for a total of 78 PT places and 37258.0 transition bindings in 18 ms.
Parsed 16 properties from file /home/mcc/execution/LTLFireability.xml in 7 ms.
Working with output stream class java.io.PrintStream
[2021-05-08 22:19:57] [INFO ] Built PT skeleton of HLPN with 15 places and 11 transitions in 4 ms.
[2021-05-08 22:19:57] [INFO ] Skeletonized HLPN properties in 0 ms.
Successfully produced net in file /tmp/petri1000_1580436946577369385.dot
Reduce places removed 3 places and 0 transitions.
Ensure Unique test removed 1 transitions
Reduce redundant transitions removed 1 transitions.
Finished random walk after 0 steps, including 0 resets, run visited all 0 properties in 1 ms. (steps per millisecond=0 )
[2021-05-08 22:19:57] [INFO ] Flatten gal took : 15 ms
[2021-05-08 22:19:57] [INFO ] Flatten gal took : 2 ms
[2021-05-08 22:19:57] [INFO ] Unfolded HLPN to a Petri net with 78 places and 968 transitions in 26 ms.
[2021-05-08 22:19:57] [INFO ] Unfolded HLPN properties in 1 ms.
Successfully produced net in file /tmp/petri1001_5812998518438492616.dot
[2021-05-08 22:19:57] [INFO ] Initial state test concluded for 4 properties.
FORMULA BridgeAndVehicles-COL-V20P20N20-03 TRUE TECHNIQUES TOPOLOGICAL INITIAL_STATE
FORMULA BridgeAndVehicles-COL-V20P20N20-05 FALSE TECHNIQUES TOPOLOGICAL INITIAL_STATE
FORMULA BridgeAndVehicles-COL-V20P20N20-09 FALSE TECHNIQUES TOPOLOGICAL INITIAL_STATE
Support contains 76 out of 78 places. Attempting structural reductions.
Starting structural reductions, iteration 0 : 78/78 places, 968/968 transitions.
Reduce places removed 2 places and 0 transitions.
Iterating post reduction 0 with 2 rules applied. Total rules applied 2 place count 76 transition count 968
Applied a total of 2 rules in 27 ms. Remains 76 /78 variables (removed 2) and now considering 968/968 (removed 0) transitions.
[2021-05-08 22:19:58] [INFO ] Flow matrix only has 170 transitions (discarded 798 similar events)
// Phase 1: matrix 170 rows 76 cols
[2021-05-08 22:19:58] [INFO ] Computed 5 place invariants in 27 ms
[2021-05-08 22:19:58] [INFO ] Dead Transitions using invariants and state equation in 478 ms returned []
[2021-05-08 22:19:58] [INFO ] Flow matrix only has 170 transitions (discarded 798 similar events)
// Phase 1: matrix 170 rows 76 cols
[2021-05-08 22:19:58] [INFO ] Computed 5 place invariants in 3 ms
[2021-05-08 22:19:58] [INFO ] Implicit Places using invariants in 30 ms returned []
[2021-05-08 22:19:58] [INFO ] Flow matrix only has 170 transitions (discarded 798 similar events)
// Phase 1: matrix 170 rows 76 cols
[2021-05-08 22:19:58] [INFO ] Computed 5 place invariants in 4 ms
[2021-05-08 22:19:58] [INFO ] State equation strengthened by 42 read => feed constraints.
[2021-05-08 22:19:58] [INFO ] Implicit Places using invariants and state equation in 65 ms returned []
Implicit Place search using SMT with State Equation took 99 ms to find 0 implicit places.
[2021-05-08 22:19:58] [INFO ] Flow matrix only has 170 transitions (discarded 798 similar events)
// Phase 1: matrix 170 rows 76 cols
[2021-05-08 22:19:58] [INFO ] Computed 5 place invariants in 3 ms
[2021-05-08 22:19:58] [INFO ] Dead Transitions using invariants and state equation in 257 ms returned []
Finished structural reductions, in 1 iterations. Remains : 76/78 places, 968/968 transitions.
[2021-05-08 22:19:59] [INFO ] Initial state reduction rules for LTL removed 2 formulas.
[2021-05-08 22:19:59] [INFO ] Flatten gal took : 96 ms
FORMULA BridgeAndVehicles-COL-V20P20N20-10 FALSE TECHNIQUES TOPOLOGICAL INITIAL_STATE
FORMULA BridgeAndVehicles-COL-V20P20N20-02 FALSE TECHNIQUES TOPOLOGICAL INITIAL_STATE
[2021-05-08 22:19:59] [INFO ] Flatten gal took : 81 ms
[2021-05-08 22:19:59] [INFO ] Input system was already deterministic with 968 transitions.
Incomplete random walk after 100000 steps, including 575 resets, run finished after 580 ms. (steps per millisecond=172 ) properties (out of 14) seen :13
Running SMT prover for 1 properties.
[2021-05-08 22:20:00] [INFO ] Flow matrix only has 170 transitions (discarded 798 similar events)
// Phase 1: matrix 170 rows 76 cols
[2021-05-08 22:20:00] [INFO ] Computed 5 place invariants in 3 ms
[2021-05-08 22:20:00] [INFO ] [Real]Absence check using 5 positive place invariants in 3 ms returned unsat
Successfully simplified 1 atomic propositions for a total of 11 simplifications.
FORMULA BridgeAndVehicles-COL-V20P20N20-01 FALSE TECHNIQUES TOPOLOGICAL INITIAL_STATE
Running Spot : CommandLine [args=[/home/mcc/BenchKit/bin//..//ltl2tgba, --hoaf=tv, -f, !(G(F(p0)))], workingDir=/home/mcc/execution]
Support contains 22 out of 76 places. Attempting structural reductions.
Starting structural reductions, iteration 0 : 76/76 places, 968/968 transitions.
Discarding 1 places :
Symmetric choice reduction at 0 with 1 rule applications. Total rules 1 place count 75 transition count 967
Iterating global reduction 0 with 1 rules applied. Total rules applied 2 place count 75 transition count 967
Performed 1 Post agglomeration using F-continuation condition.Transition count delta: 1
Deduced a syphon composed of 1 places in 2 ms
Reduce places removed 2 places and 0 transitions.
Iterating global reduction 0 with 3 rules applied. Total rules applied 5 place count 73 transition count 966
Performed 2 Post agglomeration using F-continuation condition.Transition count delta: 2
Deduced a syphon composed of 2 places in 2 ms
Reduce places removed 2 places and 0 transitions.
Iterating global reduction 0 with 4 rules applied. Total rules applied 9 place count 71 transition count 964
Applied a total of 9 rules in 205 ms. Remains 71 /76 variables (removed 5) and now considering 964/968 (removed 4) transitions.
[2021-05-08 22:20:00] [INFO ] Flow matrix only has 166 transitions (discarded 798 similar events)
// Phase 1: matrix 166 rows 71 cols
[2021-05-08 22:20:00] [INFO ] Computed 4 place invariants in 2 ms
[2021-05-08 22:20:00] [INFO ] Implicit Places using invariants in 129 ms returned []
[2021-05-08 22:20:00] [INFO ] Flow matrix only has 166 transitions (discarded 798 similar events)
// Phase 1: matrix 166 rows 71 cols
[2021-05-08 22:20:00] [INFO ] Computed 4 place invariants in 2 ms
[2021-05-08 22:20:00] [INFO ] State equation strengthened by 42 read => feed constraints.
[2021-05-08 22:20:01] [INFO ] Implicit Places using invariants and state equation in 313 ms returned [22, 44]
Discarding 2 places :
Implicit Place search using SMT with State Equation took 447 ms to find 2 implicit places.
[2021-05-08 22:20:01] [INFO ] Redundant transitions in 72 ms returned []
[2021-05-08 22:20:01] [INFO ] Flow matrix only has 166 transitions (discarded 798 similar events)
// Phase 1: matrix 166 rows 69 cols
[2021-05-08 22:20:01] [INFO ] Computed 4 place invariants in 3 ms
[2021-05-08 22:20:01] [INFO ] Dead Transitions using invariants and state equation in 397 ms returned []
Starting structural reductions, iteration 1 : 69/76 places, 964/968 transitions.
Applied a total of 0 rules in 67 ms. Remains 69 /69 variables (removed 0) and now considering 964/964 (removed 0) transitions.
[2021-05-08 22:20:01] [INFO ] Redundant transitions in 15 ms returned []
[2021-05-08 22:20:01] [INFO ] Flow matrix only has 166 transitions (discarded 798 similar events)
// Phase 1: matrix 166 rows 69 cols
[2021-05-08 22:20:01] [INFO ] Computed 4 place invariants in 2 ms
[2021-05-08 22:20:02] [INFO ] Dead Transitions using invariants and state equation in 357 ms returned []
Finished structural reductions, in 2 iterations. Remains : 69/76 places, 964/968 transitions.
Stuttering acceptance computed with spot in 203 ms :[(NOT p0), (NOT p0)]
Running random walk in product with property : BridgeAndVehicles-COL-V20P20N20-00 automaton TGBA [mat=[[{ cond=true, acceptance={} source=0 dest: 0}, { cond=(NOT p0), acceptance={} source=0 dest: 1}], [{ cond=(NOT p0), acceptance={0} source=1 dest: 1}]], initial=0, aps=[p0:(AND (OR (LT s25 1) (LT s43 1)) (OR (LT s42 1) (LT s43 1)) (OR (LT s35 1) (LT s43 1)) (OR (LT s32 1) (LT s43 1)) (OR (LT s30 1) (LT s43 1)) (OR (LT s28 1) (LT s43 1)) (OR (LT s33 1) (LT s43 1)) (OR (LT s24 1) (LT s43 1)) (OR (LT s37 1) (LT s43 1)) (OR (LT s41 1) (LT s43 1)) (OR (LT s22 1) (LT s43 1)) (OR (LT s39 1) (LT s43 1)) (OR (LT s36 1) (LT s43 1)) (OR (LT s26 1) (LT s43 1)) (OR (LT s29 1) (LT s43 1)) (OR (LT s31 1) (LT s43 1)) (OR (LT s27 1) (LT s43 1)) (OR (LT s34 1) (LT s43 1)) (OR (LT s23 1) (LT s43 1)) (OR (LT s38 1) (LT s43 1)) (OR (LT s40 1) (LT s43 1)))], nbAcceptance=1, properties=[trans-labels, explicit-labels, trans-acc, no-univ-branch, stutter-invariant, very-weak, weak, inherently-weak], stateDesc=[null, null][true, true]]
Product exploration explored 100000 steps with 1710 reset in 431 ms.
Product exploration explored 100000 steps with 1712 reset in 369 ms.
Knowledge obtained : [(NOT p0)]
Stuttering acceptance computed with spot in 69 ms :[(NOT p0), (NOT p0)]
Product exploration explored 100000 steps with 1714 reset in 277 ms.
Product exploration explored 100000 steps with 1717 reset in 263 ms.
[2021-05-08 22:20:03] [INFO ] Flatten gal took : 40 ms
[2021-05-08 22:20:03] [INFO ] Flatten gal took : 35 ms
[2021-05-08 22:20:03] [INFO ] Time to serialize gal into /tmp/LTL14403824436156720980.gal : 11 ms
[2021-05-08 22:20:03] [INFO ] Time to serialize properties into /tmp/LTL8076340279488629776.ltl : 0 ms
Invoking ITS tools like this :CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64, --gc-threshold, 2000000, -i, /tmp/LTL14403824436156720980.gal, -t, CGAL, -LTL, /tmp/LTL8076340279488629776.ltl, -c, -stutter-deadlock, --gen-order, FOLLOW], workingDir=/home/mcc/execution]

its-ltl command run as :

/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64 --gc-threshold 2000000 -i /tmp/LTL14403824436156720980.gal -t CGAL -LTL /tmp/LTL8076340279488629776.ltl -c -stutter-deadlock --gen-order FOLLOW
Read 1 LTL properties
Checking formula 0 : !((G(F("((((((((((((((((((((((NB_ATTENTE_B_3<1)||(ROUTE_B_0<1))&&((NB_ATTENTE_B_20<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_13<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_10<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_8<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_6<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_11<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_2<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_15<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_19<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_0<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_17<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_14<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_4<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_7<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_9<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_5<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_12<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_1<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_16<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_18<1)||(ROUTE_B_0<1)))"))))
Formula 0 simplified : !GF"((((((((((((((((((((((NB_ATTENTE_B_3<1)||(ROUTE_B_0<1))&&((NB_ATTENTE_B_20<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_13<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_10<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_8<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_6<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_11<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_2<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_15<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_19<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_0<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_17<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_14<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_4<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_7<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_9<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_5<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_12<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_1<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_16<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_18<1)||(ROUTE_B_0<1)))"
Detected timeout of ITS tools.
[2021-05-08 22:20:19] [INFO ] Flatten gal took : 72 ms
[2021-05-08 22:20:19] [INFO ] Applying decomposition
[2021-05-08 22:20:19] [INFO ] Flatten gal took : 56 ms
Converted graph to binary with : CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.louvain.binaries_1.0.0.202104292328/bin/convert-linux64, -i, /tmp/graph9324145929358040433.txt, -o, /tmp/graph9324145929358040433.bin, -w, /tmp/graph9324145929358040433.weights], workingDir=null]
Built communities with : CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.louvain.binaries_1.0.0.202104292328/bin/louvain-linux64, /tmp/graph9324145929358040433.bin, -l, -1, -v, -w, /tmp/graph9324145929358040433.weights, -q, 0, -e, 0.001], workingDir=null]
[2021-05-08 22:20:19] [INFO ] Decomposing Gal with order
[2021-05-08 22:20:19] [INFO ] Rewriting arrays to variables to allow decomposition.
[2021-05-08 22:20:19] [INFO ] Removed a total of 1755 redundant transitions.
[2021-05-08 22:20:19] [INFO ] Flatten gal took : 348 ms
[2021-05-08 22:20:19] [INFO ] Fuse similar labels procedure discarded/fused a total of 118 labels/synchronizations in 19 ms.
[2021-05-08 22:20:19] [INFO ] Time to serialize gal into /tmp/LTL12506633727114146484.gal : 2 ms
[2021-05-08 22:20:19] [INFO ] Time to serialize properties into /tmp/LTL11348932513528412710.ltl : 1 ms
Invoking ITS tools like this :CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64, --gc-threshold, 2000000, -i, /tmp/LTL12506633727114146484.gal, -t, CGAL, -LTL, /tmp/LTL11348932513528412710.ltl, -c, -stutter-deadlock], workingDir=/home/mcc/execution]

its-ltl command run as :

/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64 --gc-threshold 2000000 -i /tmp/LTL12506633727114146484.gal -t CGAL -LTL /tmp/LTL11348932513528412710.ltl -c -stutter-deadlock
Read 1 LTL properties
Checking formula 0 : !((G(F("((((((((((((((((((((((u0.NB_ATTENTE_B_3<1)||(u0.ROUTE_B_0<1))&&((u0.NB_ATTENTE_B_20<1)||(u0.ROUTE_B_0<1)))&&((u0.NB_ATTENTE_B_13<1)||(u0.ROUTE_B_0<1)))&&((u0.NB_ATTENTE_B_10<1)||(u0.ROUTE_B_0<1)))&&((u0.NB_ATTENTE_B_8<1)||(u0.ROUTE_B_0<1)))&&((u0.NB_ATTENTE_B_6<1)||(u0.ROUTE_B_0<1)))&&((u0.NB_ATTENTE_B_11<1)||(u0.ROUTE_B_0<1)))&&((u0.NB_ATTENTE_B_2<1)||(u0.ROUTE_B_0<1)))&&((u0.NB_ATTENTE_B_15<1)||(u0.ROUTE_B_0<1)))&&((u0.NB_ATTENTE_B_19<1)||(u0.ROUTE_B_0<1)))&&((u1.NB_ATTENTE_B_0<1)||(u0.ROUTE_B_0<1)))&&((u0.NB_ATTENTE_B_17<1)||(u0.ROUTE_B_0<1)))&&((u0.NB_ATTENTE_B_14<1)||(u0.ROUTE_B_0<1)))&&((u0.NB_ATTENTE_B_4<1)||(u0.ROUTE_B_0<1)))&&((u0.NB_ATTENTE_B_7<1)||(u0.ROUTE_B_0<1)))&&((u0.NB_ATTENTE_B_9<1)||(u0.ROUTE_B_0<1)))&&((u0.NB_ATTENTE_B_5<1)||(u0.ROUTE_B_0<1)))&&((u0.NB_ATTENTE_B_12<1)||(u0.ROUTE_B_0<1)))&&((u0.NB_ATTENTE_B_1<1)||(u0.ROUTE_B_0<1)))&&((u0.NB_ATTENTE_B_16<1)||(u0.ROUTE_B_0<1)))&&((u0.NB_ATTENTE_B_18<1)||(u0.ROUTE_B_0<1)))"))))
Formula 0 simplified : !GF"((((((((((((((((((((((u0.NB_ATTENTE_B_3<1)||(u0.ROUTE_B_0<1))&&((u0.NB_ATTENTE_B_20<1)||(u0.ROUTE_B_0<1)))&&((u0.NB_ATTENTE_B_13<1)||(u0.ROUTE_B_0<1)))&&((u0.NB_ATTENTE_B_10<1)||(u0.ROUTE_B_0<1)))&&((u0.NB_ATTENTE_B_8<1)||(u0.ROUTE_B_0<1)))&&((u0.NB_ATTENTE_B_6<1)||(u0.ROUTE_B_0<1)))&&((u0.NB_ATTENTE_B_11<1)||(u0.ROUTE_B_0<1)))&&((u0.NB_ATTENTE_B_2<1)||(u0.ROUTE_B_0<1)))&&((u0.NB_ATTENTE_B_15<1)||(u0.ROUTE_B_0<1)))&&((u0.NB_ATTENTE_B_19<1)||(u0.ROUTE_B_0<1)))&&((u1.NB_ATTENTE_B_0<1)||(u0.ROUTE_B_0<1)))&&((u0.NB_ATTENTE_B_17<1)||(u0.ROUTE_B_0<1)))&&((u0.NB_ATTENTE_B_14<1)||(u0.ROUTE_B_0<1)))&&((u0.NB_ATTENTE_B_4<1)||(u0.ROUTE_B_0<1)))&&((u0.NB_ATTENTE_B_7<1)||(u0.ROUTE_B_0<1)))&&((u0.NB_ATTENTE_B_9<1)||(u0.ROUTE_B_0<1)))&&((u0.NB_ATTENTE_B_5<1)||(u0.ROUTE_B_0<1)))&&((u0.NB_ATTENTE_B_12<1)||(u0.ROUTE_B_0<1)))&&((u0.NB_ATTENTE_B_1<1)||(u0.ROUTE_B_0<1)))&&((u0.NB_ATTENTE_B_16<1)||(u0.ROUTE_B_0<1)))&&((u0.NB_ATTENTE_B_18<1)||(u0.ROUTE_B_0<1)))"
Detected timeout of ITS tools.
Built C files in :
/tmp/ltsmin5652124133086235638
[2021-05-08 22:20:34] [INFO ] Built C files in 46ms conformant to PINS (ltsmin variant)in folder :/tmp/ltsmin5652124133086235638
Running compilation step : CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/include/, -I., -std=c99, -fPIC, -O2, model.c], workingDir=/tmp/ltsmin5652124133086235638]
WARNING : LTS min runner thread failed on error :java.lang.RuntimeException: Compilation or link of executable timed out.java.util.concurrent.TimeoutException: Subprocess running CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/include/, -I., -std=c99, -fPIC, -O2, model.c], workingDir=/tmp/ltsmin5652124133086235638] killed by timeout after 1 SECONDS
java.lang.RuntimeException: Compilation or link of executable timed out.java.util.concurrent.TimeoutException: Subprocess running CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/include/, -I., -std=c99, -fPIC, -O2, model.c], workingDir=/tmp/ltsmin5652124133086235638] killed by timeout after 1 SECONDS
at fr.lip6.move.gal.application.LTSminRunner$1.run(LTSminRunner.java:79)
at java.base/java.lang.Thread.run(Thread.java:834)
Treatment of property BridgeAndVehicles-COL-V20P20N20-00 finished in 35484 ms.
Running Spot : CommandLine [args=[/home/mcc/BenchKit/bin//..//ltl2tgba, --hoaf=tv, -f, !(G(F(p0)))], workingDir=/home/mcc/execution]
Support contains 23 out of 76 places. Attempting structural reductions.
Starting structural reductions, iteration 0 : 76/76 places, 968/968 transitions.
Discarding 1 places :
Symmetric choice reduction at 0 with 1 rule applications. Total rules 1 place count 75 transition count 967
Iterating global reduction 0 with 1 rules applied. Total rules applied 2 place count 75 transition count 967
Applied a total of 2 rules in 103 ms. Remains 75 /76 variables (removed 1) and now considering 967/968 (removed 1) transitions.
[2021-05-08 22:20:35] [INFO ] Flow matrix only has 169 transitions (discarded 798 similar events)
// Phase 1: matrix 169 rows 75 cols
[2021-05-08 22:20:35] [INFO ] Computed 5 place invariants in 10 ms
[2021-05-08 22:20:36] [INFO ] Dead Transitions using invariants and state equation in 377 ms returned []
[2021-05-08 22:20:36] [INFO ] Flow matrix only has 169 transitions (discarded 798 similar events)
// Phase 1: matrix 169 rows 75 cols
[2021-05-08 22:20:36] [INFO ] Computed 5 place invariants in 3 ms
[2021-05-08 22:20:36] [INFO ] Implicit Places using invariants in 128 ms returned []
[2021-05-08 22:20:36] [INFO ] Flow matrix only has 169 transitions (discarded 798 similar events)
// Phase 1: matrix 169 rows 75 cols
[2021-05-08 22:20:36] [INFO ] Computed 5 place invariants in 2 ms
[2021-05-08 22:20:36] [INFO ] State equation strengthened by 42 read => feed constraints.
[2021-05-08 22:20:36] [INFO ] Implicit Places using invariants and state equation in 411 ms returned [46]
Discarding 1 places :
Implicit Place search using SMT with State Equation took 554 ms to find 1 implicit places.
[2021-05-08 22:20:36] [INFO ] Redundant transitions in 12 ms returned []
[2021-05-08 22:20:36] [INFO ] Flow matrix only has 169 transitions (discarded 798 similar events)
// Phase 1: matrix 169 rows 74 cols
[2021-05-08 22:20:36] [INFO ] Computed 5 place invariants in 3 ms
[2021-05-08 22:20:37] [INFO ] Dead Transitions using invariants and state equation in 406 ms returned []
Starting structural reductions, iteration 1 : 74/76 places, 967/968 transitions.
Applied a total of 0 rules in 63 ms. Remains 74 /74 variables (removed 0) and now considering 967/967 (removed 0) transitions.
[2021-05-08 22:20:37] [INFO ] Redundant transitions in 9 ms returned []
[2021-05-08 22:20:37] [INFO ] Flow matrix only has 169 transitions (discarded 798 similar events)
// Phase 1: matrix 169 rows 74 cols
[2021-05-08 22:20:37] [INFO ] Computed 5 place invariants in 1 ms
[2021-05-08 22:20:37] [INFO ] Dead Transitions using invariants and state equation in 323 ms returned []
Finished structural reductions, in 2 iterations. Remains : 74/76 places, 967/968 transitions.
Stuttering acceptance computed with spot in 45 ms :[(NOT p0), (NOT p0)]
Running random walk in product with property : BridgeAndVehicles-COL-V20P20N20-04 automaton TGBA [mat=[[{ cond=true, acceptance={} source=0 dest: 0}, { cond=(NOT p0), acceptance={} source=0 dest: 1}], [{ cond=(NOT p0), acceptance={0} source=1 dest: 1}]], initial=0, aps=[p0:(OR (AND (GEQ s0 1) (GEQ s17 1) (GEQ s23 1) (GEQ s47 1)) (AND (GEQ s0 1) (GEQ s11 1) (GEQ s23 1) (GEQ s47 1)) (AND (GEQ s0 1) (GEQ s5 1) (GEQ s23 1) (GEQ s47 1)) (AND (GEQ s0 1) (GEQ s4 1) (GEQ s23 1) (GEQ s47 1)) (AND (GEQ s0 1) (GEQ s10 1) (GEQ s23 1) (GEQ s47 1)) (AND (GEQ s0 1) (GEQ s6 1) (GEQ s23 1) (GEQ s47 1)) (AND (GEQ s0 1) (GEQ s12 1) (GEQ s23 1) (GEQ s47 1)) (AND (GEQ s0 1) (GEQ s15 1) (GEQ s23 1) (GEQ s47 1)) (AND (GEQ s0 1) (GEQ s3 1) (GEQ s23 1) (GEQ s47 1)) (AND (GEQ s0 1) (GEQ s7 1) (GEQ s23 1) (GEQ s47 1)) (AND (GEQ s0 1) (GEQ s16 1) (GEQ s23 1) (GEQ s47 1)) (AND (GEQ s0 1) (GEQ s20 1) (GEQ s23 1) (GEQ s47 1)) (AND (GEQ s0 1) (GEQ s2 1) (GEQ s23 1) (GEQ s47 1)) (AND (GEQ s0 1) (GEQ s14 1) (GEQ s23 1) (GEQ s47 1)) (AND (GEQ s0 1) (GEQ s21 1) (GEQ s23 1) (GEQ s47 1)) (AND (GEQ s0 1) (GEQ s8 1) (GEQ s23 1) (GEQ s47 1)) (AND (GEQ s0 1) (GEQ s19 1) (GEQ s23 1) (GEQ s47 1)) (AND (GEQ s0 1) (GEQ s13 1) (GEQ s23 1) (GEQ s47 1)) (AND (GEQ s0 1) (GEQ s9 1) (GEQ s23 1) (GEQ s47 1)) (AND (GEQ s0 1) (GEQ s18 1) (GEQ s23 1) (GEQ s47 1)))], nbAcceptance=1, properties=[trans-labels, explicit-labels, trans-acc, no-univ-branch, stutter-invariant, very-weak, weak, inherently-weak], stateDesc=[null, null][true, true]]
Product exploration explored 100000 steps with 4264 reset in 418 ms.
Product exploration explored 100000 steps with 4256 reset in 664 ms.
Knowledge obtained : [(NOT p0)]
Stuttering acceptance computed with spot in 77 ms :[(NOT p0), (NOT p0)]
Product exploration explored 100000 steps with 4369 reset in 549 ms.
Product exploration explored 100000 steps with 4273 reset in 507 ms.
[2021-05-08 22:20:40] [INFO ] Flatten gal took : 41 ms
[2021-05-08 22:20:40] [INFO ] Flatten gal took : 36 ms
[2021-05-08 22:20:40] [INFO ] Time to serialize gal into /tmp/LTL11515619819877020984.gal : 6 ms
[2021-05-08 22:20:40] [INFO ] Time to serialize properties into /tmp/LTL607044510654441358.ltl : 1 ms
Invoking ITS tools like this :CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64, --gc-threshold, 2000000, -i, /tmp/LTL11515619819877020984.gal, -t, CGAL, -LTL, /tmp/LTL607044510654441358.ltl, -c, -stutter-deadlock, --gen-order, FOLLOW], workingDir=/home/mcc/execution]

its-ltl command run as :

/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64 --gc-threshold 2000000 -i /tmp/LTL11515619819877020984.gal -t CGAL -LTL /tmp/LTL607044510654441358.ltl -c -stutter-deadlock --gen-order FOLLOW
Read 1 LTL properties
Checking formula 0 : !((G(F("(((((((((((((((((((((((CAPACITE_0>=1)&&(NB_ATTENTE_A_16>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_10>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_4>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_3>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_9>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_5>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_11>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_14>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_2>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_6>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_15>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_19>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_1>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_13>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_20>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_7>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_18>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_12>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_8>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_17>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))"))))
Formula 0 simplified : !GF"(((((((((((((((((((((((CAPACITE_0>=1)&&(NB_ATTENTE_A_16>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_10>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_4>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_3>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_9>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_5>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_11>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_14>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_2>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_6>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_15>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_19>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_1>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_13>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_20>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_7>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_18>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_12>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_8>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_A_17>=1))&&(ATTENTE_A_0>=1))&&(CONTROLEUR_0>=1)))"
Detected timeout of ITS tools.
[2021-05-08 22:20:55] [INFO ] Flatten gal took : 29 ms
[2021-05-08 22:20:55] [INFO ] Applying decomposition
[2021-05-08 22:20:55] [INFO ] Flatten gal took : 29 ms
Converted graph to binary with : CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.louvain.binaries_1.0.0.202104292328/bin/convert-linux64, -i, /tmp/graph5339003040417023237.txt, -o, /tmp/graph5339003040417023237.bin, -w, /tmp/graph5339003040417023237.weights], workingDir=null]
Built communities with : CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.louvain.binaries_1.0.0.202104292328/bin/louvain-linux64, /tmp/graph5339003040417023237.bin, -l, -1, -v, -w, /tmp/graph5339003040417023237.weights, -q, 0, -e, 0.001], workingDir=null]
[2021-05-08 22:20:55] [INFO ] Decomposing Gal with order
[2021-05-08 22:20:55] [INFO ] Rewriting arrays to variables to allow decomposition.
[2021-05-08 22:20:55] [INFO ] Removed a total of 1832 redundant transitions.
[2021-05-08 22:20:55] [INFO ] Flatten gal took : 152 ms
[2021-05-08 22:20:55] [INFO ] Fuse similar labels procedure discarded/fused a total of 215 labels/synchronizations in 8 ms.
[2021-05-08 22:20:55] [INFO ] Time to serialize gal into /tmp/LTL18286867295812208874.gal : 1 ms
[2021-05-08 22:20:55] [INFO ] Time to serialize properties into /tmp/LTL3940692884286867851.ltl : 0 ms
Invoking ITS tools like this :CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64, --gc-threshold, 2000000, -i, /tmp/LTL18286867295812208874.gal, -t, CGAL, -LTL, /tmp/LTL3940692884286867851.ltl, -c, -stutter-deadlock], workingDir=/home/mcc/execution]

its-ltl command run as :

/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64 --gc-threshold 2000000 -i /tmp/LTL18286867295812208874.gal -t CGAL -LTL /tmp/LTL3940692884286867851.ltl -c -stutter-deadlock
Read 1 LTL properties
Checking formula 0 : !((G(F("(((((((((((((((((((((((i0.u0.CAPACITE_0>=1)&&(i1.u3.NB_ATTENTE_A_16>=1))&&(i0.u2.ATTENTE_A_0>=1))&&(u4.CONTROLEUR_0>=1))||((((i0.u0.CAPACITE_0>=1)&&(i1.u3.NB_ATTENTE_A_10>=1))&&(i0.u2.ATTENTE_A_0>=1))&&(u4.CONTROLEUR_0>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u3.NB_ATTENTE_A_4>=1))&&(i0.u2.ATTENTE_A_0>=1))&&(u4.CONTROLEUR_0>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u3.NB_ATTENTE_A_3>=1))&&(i0.u2.ATTENTE_A_0>=1))&&(u4.CONTROLEUR_0>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u3.NB_ATTENTE_A_9>=1))&&(i0.u2.ATTENTE_A_0>=1))&&(u4.CONTROLEUR_0>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u3.NB_ATTENTE_A_5>=1))&&(i0.u2.ATTENTE_A_0>=1))&&(u4.CONTROLEUR_0>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u3.NB_ATTENTE_A_11>=1))&&(i0.u2.ATTENTE_A_0>=1))&&(u4.CONTROLEUR_0>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u3.NB_ATTENTE_A_14>=1))&&(i0.u2.ATTENTE_A_0>=1))&&(u4.CONTROLEUR_0>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u3.NB_ATTENTE_A_2>=1))&&(i0.u2.ATTENTE_A_0>=1))&&(u4.CONTROLEUR_0>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u3.NB_ATTENTE_A_6>=1))&&(i0.u2.ATTENTE_A_0>=1))&&(u4.CONTROLEUR_0>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u3.NB_ATTENTE_A_15>=1))&&(i0.u2.ATTENTE_A_0>=1))&&(u4.CONTROLEUR_0>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u3.NB_ATTENTE_A_19>=1))&&(i0.u2.ATTENTE_A_0>=1))&&(u4.CONTROLEUR_0>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u3.NB_ATTENTE_A_1>=1))&&(i0.u2.ATTENTE_A_0>=1))&&(u4.CONTROLEUR_0>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u3.NB_ATTENTE_A_13>=1))&&(i0.u2.ATTENTE_A_0>=1))&&(u4.CONTROLEUR_0>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u3.NB_ATTENTE_A_20>=1))&&(i0.u2.ATTENTE_A_0>=1))&&(u4.CONTROLEUR_0>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u3.NB_ATTENTE_A_7>=1))&&(i0.u2.ATTENTE_A_0>=1))&&(u4.CONTROLEUR_0>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u3.NB_ATTENTE_A_18>=1))&&(i0.u2.ATTENTE_A_0>=1))&&(u4.CONTROLEUR_0>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u3.NB_ATTENTE_A_12>=1))&&(i0.u2.ATTENTE_A_0>=1))&&(u4.CONTROLEUR_0>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u3.NB_ATTENTE_A_8>=1))&&(i0.u2.ATTENTE_A_0>=1))&&(u4.CONTROLEUR_0>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u3.NB_ATTENTE_A_17>=1))&&(i0.u2.ATTENTE_A_0>=1))&&(u4.CONTROLEUR_0>=1)))"))))
Formula 0 simplified : !GF"(((((((((((((((((((((((i0.u0.CAPACITE_0>=1)&&(i1.u3.NB_ATTENTE_A_16>=1))&&(i0.u2.ATTENTE_A_0>=1))&&(u4.CONTROLEUR_0>=1))||((((i0.u0.CAPACITE_0>=1)&&(i1.u3.NB_ATTENTE_A_10>=1))&&(i0.u2.ATTENTE_A_0>=1))&&(u4.CONTROLEUR_0>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u3.NB_ATTENTE_A_4>=1))&&(i0.u2.ATTENTE_A_0>=1))&&(u4.CONTROLEUR_0>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u3.NB_ATTENTE_A_3>=1))&&(i0.u2.ATTENTE_A_0>=1))&&(u4.CONTROLEUR_0>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u3.NB_ATTENTE_A_9>=1))&&(i0.u2.ATTENTE_A_0>=1))&&(u4.CONTROLEUR_0>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u3.NB_ATTENTE_A_5>=1))&&(i0.u2.ATTENTE_A_0>=1))&&(u4.CONTROLEUR_0>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u3.NB_ATTENTE_A_11>=1))&&(i0.u2.ATTENTE_A_0>=1))&&(u4.CONTROLEUR_0>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u3.NB_ATTENTE_A_14>=1))&&(i0.u2.ATTENTE_A_0>=1))&&(u4.CONTROLEUR_0>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u3.NB_ATTENTE_A_2>=1))&&(i0.u2.ATTENTE_A_0>=1))&&(u4.CONTROLEUR_0>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u3.NB_ATTENTE_A_6>=1))&&(i0.u2.ATTENTE_A_0>=1))&&(u4.CONTROLEUR_0>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u3.NB_ATTENTE_A_15>=1))&&(i0.u2.ATTENTE_A_0>=1))&&(u4.CONTROLEUR_0>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u3.NB_ATTENTE_A_19>=1))&&(i0.u2.ATTENTE_A_0>=1))&&(u4.CONTROLEUR_0>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u3.NB_ATTENTE_A_1>=1))&&(i0.u2.ATTENTE_A_0>=1))&&(u4.CONTROLEUR_0>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u3.NB_ATTENTE_A_13>=1))&&(i0.u2.ATTENTE_A_0>=1))&&(u4.CONTROLEUR_0>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u3.NB_ATTENTE_A_20>=1))&&(i0.u2.ATTENTE_A_0>=1))&&(u4.CONTROLEUR_0>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u3.NB_ATTENTE_A_7>=1))&&(i0.u2.ATTENTE_A_0>=1))&&(u4.CONTROLEUR_0>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u3.NB_ATTENTE_A_18>=1))&&(i0.u2.ATTENTE_A_0>=1))&&(u4.CONTROLEUR_0>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u3.NB_ATTENTE_A_12>=1))&&(i0.u2.ATTENTE_A_0>=1))&&(u4.CONTROLEUR_0>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u3.NB_ATTENTE_A_8>=1))&&(i0.u2.ATTENTE_A_0>=1))&&(u4.CONTROLEUR_0>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u3.NB_ATTENTE_A_17>=1))&&(i0.u2.ATTENTE_A_0>=1))&&(u4.CONTROLEUR_0>=1)))"
Detected timeout of ITS tools.
Built C files in :
/tmp/ltsmin1315594337503408542
[2021-05-08 22:21:10] [INFO ] Built C files in 11ms conformant to PINS (ltsmin variant)in folder :/tmp/ltsmin1315594337503408542
Running compilation step : CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/include/, -I., -std=c99, -fPIC, -O2, model.c], workingDir=/tmp/ltsmin1315594337503408542]
WARNING : LTS min runner thread failed on error :java.lang.RuntimeException: Compilation or link of executable timed out.java.util.concurrent.TimeoutException: Subprocess running CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/include/, -I., -std=c99, -fPIC, -O2, model.c], workingDir=/tmp/ltsmin1315594337503408542] killed by timeout after 1 SECONDS
java.lang.RuntimeException: Compilation or link of executable timed out.java.util.concurrent.TimeoutException: Subprocess running CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/include/, -I., -std=c99, -fPIC, -O2, model.c], workingDir=/tmp/ltsmin1315594337503408542] killed by timeout after 1 SECONDS
at fr.lip6.move.gal.application.LTSminRunner$1.run(LTSminRunner.java:79)
at java.base/java.lang.Thread.run(Thread.java:834)
Treatment of property BridgeAndVehicles-COL-V20P20N20-04 finished in 35855 ms.
Running Spot : CommandLine [args=[/home/mcc/BenchKit/bin//..//ltl2tgba, --hoaf=tv, -f, !(F(p0))], workingDir=/home/mcc/execution]
Support contains 43 out of 76 places. Attempting structural reductions.
Starting structural reductions, iteration 0 : 76/76 places, 968/968 transitions.
Discarding 1 places :
Symmetric choice reduction at 0 with 1 rule applications. Total rules 1 place count 75 transition count 967
Iterating global reduction 0 with 1 rules applied. Total rules applied 2 place count 75 transition count 967
Performed 1 Post agglomeration using F-continuation condition.Transition count delta: 1
Deduced a syphon composed of 1 places in 2 ms
Reduce places removed 2 places and 0 transitions.
Iterating global reduction 0 with 3 rules applied. Total rules applied 5 place count 73 transition count 966
Performed 2 Post agglomeration using F-continuation condition.Transition count delta: 2
Deduced a syphon composed of 2 places in 1 ms
Reduce places removed 2 places and 0 transitions.
Iterating global reduction 0 with 4 rules applied. Total rules applied 9 place count 71 transition count 964
Applied a total of 9 rules in 63 ms. Remains 71 /76 variables (removed 5) and now considering 964/968 (removed 4) transitions.
[2021-05-08 22:21:11] [INFO ] Flow matrix only has 166 transitions (discarded 798 similar events)
// Phase 1: matrix 166 rows 71 cols
[2021-05-08 22:21:11] [INFO ] Computed 4 place invariants in 1 ms
[2021-05-08 22:21:11] [INFO ] Implicit Places using invariants in 88 ms returned []
[2021-05-08 22:21:11] [INFO ] Flow matrix only has 166 transitions (discarded 798 similar events)
// Phase 1: matrix 166 rows 71 cols
[2021-05-08 22:21:11] [INFO ] Computed 4 place invariants in 1 ms
[2021-05-08 22:21:11] [INFO ] State equation strengthened by 42 read => feed constraints.
[2021-05-08 22:21:12] [INFO ] Implicit Places using invariants and state equation in 211 ms returned [22, 44]
Discarding 2 places :
Implicit Place search using SMT with State Equation took 302 ms to find 2 implicit places.
[2021-05-08 22:21:12] [INFO ] Redundant transitions in 12 ms returned []
[2021-05-08 22:21:12] [INFO ] Flow matrix only has 166 transitions (discarded 798 similar events)
// Phase 1: matrix 166 rows 69 cols
[2021-05-08 22:21:12] [INFO ] Computed 4 place invariants in 1 ms
[2021-05-08 22:21:12] [INFO ] Dead Transitions using invariants and state equation in 339 ms returned []
Starting structural reductions, iteration 1 : 69/76 places, 964/968 transitions.
Applied a total of 0 rules in 10 ms. Remains 69 /69 variables (removed 0) and now considering 964/964 (removed 0) transitions.
[2021-05-08 22:21:12] [INFO ] Redundant transitions in 10 ms returned []
[2021-05-08 22:21:12] [INFO ] Flow matrix only has 166 transitions (discarded 798 similar events)
// Phase 1: matrix 166 rows 69 cols
[2021-05-08 22:21:12] [INFO ] Computed 4 place invariants in 3 ms
[2021-05-08 22:21:12] [INFO ] Dead Transitions using invariants and state equation in 289 ms returned []
Finished structural reductions, in 2 iterations. Remains : 69/76 places, 964/968 transitions.
Stuttering acceptance computed with spot in 51 ms :[(NOT p0)]
Running random walk in product with property : BridgeAndVehicles-COL-V20P20N20-06 automaton TGBA [mat=[[{ cond=(NOT p0), acceptance={0} source=0 dest: 0}]], initial=0, aps=[p0:(OR (AND (GEQ s0 1) (GEQ s38 1) (GEQ s44 1) (GEQ s52 1)) (AND (GEQ s0 1) (GEQ s26 1) (GEQ s44 1) (GEQ s51 1)) (AND (GEQ s0 1) (GEQ s24 1) (GEQ s44 1) (GEQ s48 1)) (AND (GEQ s0 1) (GEQ s28 1) (GEQ s44 1) (GEQ s54 1)) (AND (GEQ s0 1) (GEQ s33 1) (GEQ s44 1) (GEQ s53 1)) (AND (GEQ s0 1) (GEQ s23 1) (GEQ s44 1) (GEQ s55 1)) (AND (GEQ s0 1) (GEQ s42 1) (GEQ s44 1) (GEQ s58 1)) (AND (GEQ s0 1) (GEQ s26 1) (GEQ s44 1) (GEQ s67 1)) (AND (GEQ s0 1) (GEQ s41 1) (GEQ s44 1) (GEQ s48 1)) (AND (GEQ s0 1) (GEQ s24 1) (GEQ s44 1) (GEQ s64 1)) (AND (GEQ s0 1) (GEQ s36 1) (GEQ s44 1) (GEQ s49 1)) (AND (GEQ s0 1) (GEQ s29 1) (GEQ s44 1) (GEQ s63 1)) (AND (GEQ s0 1) (GEQ s31 1) (GEQ s44 1) (GEQ s50 1)) (AND (GEQ s0 1) (GEQ s34 1) (GEQ s44 1) (GEQ s62 1)) (AND (GEQ s0 1) (GEQ s34 1) (GEQ s44 1) (GEQ s63 1)) (AND (GEQ s0 1) (GEQ s27 1) (GEQ s44 1) (GEQ s60 1)) (AND (GEQ s0 1) (GEQ s39 1) (GEQ s44 1) (GEQ s62 1)) (AND (GEQ s0 1) (GEQ s41 1) (GEQ s44 1) (GEQ s65 1)) (AND (GEQ s0 1) (GEQ s36 1) (GEQ s44 1) (GEQ s66 1)) (AND (GEQ s0 1) (GEQ s37 1) (GEQ s44 1) (GEQ s58 1)) (AND (GEQ s0 1) (GEQ s32 1) (GEQ s44 1) (GEQ s59 1)) (AND (GEQ s0 1) (GEQ s31 1) (GEQ s44 1) (GEQ s67 1)) (AND (GEQ s0 1) (GEQ s30 1) (GEQ s44 1) (GEQ s56 1)) (AND (GEQ s0 1) (GEQ s25 1) (GEQ s44 1) (GEQ s57 1)) (AND (GEQ s0 1) (GEQ s40 1) (GEQ s44 1) (GEQ s54 1)) (AND (GEQ s0 1) (GEQ s35 1) (GEQ s44 1) (GEQ s55 1)) (AND (GEQ s0 1) (GEQ s38 1) (GEQ s44 1) (GEQ s51 1)) (AND (GEQ s0 1) (GEQ s26 1) (GEQ s44 1) (GEQ s52 1)) (AND (GEQ s0 1) (GEQ s28 1) (GEQ s44 1) (GEQ s53 1)) (AND (GEQ s0 1) (GEQ s33 1) (GEQ s44 1) (GEQ s52 1)) (AND (GEQ s0 1) (GEQ s23 1) (GEQ s44 1) (GEQ s54 1)) (AND (GEQ s0 1) (GEQ s26 1) (GEQ s44 1) (GEQ s68 1)) (AND (GEQ s0 1) (GEQ s37 1) (GEQ s44 1) (GEQ s60 1)) (AND (GEQ s0 1) (GEQ s24 1) (GEQ s44 1) (GEQ s63 1)) (AND (GEQ s0 1) (GEQ s41 1) (GEQ s44 1) (GEQ s49 1)) (AND (GEQ s0 1) (GEQ s42 1) (GEQ s44 1) (GEQ s59 1)) (AND (GEQ s0 1) (GEQ s36 1) (GEQ s44 1) (GEQ s50 1)) (AND (GEQ s0 1) (GEQ s29 1) (GEQ s44 1) (GEQ s62 1)) (AND (GEQ s0 1) (GEQ s39 1) (GEQ s44 1) (GEQ s61 1)) (AND (GEQ s0 1) (GEQ s34 1) (GEQ s44 1) (GEQ s61 1)) (AND (GEQ s0 1) (GEQ s31 1) (GEQ s44 1) (GEQ s51 1)) (AND (GEQ s0 1) (GEQ s27 1) (GEQ s44 1) (GEQ s61 1)) (AND (GEQ s0 1) (GEQ s41 1) (GEQ s44 1) (GEQ s66 1)) (AND (GEQ s0 1) (GEQ s36 1) (GEQ s44 1) (GEQ s67 1)) (AND (GEQ s0 1) (GEQ s37 1) (GEQ s44 1) (GEQ s59 1)) (AND (GEQ s0 1) (GEQ s31 1) (GEQ s44 1) (GEQ s68 1)) (AND (GEQ s0 1) (GEQ s32 1) (GEQ s44 1) (GEQ s60 1)) (AND (GEQ s0 1) (GEQ s38 1) (GEQ s44 1) (GEQ s68 1)) (AND (GEQ s0 1) (GEQ s30 1) (GEQ s44 1) (GEQ s55 1)) (AND (GEQ s0 1) (GEQ s25 1) (GEQ s44 1) (GEQ s56 1)) (AND (GEQ s0 1) (GEQ s40 1) (GEQ s44 1) (GEQ s53 1)) (AND (GEQ s0 1) (GEQ s35 1) (GEQ s44 1) (GEQ s54 1)) (AND (GEQ s0 1) (GEQ s26 1) (GEQ s44 1) (GEQ s53 1)) (AND (GEQ s0 1) (GEQ s38 1) (GEQ s44 1) (GEQ s54 1)) (AND (GEQ s0 1) (GEQ s31 1) (GEQ s44 1) (GEQ s48 1)) (AND (GEQ s0 1) (GEQ s40 1) (GEQ s44 1) (GEQ s57 1)) (AND (GEQ s0 1) (GEQ s28 1) (GEQ s44 1) (GEQ s56 1)) (AND (GEQ s0 1) (GEQ s33 1) (GEQ s44 1) (GEQ s51 1)) (AND (GEQ s0 1) (GEQ s29 1) (GEQ s44 1) (GEQ s49 1)) (AND (GEQ s0 1) (GEQ s31 1) (GEQ s44 1) (GEQ s64 1)) (AND (GEQ s0 1) (GEQ s37 1) (GEQ s44 1) (GEQ s61 1)) (AND (GEQ s0 1) (GEQ s42 1) (GEQ s44 1) (GEQ s56 1)) (AND (GEQ s0 1) (GEQ s23 1) (GEQ s44 1) (GEQ s53 1)) (AND (GEQ s0 1) (GEQ s24 1) (GEQ s44 1) (GEQ s62 1)) (AND (GEQ s0 1) (GEQ s36 1) (GEQ s44 1) (GEQ s51 1)) (AND (GEQ s0 1) (GEQ s29 1) (GEQ s44 1) (GEQ s65 1)) (AND (GEQ s0 1) (GEQ s34 1) (GEQ s44 1) (GEQ s60 1)) (AND (GEQ s0 1) (GEQ s39 1) (GEQ s44 1) (GEQ s64 1)) (AND (GEQ s0 1) (GEQ s25 1) (GEQ s44 1) (GEQ s55 1)) (AND (GEQ s0 1) (GEQ s36 1) (GEQ s44 1) (GEQ s68 1)) (AND (GEQ s0 1) (GEQ s41 1) (GEQ s44 1) (GEQ s63 1)) (AND (GEQ s0 1) (GEQ s32 1) (GEQ s44 1) (GEQ s57 1)) (AND (GEQ s0 1) (GEQ s27 1) (GEQ s44 1) (GEQ s62 1)) (AND (GEQ s0 1) (GEQ s30 1) (GEQ s44 1) (GEQ s58 1)) (AND (GEQ s0 1) (GEQ s35 1) (GEQ s44 1) (GEQ s53 1)) (AND (GEQ s0 1) (GEQ s40 1) (GEQ s44 1) (GEQ s56 1)) (AND (GEQ s0 1) (GEQ s33 1) (GEQ s44 1) (GEQ s68 1)) (AND (GEQ s0 1) (GEQ s26 1) (GEQ s44 1) (GEQ s54 1)) (AND (GEQ s0 1) (GEQ s31 1) (GEQ s44 1) (GEQ s49 1)) (AND (GEQ s0 1) (GEQ s38 1) (GEQ s44 1) (GEQ s53 1)) (AND (GEQ s0 1) (GEQ s23 1) (GEQ s44 1) (GEQ s68 1)) (AND (GEQ s0 1) (GEQ s33 1) (GEQ s44 1) (GEQ s50 1)) (AND (GEQ s0 1) (GEQ s28 1) (GEQ s44 1) (GEQ s55 1)) (AND (GEQ s0 1) (GEQ s29 1) (GEQ s44 1) (GEQ s48 1)) (AND (GEQ s0 1) (GEQ s42 1) (GEQ s44 1) (GEQ s57 1)) (AND (GEQ s0 1) (GEQ s37 1) (GEQ s44 1) (GEQ s62 1)) (AND (GEQ s0 1) (GEQ s31 1) (GEQ s44 1) (GEQ s65 1)) (AND (GEQ s0 1) (GEQ s23 1) (GEQ s44 1) (GEQ s52 1)) (AND (GEQ s0 1) (GEQ s24 1) (GEQ s44 1) (GEQ s61 1)) (AND (GEQ s0 1) (GEQ s36 1) (GEQ s44 1) (GEQ s52 1)) (AND (GEQ s0 1) (GEQ s34 1) (GEQ s44 1) (GEQ s59 1)) (AND (GEQ s0 1) (GEQ s29 1) (GEQ s44 1) (GEQ s64 1)) (AND (GEQ s0 1) (GEQ s41 1) (GEQ s44 1) (GEQ s64 1)) (AND (GEQ s0 1) (GEQ s39 1) (GEQ s44 1) (GEQ s63 1)) (AND (GEQ s0 1) (GEQ s25 1) (GEQ s44 1) (GEQ s54 1)) (AND (GEQ s0 1) (GEQ s27 1) (GEQ s44 1) (GEQ s63 1)) (AND (GEQ s0 1) (GEQ s32 1) (GEQ s44 1) (GEQ s58 1)) (AND (GEQ s0 1) (GEQ s31 1) (GEQ s44 1) (GEQ s66 1)) (AND (GEQ s0 1) (GEQ s35 1) (GEQ s44 1) (GEQ s52 1)) (AND (GEQ s0 1) (GEQ s30 1) (GEQ s44 1) (GEQ s57 1)) (AND (GEQ s0 1) (GEQ s40 1) (GEQ s44 1) (GEQ s55 1)) (AND (GEQ s0 1) (GEQ s33 1) (GEQ s44 1) (GEQ s67 1)) (AND (GEQ s0 1) (GEQ s35 1) (GEQ s44 1) (GEQ s68 1)) (AND (GEQ s0 1) (GEQ s33 1) (GEQ s44 1) (GEQ s49 1)) (AND (GEQ s0 1) (GEQ s38 1) (GEQ s44 1) (GEQ s48 1)) (AND (GEQ s0 1) (GEQ s40 1) (GEQ s44 1) (GEQ s67 1)) (AND (GEQ s0 1) (GEQ s23 1) (GEQ s44 1) (GEQ s59 1)) (AND (GEQ s0 1) (GEQ s28 1) (GEQ s44 1) (GEQ s58 1)) (AND (GEQ s0 1) (GEQ s41 1) (GEQ s44 1) (GEQ s52 1)) (AND (GEQ s0 1) (GEQ s37 1) (GEQ s44 1) (GEQ s63 1)) (AND (GEQ s0 1) (GEQ s24 1) (GEQ s44 1) (GEQ s60 1)) (AND (GEQ s0 1) (GEQ s31 1) (GEQ s44 1) (GEQ s62 1)) (AND (GEQ s0 1) (GEQ s34 1) (GEQ s44 1) (GEQ s50 1)) (AND (GEQ s0 1) (GEQ s29 1) (GEQ s44 1) (GEQ s59 1)) (AND (GEQ s0 1) (GEQ s26 1) (GEQ s44 1) (GEQ s55 1)) (AND (GEQ s0 1) (GEQ s34 1) (GEQ s44 1) (GEQ s67 1)) (AND (GEQ s0 1) (GEQ s27 1) (GEQ s44 1) (GEQ s56 1)) (AND (GEQ s0 1) (GEQ s39 1) (GEQ s44 1) (GEQ s49 1)) (AND (GEQ s0 1) (GEQ s39 1) (GEQ s44 1) (GEQ s66 1)) (AND (GEQ s0 1) (GEQ s32 1) (GEQ s44 1) (GEQ s63 1)) (AND (GEQ s0 1) (GEQ s42 1) (GEQ s44 1) (GEQ s53 1)) (AND (GEQ s0 1) (GEQ s36 1) (GEQ s44 1) (GEQ s62 1)) (AND (GEQ s0 1) (GEQ s35 1) (GEQ s44 1) (GEQ s51 1)) (AND (GEQ s0 1) (GEQ s33 1) (GEQ s44 1) (GEQ s66 1)) (AND (GEQ s0 1) (GEQ s25 1) (GEQ s44 1) (GEQ s61 1)) (AND (GEQ s0 1) (GEQ s30 1) (GEQ s44 1) (GEQ s60 1)) (AND (GEQ s0 1) (GEQ s40 1) (GEQ s44 1) (GEQ s50 1)) (AND (GEQ s0 1) (GEQ s38 1) (GEQ s44 1) (GEQ s65 1)) (AND (GEQ s0 1) (GEQ s35 1) (GEQ s44 1) (GEQ s67 1)) (AND (GEQ s0 1) (GEQ s33 1) (GEQ s44 1) (GEQ s48 1)) (AND (GEQ s0 1) (GEQ s23 1) (GEQ s44 1) (GEQ s58 1)) (AND (GEQ s0 1) (GEQ s28 1) (GEQ s44 1) (GEQ s57 1)) (AND (GEQ s0 1) (GEQ s40 1) (GEQ s44 1) (GEQ s66 1)) (AND (GEQ s0 1) (GEQ s24 1) (GEQ s44 1) (GEQ s59 1)) (AND (GEQ s0 1) (GEQ s41 1) (GEQ s44 1) (GEQ s53 1)) (AND (GEQ s0 1) (GEQ s34 1) (GEQ s44 1) (GEQ s49 1)) (AND (GEQ s0 1) (GEQ s42 1) (GEQ s44 1) (GEQ s55 1)) (AND (GEQ s0 1) (GEQ s31 1) (GEQ s44 1) (GEQ s63 1)) (AND (GEQ s0 1) (GEQ s32 1) (GEQ s44 1) (GEQ s65 1)) (AND (GEQ s0 1) (GEQ s29 1) (GEQ s44 1) (GEQ s58 1)) (AND (GEQ s0 1) (GEQ s37 1) (GEQ s44 1) (GEQ s64 1)) (AND (GEQ s0 1) (GEQ s26 1) (GEQ s44 1) (GEQ s56 1)) (AND (GEQ s0 1) (GEQ s34 1) (GEQ s44 1) (GEQ s66 1)) (AND (GEQ s0 1) (GEQ s27 1) (GEQ s44 1) (GEQ s57 1)) (AND (GEQ s0 1) (GEQ s39 1) (GEQ s44 1) (GEQ s65 1)) (AND (GEQ s0 1) (GEQ s39 1) (GEQ s44 1) (GEQ s48 1)) (AND (GEQ s0 1) (GEQ s32 1) (GEQ s44 1) (GEQ s64 1)) (AND (GEQ s0 1) (GEQ s42 1) (GEQ s44 1) (GEQ s54 1)) (AND (GEQ s0 1) (GEQ s36 1) (GEQ s44 1) (GEQ s63 1)) (AND (GEQ s0 1) (GEQ s35 1) (GEQ s44 1) (GEQ s50 1)) (AND (GEQ s0 1) (GEQ s32 1) (GEQ s44 1) (GEQ s48 1)) (AND (GEQ s0 1) (GEQ s33 1) (GEQ s44 1) (GEQ s65 1)) (AND (GEQ s0 1) (GEQ s25 1) (GEQ s44 1) (GEQ s60 1)) (AND (GEQ s0 1) (GEQ s38 1) (GEQ s44 1) (GEQ s64 1)) (AND (GEQ s0 1) (GEQ s40 1) (GEQ s44 1) (GEQ s49 1)) (AND (GEQ s0 1) (GEQ s30 1) (GEQ s44 1) (GEQ s59 1)) (AND (GEQ s0 1) (GEQ s35 1) (GEQ s44 1) (GEQ s66 1)) (AND (GEQ s0 1) (GEQ s33 1) (GEQ s44 1) (GEQ s63 1)) (AND (GEQ s0 1) (GEQ s28 1) (GEQ s44 1) (GEQ s60 1)) (AND (GEQ s0 1) (GEQ s38 1) (GEQ s44 1) (GEQ s50 1)) (AND (GEQ s0 1) (GEQ s34 1) (GEQ s44 1) (GEQ s48 1)) (AND (GEQ s0 1) (GEQ s23 1) (GEQ s44 1) (GEQ s57 1)) (AND (GEQ s0 1) (GEQ s24 1) (GEQ s44 1) (GEQ s58 1)) (AND (GEQ s0 1) (GEQ s31 1) (GEQ s44 1) (GEQ s60 1)) (AND (GEQ s0 1) (GEQ s41 1) (GEQ s44 1) (GEQ s50 1)) (AND (GEQ s0 1) (GEQ s37 1) (GEQ s44 1) (GEQ s65 1)) (AND (GEQ s0 1) (GEQ s29 1) (GEQ s44 1) (GEQ s61 1)) (AND (GEQ s0 1) (GEQ s26 1) (GEQ s44 1) (GEQ s57 1)) (AND (GEQ s0 1) (GEQ s42 1) (GEQ s44 1) (GEQ s68 1)) (AND (GEQ s0 1) (GEQ s27 1) (GEQ s44 1) (GEQ s58 1)) (AND (GEQ s0 1) (GEQ s34 1) (GEQ s44 1) (GEQ s65 1)) (AND (GEQ s0 1) (GEQ s39 1) (GEQ s44 1) (GEQ s51 1)) (AND (GEQ s0 1) (GEQ s37 1) (GEQ s44 1) (GEQ s48 1)) (AND (GEQ s0 1) (GEQ s41 1) (GEQ s44 1) (GEQ s67 1)) (AND (GEQ s0 1) (GEQ s32 1) (GEQ s44 1) (GEQ s61 1)) (AND (GEQ s0 1) (GEQ s39 1) (GEQ s44 1) (GEQ s68 1)) (AND (GEQ s0 1) (GEQ s42 1) (GEQ s44 1) (GEQ s51 1)) (AND (GEQ s0 1) (GEQ s36 1) (GEQ s44 1) (GEQ s64 1)) (AND (GEQ s0 1) (GEQ s38 1) (GEQ s44 1) (GEQ s67 1)) (AND (GEQ s0 1) (GEQ s35 1) (GEQ s44 1) (GEQ s49 1)) (AND (GEQ s0 1) (GEQ s33 1) (GEQ s44 1) (GEQ s64 1)) (AND (GEQ s0 1) (GEQ s25 1) (GEQ s44 1) (GEQ s59 1)) (AND (GEQ s0 1) (GEQ s30 1) (GEQ s44 1) (GEQ s62 1)) (AND (GEQ s0 1) (GEQ s40 1) (GEQ s44 1) (GEQ s52 1)) (AND (GEQ s0 1) (GEQ s33 1) (GEQ s44 1) (GEQ s62 1)) (AND (GEQ s0 1) (GEQ s35 1) (GEQ s44 1) (GEQ s65 1)) (AND (GEQ s0 1) (GEQ s28 1) (GEQ s44 1) (GEQ s59 1)) (AND (GEQ s0 1) (GEQ s40 1) (GEQ s44 1) (GEQ s68 1)) (AND (GEQ s0 1) (GEQ s38 1) (GEQ s44 1) (GEQ s49 1)) (AND (GEQ s0 1) (GEQ s23 1) (GEQ s44 1) (GEQ s56 1)) (AND (GEQ s0 1) (GEQ s24 1) (GEQ s44 1) (GEQ s57 1)) (AND (GEQ s0 1) (GEQ s31 1) (GEQ s44 1) (GEQ s61 1)) (AND (GEQ s0 1) (GEQ s37 1) (GEQ s44 1) (GEQ s66 1)) (AND (GEQ s0 1) (GEQ s41 1) (GEQ s44 1) (GEQ s51 1)) (AND (GEQ s0 1) (GEQ s29 1) (GEQ s44 1) (GEQ s60 1)) (AND (GEQ s0 1) (GEQ s26 1) (GEQ s44 1) (GEQ s58 1)) (AND (GEQ s0 1) (GEQ s36 1) (GEQ s44 1) (GEQ s48 1)) (AND (GEQ s0 1) (GEQ s27 1) (GEQ s44 1) (GEQ s59 1)) (AND (GEQ s0 1) (GEQ s41 1) (GEQ s44 1) (GEQ s68 1)) (AND (GEQ s0 1) (GEQ s34 1) (GEQ s44 1) (GEQ s64 1)) (AND (GEQ s0 1) (GEQ s37 1) (GEQ s44 1) (GEQ s49 1)) (AND (GEQ s0 1) (GEQ s39 1) (GEQ s44 1) (GEQ s50 1)) (AND (GEQ s0 1) (GEQ s32 1) (GEQ s44 1) (GEQ s62 1)) (AND (GEQ s0 1) (GEQ s36 1) (GEQ s44 1) (GEQ s65 1)) (AND (GEQ s0 1) (GEQ s39 1) (GEQ s44 1) (GEQ s67 1)) (AND (GEQ s0 1) (GEQ s42 1) (GEQ s44 1) (GEQ s52 1)) (AND (GEQ s0 1) (GEQ s35 1) (GEQ s44 1) (GEQ s48 1)) (AND (GEQ s0 1) (GEQ s25 1) (GEQ s44 1) (GEQ s58 1)) (AND (GEQ s0 1) (GEQ s40 1) (GEQ s44 1) (GEQ s51 1)) (AND (GEQ s0 1) (GEQ s30 1) (GEQ s44 1) (GEQ s61 1)) (AND (GEQ s0 1) (GEQ s38 1) (GEQ s44 1) (GEQ s66 1)) (AND (GEQ s0 1) (GEQ s40 1) (GEQ s44 1) (GEQ s63 1)) (AND (GEQ s0 1) (GEQ s28 1) (GEQ s44 1) (GEQ s62 1)) (AND (GEQ s0 1) (GEQ s35 1) (GEQ s44 1) (GEQ s64 1)) (AND (GEQ s0 1) (GEQ s33 1) (GEQ s44 1) (GEQ s61 1)) (AND (GEQ s0 1) (GEQ s23 1) (GEQ s44 1) (GEQ s63 1)) (AND (GEQ s0 1) (GEQ s24 1) (GEQ s44 1) (GEQ s56 1)) (AND (GEQ s0 1) (GEQ s36 1) (GEQ s44 1) (GEQ s57 1)) (AND (GEQ s0 1) (GEQ s29 1) (GEQ s44 1) (GEQ s55 1)) (AND (GEQ s0 1) (GEQ s37 1) (GEQ s44 1) (GEQ s67 1)) (AND (GEQ s0 1) (GEQ s31 1) (GEQ s44 1) (GEQ s58 1)) (AND (GEQ s0 1) (GEQ s34 1) (GEQ s44 1) (GEQ s54 1)) (AND (GEQ s0 1) (GEQ s32 1) (GEQ s44 1) (GEQ s68 1)) (AND (GEQ s0 1) (GEQ s42 1) (GEQ s44 1) (GEQ s66 1)) (AND (GEQ s0 1) (GEQ s26 1) (GEQ s44 1) (GEQ s59 1)) (AND (GEQ s0 1) (GEQ s25 1) (GEQ s44 1) (GEQ s49 1)) (AND (GEQ s0 1) (GEQ s39 1) (GEQ s44 1) (GEQ s53 1)) (AND (GEQ s0 1) (GEQ s37 1) (GEQ s44 1) (GEQ s50 1)) (AND (GEQ s0 1) (GEQ s32 1) (GEQ s44 1) (GEQ s51 1)) (AND (GEQ s0 1) (GEQ s42 1) (GEQ s44 1) (GEQ s49 1)) (AND (GEQ s0 1) (GEQ s30 1) (GEQ s44 1) (GEQ s48 1)) (AND (GEQ s0 1) (GEQ s27 1) (GEQ s44 1) (GEQ s68 1)) (AND (GEQ s0 1) (GEQ s41 1) (GEQ s44 1) (GEQ s57 1)) (AND (GEQ s0 1) (GEQ s25 1) (GEQ s44 1) (GEQ s65 1)) (AND (GEQ s0 1) (GEQ s30 1) (GEQ s44 1) (GEQ s64 1)) (AND (GEQ s0 1) (GEQ s27 1) (GEQ s44 1) (GEQ s52 1)) (AND (GEQ s0 1) (GEQ s38 1) (GEQ s44 1) (GEQ s61 1)) (AND (GEQ s0 1) (GEQ s28 1) (GEQ s44 1) (GEQ s61 1)) (AND (GEQ s0 1) (GEQ s40 1) (GEQ s44 1) (GEQ s62 1)) (AND (GEQ s0 1) (GEQ s33 1) (GEQ s44 1) (GEQ s60 1)) (AND (GEQ s0 1) (GEQ s35 1) (GEQ s44 1) (GEQ s63 1)) (AND (GEQ s0 1) (GEQ s23 1) (GEQ s44 1) (GEQ s62 1)) (AND (GEQ s0 1) (GEQ s24 1) (GEQ s44 1) (GEQ s55 1)) (AND (GEQ s0 1) (GEQ s36 1) (GEQ s44 1) (GEQ s58 1)) (AND (GEQ s0 1) (GEQ s29 1) (GEQ s44 1) (GEQ s54 1)) (AND (GEQ s0 1) (GEQ s34 1) (GEQ s44 1) (GEQ s53 1)) (AND (GEQ s0 1) (GEQ s31 1) (GEQ s44 1) (GEQ s59 1)) (AND (GEQ s0 1) (GEQ s26 1) (GEQ s44 1) (GEQ s60 1)) (AND (GEQ s0 1) (GEQ s37 1) (GEQ s44 1) (GEQ s68 1)) (AND (GEQ s0 1) (GEQ s42 1) (GEQ s44 1) (GEQ s67 1)) (AND (GEQ s0 1) (GEQ s25 1) (GEQ s44 1) (GEQ s48 1)) (AND (GEQ s0 1) (GEQ s37 1) (GEQ s44 1) (GEQ s51 1)) (AND (GEQ s0 1) (GEQ s39 1) (GEQ s44 1) (GEQ s52 1)) (AND (GEQ s0 1) (GEQ s32 1) (GEQ s44 1) (GEQ s52 1)) (AND (GEQ s0 1) (GEQ s42 1) (GEQ s44 1) (GEQ s50 1)) (AND (GEQ s0 1) (GEQ s41 1) (GEQ s44 1) (GEQ s58 1)) (AND (GEQ s0 1) (GEQ s25 1) (GEQ s44 1) (GEQ s64 1)) (AND (GEQ s0 1) (GEQ s38 1) (GEQ s44 1) (GEQ s60 1)) (AND (GEQ s0 1) (GEQ s27 1) (GEQ s44 1) (GEQ s53 1)) (AND (GEQ s0 1) (GEQ s30 1) (GEQ s44 1) (GEQ s63 1)) (AND (GEQ s0 1) (GEQ s28 1) (GEQ s44 1) (GEQ s64 1)) (AND (GEQ s0 1) (GEQ s33 1) (GEQ s44 1) (GEQ s59 1)) (AND (GEQ s0 1) (GEQ s35 1) (GEQ s44 1) (GEQ s62 1)) (AND (GEQ s0 1) (GEQ s30 1) (GEQ s44 1) (GEQ s67 1)) (AND (GEQ s0 1) (GEQ s40 1) (GEQ s44 1) (GEQ s65 1)) (AND (GEQ s0 1) (GEQ s24 1) (GEQ s44 1) (GEQ s54 1)) (AND (GEQ s0 1) (GEQ s23 1) (GEQ s44 1) (GEQ s61 1)) (AND (GEQ s0 1) (GEQ s28 1) (GEQ s44 1) (GEQ s48 1)) (AND (GEQ s0 1) (GEQ s41 1) (GEQ s44 1) (GEQ s54 1)) (AND (GEQ s0 1) (GEQ s36 1) (GEQ s44 1) (GEQ s59 1)) (AND (GEQ s0 1) (GEQ s29 1) (GEQ s44 1) (GEQ s57 1)) (AND (GEQ s0 1) (GEQ s34 1) (GEQ s44 1) (GEQ s52 1)) (AND (GEQ s0 1) (GEQ s32 1) (GEQ s44 1) (GEQ s66 1)) (AND (GEQ s0 1) (GEQ s31 1) (GEQ s44 1) (GEQ s56 1)) (AND (GEQ s0 1) (GEQ s26 1) (GEQ s44 1) (GEQ s61 1)) (AND (GEQ s0 1) (GEQ s42 1) (GEQ s44 1) (GEQ s64 1)) (AND (GEQ s0 1) (GEQ s39 1) (GEQ s44 1) (GEQ s55 1)) (AND (GEQ s0 1) (GEQ s32 1) (GEQ s44 1) (GEQ s49 1)) (AND (GEQ s0 1) (GEQ s27 1) (GEQ s44 1) (GEQ s54 1)) (AND (GEQ s0 1) (GEQ s30 1) (GEQ s44 1) (GEQ s50 1)) (AND (GEQ s0 1) (GEQ s37 1) (GEQ s44 1) (GEQ s52 1)) (AND (GEQ s0 1) (GEQ s40 1) (GEQ s44 1) (GEQ s48 1)) (AND (GEQ s0 1) (GEQ s35 1) (GEQ s44 1) (GEQ s61 1)) (AND (GEQ s0 1) (GEQ s30 1) (GEQ s44 1) (GEQ s66 1)) (AND (GEQ s0 1) (GEQ s38 1) (GEQ s44 1) (GEQ s63 1)) (AND (GEQ s0 1) (GEQ s25 1) (GEQ s44 1) (GEQ s63 1)) (AND (GEQ s0 1) (GEQ s33 1) (GEQ s44 1) (GEQ s58 1)) (AND (GEQ s0 1) (GEQ s28 1) (GEQ s44 1) (GEQ s63 1)) (AND (GEQ s0 1) (GEQ s40 1) (GEQ s44 1) (GEQ s64 1)) (AND (GEQ s0 1) (GEQ s24 1) (GEQ s44 1) (GEQ s53 1)) (AND (GEQ s0 1) (GEQ s23 1) (GEQ s44 1) (GEQ s60 1)) (AND (GEQ s0 1) (GEQ s36 1) (GEQ s44 1) (GEQ s60 1)) (AND (GEQ s0 1) (GEQ s41 1) (GEQ s44 1) (GEQ s55 1)) (AND (GEQ s0 1) (GEQ s34 1) (GEQ s44 1) (GEQ s51 1)) (AND (GEQ s0 1) (GEQ s29 1) (GEQ s44 1) (GEQ s56 1)) (AND (GEQ s0 1) (GEQ s32 1) (GEQ s44 1) (GEQ s67 1)) (AND (GEQ s0 1) (GEQ s26 1) (GEQ s44 1) (GEQ s62 1)) (AND (GEQ s0 1) (GEQ s42 1) (GEQ s44 1) (GEQ s65 1)) (AND (GEQ s0 1) (GEQ s31 1) (GEQ s44 1) (GEQ s57 1)) (AND (GEQ s0 1) (GEQ s39 1) (GEQ s44 1) (GEQ s54 1)) (AND (GEQ s0 1) (GEQ s27 1) (GEQ s44 1) (GEQ s55 1)) (AND (GEQ s0 1) (GEQ s32 1) (GEQ s44 1) (GEQ s50 1)) (AND (GEQ s0 1) (GEQ s34 1) (GEQ s44 1) (GEQ s68 1)) (AND (GEQ s0 1) (GEQ s30 1) (GEQ s44 1) (GEQ s49 1)) (AND (GEQ s0 1) (GEQ s37 1) (GEQ s44 1) (GEQ s53 1)) (AND (GEQ s0 1) (GEQ s42 1) (GEQ s44 1) (GEQ s48 1)) (AND (GEQ s0 1) (GEQ s41 1) (GEQ s44 1) (GEQ s56 1)) (AND (GEQ s0 1) (GEQ s36 1) (GEQ s44 1) (GEQ s61 1)) (AND (GEQ s0 1) (GEQ s35 1) (GEQ s44 1) (GEQ s60 1)) (AND (GEQ s0 1) (GEQ s30 1) (GEQ s44 1) (GEQ s65 1)) (AND (GEQ s0 1) (GEQ s38 1) (GEQ s44 1) (GEQ s62 1)) (AND (GEQ s0 1) (GEQ s25 1) (GEQ s44 1) (GEQ s62 1)) (AND (GEQ s0 1) (GEQ s33 1) (GEQ s44 1) (GEQ s57 1)) (AND (GEQ s0 1) (GEQ s38 1) (GEQ s44 1) (GEQ s56 1)) (AND (GEQ s0 1) (GEQ s40 1) (GEQ s44 1) (GEQ s59 1)) (AND (GEQ s0 1) (GEQ s23 1) (GEQ s44 1) (GEQ s67 1)) (AND (GEQ s0 1) (GEQ s28 1) (GEQ s44 1) (GEQ s66 1)) (AND (GEQ s0 1) (GEQ s24 1) (GEQ s44 1) (GEQ s52 1)) (AND (GEQ s0 1) (GEQ s29 1) (GEQ s44 1) (GEQ s51 1)) (AND (GEQ s0 1) (GEQ s23 1) (GEQ s44 1) (GEQ s51 1)) (AND (GEQ s0 1) (GEQ s36 1) (GEQ s44 1) (GEQ s53 1)) (AND (GEQ s0 1) (GEQ s42 1) (GEQ s44 1) (GEQ s62 1)) (AND (GEQ s0 1) (GEQ s28 1) (GEQ s44 1) (GEQ s50 1)) (AND (GEQ s0 1) (GEQ s26 1) (GEQ s44 1) (GEQ s63 1)) (AND (GEQ s0 1) (GEQ s24 1) (GEQ s44 1) (GEQ s68 1)) (AND (GEQ s0 1) (GEQ s31 1) (GEQ s44 1) (GEQ s54 1)) (AND (GEQ s0 1) (GEQ s34 1) (GEQ s44 1) (GEQ s58 1)) (AND (GEQ s0 1) (GEQ s29 1) (GEQ s44 1) (GEQ s67 1)) (AND (GEQ s0 1) (GEQ s39 1) (GEQ s44 1) (GEQ s58 1)) (AND (GEQ s0 1) (GEQ s39 1) (GEQ s44 1) (GEQ s57 1)) (AND (GEQ s0 1) (GEQ s29 1) (GEQ s44 1) (GEQ s68 1)) (AND (GEQ s0 1) (GEQ s32 1) (GEQ s44 1) (GEQ s55 1)) (AND (GEQ s0 1) (GEQ s25 1) (GEQ s44 1) (GEQ s53 1)) (AND (GEQ s0 1) (GEQ s37 1) (GEQ s44 1) (GEQ s54 1)) (AND (GEQ s0 1) (GEQ s41 1) (GEQ s44 1) (GEQ s61 1)) (AND (GEQ s0 1) (GEQ s27 1) (GEQ s44 1) (GEQ s64 1)) (AND (GEQ s0 1) (GEQ s30 1) (GEQ s44 1) (GEQ s52 1)) (AND (GEQ s0 1) (GEQ s35 1) (GEQ s44 1) (GEQ s59 1)) (AND (GEQ s0 1) (GEQ s27 1) (GEQ s44 1) (GEQ s48 1)) (AND (GEQ s0 1) (GEQ s33 1) (GEQ s44 1) (GEQ s56 1)) (AND (GEQ s0 1) (GEQ s23 1) (GEQ s44 1) (GEQ s66 1)) (AND (GEQ s0 1) (GEQ s38 1) (GEQ s44 1) (GEQ s55 1)) (AND (GEQ s0 1) (GEQ s26 1) (GEQ s44 1) (GEQ s48 1)) (AND (GEQ s0 1) (GEQ s40 1) (GEQ s44 1) (GEQ s58 1)) (AND (GEQ s0 1) (GEQ s28 1) (GEQ s44 1) (GEQ s65 1)) (AND (GEQ s0 1) (GEQ s24 1) (GEQ s44 1) (GEQ s51 1)) (AND (GEQ s0 1) (GEQ s30 1) (GEQ s44 1) (GEQ s68 1)) (AND (GEQ s0 1) (GEQ s29 1) (GEQ s44 1) (GEQ s50 1)) (AND (GEQ s0 1) (GEQ s23 1) (GEQ s44 1) (GEQ s50 1)) (AND (GEQ s0 1) (GEQ s36 1) (GEQ s44 1) (GEQ s54 1)) (AND (GEQ s0 1) (GEQ s26 1) (GEQ s44 1) (GEQ s64 1)) (AND (GEQ s0 1) (GEQ s28 1) (GEQ s44 1) (GEQ s49 1)) (AND (GEQ s0 1) (GEQ s24 1) (GEQ s44 1) (GEQ s67 1)) (AND (GEQ s0 1) (GEQ s34 1) (GEQ s44 1) (GEQ s57 1)) (AND (GEQ s0 1) (GEQ s42 1) (GEQ s44 1) (GEQ s63 1)) (AND (GEQ s0 1) (GEQ s31 1) (GEQ s44 1) (GEQ s55 1)) (AND (GEQ s0 1) (GEQ s29 1) (GEQ s44 1) (GEQ s66 1)) (AND (GEQ s0 1) (GEQ s39 1) (GEQ s44 1) (GEQ s56 1)) (AND (GEQ s0 1) (GEQ s32 1) (GEQ s44 1) (GEQ s56 1)) (AND (GEQ s0 1) (GEQ s25 1) (GEQ s44 1) (GEQ s52 1)) (AND (GEQ s0 1) (GEQ s37 1) (GEQ s44 1) (GEQ s55 1)) (AND (GEQ s0 1) (GEQ s41 1) (GEQ s44 1) (GEQ s62 1)) (AND (GEQ s0 1) (GEQ s27 1) (GEQ s44 1) (GEQ s65 1)) (AND (GEQ s0 1) (GEQ s25 1) (GEQ s44 1) (GEQ s68 1)) (AND (GEQ s0 1) (GEQ s30 1) (GEQ s44 1) (GEQ s51 1)) (AND (GEQ s0 1) (GEQ s35 1) (GEQ s44 1) (GEQ s58 1)) (AND (GEQ s0 1) (GEQ s27 1) (GEQ s44 1) (GEQ s49 1)) (AND (GEQ s0 1) (GEQ s40 1) (GEQ s44 1) (GEQ s61 1)) (AND (GEQ s0 1) (GEQ s26 1) (GEQ s44 1) (GEQ s49 1)) (AND (GEQ s0 1) (GEQ s28 1) (GEQ s44 1) (GEQ s68 1)) (AND (GEQ s0 1) (GEQ s38 1) (GEQ s44 1) (GEQ s58 1)) (AND (GEQ s0 1) (GEQ s24 1) (GEQ s44 1) (GEQ s50 1)) (AND (GEQ s0 1) (GEQ s23 1) (GEQ s44 1) (GEQ s65 1)) (AND (GEQ s0 1) (GEQ s33 1) (GEQ s44 1) (GEQ s55 1)) (AND (GEQ s0 1) (GEQ s29 1) (GEQ s44 1) (GEQ s53 1)) (AND (GEQ s0 1) (GEQ s28 1) (GEQ s44 1) (GEQ s52 1)) (AND (GEQ s0 1) (GEQ s26 1) (GEQ s44 1) (GEQ s65 1)) (AND (GEQ s0 1) (GEQ s36 1) (GEQ s44 1) (GEQ s55 1)) (AND (GEQ s0 1) (GEQ s42 1) (GEQ s44 1) (GEQ s60 1)) (AND (GEQ s0 1) (GEQ s34 1) (GEQ s44 1) (GEQ s56 1)) (AND (GEQ s0 1) (GEQ s23 1) (GEQ s44 1) (GEQ s49 1)) (AND (GEQ s0 1) (GEQ s24 1) (GEQ s44 1) (GEQ s66 1)) (AND (GEQ s0 1) (GEQ s31 1) (GEQ s44 1) (GEQ s52 1)) (AND (GEQ s0 1) (GEQ s39 1) (GEQ s44 1) (GEQ s60 1)) (AND (GEQ s0 1) (GEQ s32 1) (GEQ s44 1) (GEQ s53 1)) (AND (GEQ s0 1) (GEQ s25 1) (GEQ s44 1) (GEQ s51 1)) (AND (GEQ s0 1) (GEQ s27 1) (GEQ s44 1) (GEQ s66 1)) (AND (GEQ s0 1) (GEQ s37 1) (GEQ s44 1) (GEQ s56 1)) (AND (GEQ s0 1) (GEQ s41 1) (GEQ s44 1) (GEQ s59 1)) (AND (GEQ s0 1) (GEQ s25 1) (GEQ s44 1) (GEQ s67 1)) (AND (GEQ s0 1) (GEQ s30 1) (GEQ s44 1) (GEQ s54 1)) (AND (GEQ s0 1) (GEQ s27 1) (GEQ s44 1) (GEQ s50 1)) (AND (GEQ s0 1) (GEQ s38 1) (GEQ s44 1) (GEQ s59 1)) (AND (GEQ s0 1) (GEQ s35 1) (GEQ s44 1) (GEQ s57 1)) (AND (GEQ s0 1) (GEQ s26 1) (GEQ s44 1) (GEQ s50 1)) (AND (GEQ s0 1) (GEQ s40 1) (GEQ s44 1) (GEQ s60 1)) (AND (GEQ s0 1) (GEQ s28 1) (GEQ s44 1) (GEQ s67 1)) (AND (GEQ s0 1) (GEQ s38 1) (GEQ s44 1) (GEQ s57 1)) (AND (GEQ s0 1) (GEQ s24 1) (GEQ s44 1) (GEQ s49 1)) (AND (GEQ s0 1) (GEQ s23 1) (GEQ s44 1) (GEQ s64 1)) (AND (GEQ s0 1) (GEQ s33 1) (GEQ s44 1) (GEQ s54 1)) (AND (GEQ s0 1) (GEQ s29 1) (GEQ s44 1) (GEQ s52 1)) (AND (GEQ s0 1) (GEQ s42 1) (GEQ s44 1) (GEQ s61 1)) (AND (GEQ s0 1) (GEQ s26 1) (GEQ s44 1) (GEQ s66 1)) (AND (GEQ s0 1) (GEQ s28 1) (GEQ s44 1) (GEQ s51 1)) (AND (GEQ s0 1) (GEQ s36 1) (GEQ s44 1) (GEQ s56 1)) (AND (GEQ s0 1) (GEQ s34 1) (GEQ s44 1) (GEQ s55 1)) (AND (GEQ s0 1) (GEQ s23 1) (GEQ s44 1) (GEQ s48 1)) (AND (GEQ s0 1) (GEQ s24 1) (GEQ s44 1) (GEQ s65 1)) (AND (GEQ s0 1) (GEQ s31 1) (GEQ s44 1) (GEQ s53 1)) (AND (GEQ s0 1) (GEQ s39 1) (GEQ s44 1) (GEQ s59 1)) (AND (GEQ s0 1) (GEQ s32 1) (GEQ s44 1) (GEQ s54 1)) (AND (GEQ s0 1) (GEQ s25 1) (GEQ s44 1) (GEQ s50 1)) (AND (GEQ s0 1) (GEQ s41 1) (GEQ s44 1) (GEQ s60 1)) (AND (GEQ s0 1) (GEQ s27 1) (GEQ s44 1) (GEQ s67 1)) (AND (GEQ s0 1) (GEQ s37 1) (GEQ s44 1) (GEQ s57 1)) (AND (GEQ s0 1) (GEQ s25 1) (GEQ s44 1) (GEQ s66 1)) (AND (GEQ s0 1) (GEQ s30 1) (GEQ s44 1) (GEQ s53 1)) (AND (GEQ s0 1) (GEQ s27 1) (GEQ s44 1) (GEQ s51 1)) (AND (GEQ s0 1) (GEQ s35 1) (GEQ s44 1) (GEQ s56 1)))], nbAcceptance=1, properties=[trans-labels, explicit-labels, trans-acc, colored, deterministic, no-univ-branch, unambiguous, semi-deterministic, stutter-invariant, very-weak, weak, inherently-weak], stateDesc=[null][true]]
Stuttering criterion allowed to conclude after 123 steps with 0 reset in 3 ms.
FORMULA BridgeAndVehicles-COL-V20P20N20-06 FALSE TECHNIQUES STUTTER_TEST
Treatment of property BridgeAndVehicles-COL-V20P20N20-06 finished in 1135 ms.
Running Spot : CommandLine [args=[/home/mcc/BenchKit/bin//..//ltl2tgba, --hoaf=tv, -f, !(G((F(!p0)&&F(G(((!p2 U ((F(!p0)&&p3)||G(!p2)))&&p1))))))], workingDir=/home/mcc/execution]
Support contains 66 out of 76 places. Attempting structural reductions.
Starting structural reductions, iteration 0 : 76/76 places, 968/968 transitions.
Discarding 1 places :
Symmetric choice reduction at 0 with 1 rule applications. Total rules 1 place count 75 transition count 967
Iterating global reduction 0 with 1 rules applied. Total rules applied 2 place count 75 transition count 967
Performed 1 Post agglomeration using F-continuation condition.Transition count delta: 1
Deduced a syphon composed of 1 places in 0 ms
Reduce places removed 2 places and 0 transitions.
Iterating global reduction 0 with 3 rules applied. Total rules applied 5 place count 73 transition count 966
Applied a total of 5 rules in 17 ms. Remains 73 /76 variables (removed 3) and now considering 966/968 (removed 2) transitions.
[2021-05-08 22:21:12] [INFO ] Flow matrix only has 168 transitions (discarded 798 similar events)
// Phase 1: matrix 168 rows 73 cols
[2021-05-08 22:21:12] [INFO ] Computed 4 place invariants in 1 ms
[2021-05-08 22:21:12] [INFO ] Implicit Places using invariants in 34 ms returned []
[2021-05-08 22:21:12] [INFO ] Flow matrix only has 168 transitions (discarded 798 similar events)
// Phase 1: matrix 168 rows 73 cols
[2021-05-08 22:21:12] [INFO ] Computed 4 place invariants in 1 ms
[2021-05-08 22:21:12] [INFO ] State equation strengthened by 42 read => feed constraints.
[2021-05-08 22:21:13] [INFO ] Implicit Places using invariants and state equation in 151 ms returned [22, 44]
Discarding 2 places :
Implicit Place search using SMT with State Equation took 189 ms to find 2 implicit places.
[2021-05-08 22:21:13] [INFO ] Redundant transitions in 8 ms returned []
[2021-05-08 22:21:13] [INFO ] Flow matrix only has 168 transitions (discarded 798 similar events)
// Phase 1: matrix 168 rows 71 cols
[2021-05-08 22:21:13] [INFO ] Computed 4 place invariants in 3 ms
[2021-05-08 22:21:13] [INFO ] Dead Transitions using invariants and state equation in 302 ms returned []
Starting structural reductions, iteration 1 : 71/76 places, 966/968 transitions.
Applied a total of 0 rules in 9 ms. Remains 71 /71 variables (removed 0) and now considering 966/966 (removed 0) transitions.
[2021-05-08 22:21:13] [INFO ] Redundant transitions in 8 ms returned []
[2021-05-08 22:21:13] [INFO ] Flow matrix only has 168 transitions (discarded 798 similar events)
// Phase 1: matrix 168 rows 71 cols
[2021-05-08 22:21:13] [INFO ] Computed 4 place invariants in 1 ms
[2021-05-08 22:21:13] [INFO ] Dead Transitions using invariants and state equation in 299 ms returned []
Finished structural reductions, in 2 iterations. Remains : 71/76 places, 966/968 transitions.
Stuttering acceptance computed with spot in 266 ms :[(OR (NOT p1) p0 (AND p2 (NOT p3))), p0, (OR (NOT p1) (AND p2 (NOT p3)) (AND p0 p2)), (OR (AND p2 (NOT p3)) (AND p0 p2)), (OR (AND p0 (NOT p1)) (AND p0 p2)), (AND p0 p2)]
Running random walk in product with property : BridgeAndVehicles-COL-V20P20N20-07 automaton TGBA [mat=[[{ cond=true, acceptance={} source=0 dest: 0}, { cond=p0, acceptance={} source=0 dest: 1}, { cond=true, acceptance={} source=0 dest: 2}, { cond=(AND p1 (NOT p3) (NOT p2)), acceptance={} source=0 dest: 3}], [{ cond=p0, acceptance={0, 1} source=1 dest: 1}], [{ cond=(OR (NOT p1) (AND (NOT p3) p2)), acceptance={0, 1} source=2 dest: 2}, { cond=(OR (AND p1 p3) (AND p1 (NOT p2))), acceptance={0} source=2 dest: 2}, { cond=(AND p1 (NOT p3) (NOT p2)), acceptance={1} source=2 dest: 3}, { cond=(AND p0 p1 p3 p2), acceptance={} source=2 dest: 4}, { cond=(AND p0 p1 p3 (NOT p2)), acceptance={} source=2 dest: 5}], [{ cond=(AND (NOT p3) p2), acceptance={0, 1} source=3 dest: 2}, { cond=(AND (NOT p3) (NOT p2)), acceptance={1} source=3 dest: 3}, { cond=(AND p0 p3 p2), acceptance={} source=3 dest: 4}, { cond=(AND p0 p3 (NOT p2)), acceptance={} source=3 dest: 5}], [{ cond=(AND p0 p1 (NOT p2)), acceptance={0} source=4 dest: 4}, { cond=(OR (AND p0 (NOT p1)) (AND p0 p2)), acceptance={0, 1} source=4 dest: 4}, { cond=(AND p0 p1 (NOT p2)), acceptance={1} source=4 dest: 5}], [{ cond=(AND p0 p2), acceptance={0, 1} source=5 dest: 4}, { cond=(AND p0 (NOT p2)), acceptance={1} source=5 dest: 5}]], initial=0, aps=[p0:(OR (AND (GEQ s46 1) (GEQ s68 1)) (AND (GEQ s47 1) (GEQ s68 1))), p1:(AND (OR (LT s4 1) (LT s21 1)) (OR (LT s9 1) (LT s21 1)) (OR (LT s19 1) (LT s21 1)) (OR (LT s2 1) (LT s21 1)) (OR (LT s6 1) (LT s21 1)) (OR (LT s1 1) (LT s21 1)) (OR (LT s12 1) (LT s21 1)) (OR (LT s18 1) (LT s21 1)) (OR (LT s16 1) (LT s21 1)) (OR (LT s14 1) (LT s21 1)) (OR (LT s15 1) (LT s21 1)) (OR (LT s20 1) (LT s21 1)) (OR (LT s10 1) (LT s21 1)) (OR (LT s8 1) (LT s21 1)) (OR (LT s3 1) (LT s21 1)) (OR (LT s5 1) (LT s21 1)) (OR (LT s7 1) (LT s21 1)) (OR (LT s17 1) (LT s21 1)) (OR (LT s0 1) (LT s21 1)) (OR (LT s11 1) (LT s21 1)) (OR (LT s13 1) (LT s21 1))), p3:(AND (OR (LT s0 1) (LT s38 1) (LT s44 1) (LT s52 1)) (OR (LT s0 1) (LT s26 1) (LT s44 1) (LT s51 1)) (OR (LT s0 1) (LT s24 1) (LT s44 1) (LT s48 1)) (OR (LT s0 1) (LT s28 1) (LT s44 1) (LT s54 1)) (OR (LT s0 1) (LT s33 1) (LT s44 1) (LT s53 1)) (OR (LT s0 1) (LT s23 1) (LT s44 1) (LT s55 1)) (OR (LT s0 1) (LT s42 1) (LT s44 1) (LT s58 1)) (OR (LT s0 1) (LT s26 1) (LT s44 1) (LT s67 1)) (OR (LT s0 1) (LT s41 1) (LT s44 1) (LT s48 1)) (OR (LT s0 1) (LT s24 1) (LT s44 1) (LT s64 1)) (OR (LT s0 1) (LT s36 1) (LT s44 1) (LT s49 1)) (OR (LT s0 1) (LT s29 1) (LT s44 1) (LT s63 1)) (OR (LT s0 1) (LT s31 1) (LT s44 1) (LT s50 1)) (OR (LT s0 1) (LT s34 1) (LT s44 1) (LT s62 1)) (OR (LT s0 1) (LT s34 1) (LT s44 1) (LT s63 1)) (OR (LT s0 1) (LT s27 1) (LT s44 1) (LT s60 1)) (OR (LT s0 1) (LT s39 1) (LT s44 1) (LT s62 1)) (OR (LT s0 1) (LT s41 1) (LT s44 1) (LT s65 1)) (OR (LT s0 1) (LT s36 1) (LT s44 1) (LT s66 1)) (OR (LT s0 1) (LT s37 1) (LT s44 1) (LT s58 1)) (OR (LT s0 1) (LT s32 1) (LT s44 1) (LT s59 1)) (OR (LT s0 1) (LT s31 1) (LT s44 1) (LT s67 1)) (OR (LT s0 1) (LT s30 1) (LT s44 1) (LT s56 1)) (OR (LT s0 1) (LT s25 1) (LT s44 1) (LT s57 1)) (OR (LT s0 1) (LT s40 1) (LT s44 1) (LT s54 1)) (OR (LT s0 1) (LT s35 1) (LT s44 1) (LT s55 1)) (OR (LT s0 1) (LT s38 1) (LT s44 1) (LT s51 1)) (OR (LT s0 1) (LT s26 1) (LT s44 1) (LT s52 1)) (OR (LT s0 1) (LT s28 1) (LT s44 1) (LT s53 1)) (OR (LT s0 1) (LT s33 1) (LT s44 1) (LT s52 1)) (OR (LT s0 1) (LT s23 1) (LT s44 1) (LT s54 1)) (OR (LT s0 1) (LT s26 1) (LT s44 1) (LT s68 1)) (OR (LT s0 1) (LT s37 1) (LT s44 1) (LT s60 1)) (OR (LT s0 1) (LT s24 1) (LT s44 1) (LT s63 1)) (OR (LT s0 1) (LT s41 1) (LT s44 1) (LT s49 1)) (OR (LT s0 1) (LT s42 1) (LT s44 1) (LT s59 1)) (OR (LT s0 1) (LT s36 1) (LT s44 1) (LT s50 1)) (OR (LT s0 1) (LT s29 1) (LT s44 1) (LT s62 1)) (OR (LT s0 1) (LT s39 1) (LT s44 1) (LT s61 1)) (OR (LT s0 1) (LT s34 1) (LT s44 1) (LT s61 1)) (OR (LT s0 1) (LT s31 1) (LT s44 1) (LT s51 1)) (OR (LT s0 1) (LT s27 1) (LT s44 1) (LT s61 1)) (OR (LT s0 1) (LT s41 1) (LT s44 1) (LT s66 1)) (OR (LT s0 1) (LT s36 1) (LT s44 1) (LT s67 1)) (OR (LT s0 1) (LT s37 1) (LT s44 1) (LT s59 1)) (OR (LT s0 1) (LT s31 1) (LT s44 1) (LT s68 1)) (OR (LT s0 1) (LT s32 1) (LT s44 1) (LT s60 1)) (OR (LT s0 1) (LT s38 1) (LT s44 1) (LT s68 1)) (OR (LT s0 1) (LT s30 1) (LT s44 1) (LT s55 1)) (OR (LT s0 1) (LT s25 1) (LT s44 1) (LT s56 1)) (OR (LT s0 1) (LT s40 1) (LT s44 1) (LT s53 1)) (OR (LT s0 1) (LT s35 1) (LT s44 1) (LT s54 1)) (OR (LT s0 1) (LT s26 1) (LT s44 1) (LT s53 1)) (OR (LT s0 1) (LT s38 1) (LT s44 1) (LT s54 1)) (OR (LT s0 1) (LT s31 1) (LT s44 1) (LT s48 1)) (OR (LT s0 1) (LT s40 1) (LT s44 1) (LT s57 1)) (OR (LT s0 1) (LT s28 1) (LT s44 1) (LT s56 1)) (OR (LT s0 1) (LT s33 1) (LT s44 1) (LT s51 1)) (OR (LT s0 1) (LT s29 1) (LT s44 1) (LT s49 1)) (OR (LT s0 1) (LT s31 1) (LT s44 1) (LT s64 1)) (OR (LT s0 1) (LT s37 1) (LT s44 1) (LT s61 1)) (OR (LT s0 1) (LT s42 1) (LT s44 1) (LT s56 1)) (OR (LT s0 1) (LT s23 1) (LT s44 1) (LT s53 1)) (OR (LT s0 1) (LT s24 1) (LT s44 1) (LT s62 1)) (OR (LT s0 1) (LT s36 1) (LT s44 1) (LT s51 1)) (OR (LT s0 1) (LT s29 1) (LT s44 1) (LT s65 1)) (OR (LT s0 1) (LT s34 1) (LT s44 1) (LT s60 1)) (OR (LT s0 1) (LT s39 1) (LT s44 1) (LT s64 1)) (OR (LT s0 1) (LT s25 1) (LT s44 1) (LT s55 1)) (OR (LT s0 1) (LT s36 1) (LT s44 1) (LT s68 1)) (OR (LT s0 1) (LT s41 1) (LT s44 1) (LT s63 1)) (OR (LT s0 1) (LT s32 1) (LT s44 1) (LT s57 1)) (OR (LT s0 1) (LT s27 1) (LT s44 1) (LT s62 1)) (OR (LT s0 1) (LT s30 1) (LT s44 1) (LT s58 1)) (OR (LT s0 1) (LT s35 1) (LT s44 1) (LT s53 1)) (OR (LT s0 1) (LT s40 1) (LT s44 1) (LT s56 1)) (OR (LT s0 1) (LT s33 1) (LT s44 1) (LT s68 1)) (OR (LT s0 1) (LT s26 1) (LT s44 1) (LT s54 1)) (OR (LT s0 1) (LT s31 1) (LT s44 1) (LT s49 1)) (OR (LT s0 1) (LT s38 1) (LT s44 1) (LT s53 1)) (OR (LT s0 1) (LT s23 1) (LT s44 1) (LT s68 1)) (OR (LT s0 1) (LT s33 1) (LT s44 1) (LT s50 1)) (OR (LT s0 1) (LT s28 1) (LT s44 1) (LT s55 1)) (OR (LT s0 1) (LT s29 1) (LT s44 1) (LT s48 1)) (OR (LT s0 1) (LT s42 1) (LT s44 1) (LT s57 1)) (OR (LT s0 1) (LT s37 1) (LT s44 1) (LT s62 1)) (OR (LT s0 1) (LT s31 1) (LT s44 1) (LT s65 1)) (OR (LT s0 1) (LT s23 1) (LT s44 1) (LT s52 1)) (OR (LT s0 1) (LT s24 1) (LT s44 1) (LT s61 1)) (OR (LT s0 1) (LT s36 1) (LT s44 1) (LT s52 1)) (OR (LT s0 1) (LT s34 1) (LT s44 1) (LT s59 1)) (OR (LT s0 1) (LT s29 1) (LT s44 1) (LT s64 1)) (OR (LT s0 1) (LT s41 1) (LT s44 1) (LT s64 1)) (OR (LT s0 1) (LT s39 1) (LT s44 1) (LT s63 1)) (OR (LT s0 1) (LT s25 1) (LT s44 1) (LT s54 1)) (OR (LT s0 1) (LT s27 1) (LT s44 1) (LT s63 1)) (OR (LT s0 1) (LT s32 1) (LT s44 1) (LT s58 1)) (OR (LT s0 1) (LT s31 1) (LT s44 1) (LT s66 1)) (OR (LT s0 1) (LT s35 1) (LT s44 1) (LT s52 1)) (OR (LT s0 1) (LT s30 1) (LT s44 1) (LT s57 1)) (OR (LT s0 1) (LT s40 1) (LT s44 1) (LT s55 1)) (OR (LT s0 1) (LT s33 1) (LT s44 1) (LT s67 1)) (OR (LT s0 1) (LT s35 1) (LT s44 1) (LT s68 1)) (OR (LT s0 1) (LT s33 1) (LT s44 1) (LT s49 1)) (OR (LT s0 1) (LT s38 1) (LT s44 1) (LT s48 1)) (OR (LT s0 1) (LT s40 1) (LT s44 1) (LT s67 1)) (OR (LT s0 1) (LT s23 1) (LT s44 1) (LT s59 1)) (OR (LT s0 1) (LT s28 1) (LT s44 1) (LT s58 1)) (OR (LT s0 1) (LT s41 1) (LT s44 1) (LT s52 1)) (OR (LT s0 1) (LT s37 1) (LT s44 1) (LT s63 1)) (OR (LT s0 1) (LT s24 1) (LT s44 1) (LT s60 1)) (OR (LT s0 1) (LT s31 1) (LT s44 1) (LT s62 1)) (OR (LT s0 1) (LT s34 1) (LT s44 1) (LT s50 1)) (OR (LT s0 1) (LT s29 1) (LT s44 1) (LT s59 1)) (OR (LT s0 1) (LT s26 1) (LT s44 1) (LT s55 1)) (OR (LT s0 1) (LT s34 1) (LT s44 1) (LT s67 1)) (OR (LT s0 1) (LT s27 1) (LT s44 1) (LT s56 1)) (OR (LT s0 1) (LT s39 1) (LT s44 1) (LT s49 1)) (OR (LT s0 1) (LT s39 1) (LT s44 1) (LT s66 1)) (OR (LT s0 1) (LT s32 1) (LT s44 1) (LT s63 1)) (OR (LT s0 1) (LT s42 1) (LT s44 1) (LT s53 1)) (OR (LT s0 1) (LT s36 1) (LT s44 1) (LT s62 1)) (OR (LT s0 1) (LT s35 1) (LT s44 1) (LT s51 1)) (OR (LT s0 1) (LT s33 1) (LT s44 1) (LT s66 1)) (OR (LT s0 1) (LT s25 1) (LT s44 1) (LT s61 1)) (OR (LT s0 1) (LT s30 1) (LT s44 1) (LT s60 1)) (OR (LT s0 1) (LT s40 1) (LT s44 1) (LT s50 1)) (OR (LT s0 1) (LT s38 1) (LT s44 1) (LT s65 1)) (OR (LT s0 1) (LT s35 1) (LT s44 1) (LT s67 1)) (OR (LT s0 1) (LT s33 1) (LT s44 1) (LT s48 1)) (OR (LT s0 1) (LT s23 1) (LT s44 1) (LT s58 1)) (OR (LT s0 1) (LT s28 1) (LT s44 1) (LT s57 1)) (OR (LT s0 1) (LT s40 1) (LT s44 1) (LT s66 1)) (OR (LT s0 1) (LT s24 1) (LT s44 1) (LT s59 1)) (OR (LT s0 1) (LT s41 1) (LT s44 1) (LT s53 1)) (OR (LT s0 1) (LT s34 1) (LT s44 1) (LT s49 1)) (OR (LT s0 1) (LT s42 1) (LT s44 1) (LT s55 1)) (OR (LT s0 1) (LT s31 1) (LT s44 1) (LT s63 1)) (OR (LT s0 1) (LT s32 1) (LT s44 1) (LT s65 1)) (OR (LT s0 1) (LT s29 1) (LT s44 1) (LT s58 1)) (OR (LT s0 1) (LT s37 1) (LT s44 1) (LT s64 1)) (OR (LT s0 1) (LT s26 1) (LT s44 1) (LT s56 1)) (OR (LT s0 1) (LT s34 1) (LT s44 1) (LT s66 1)) (OR (LT s0 1) (LT s27 1) (LT s44 1) (LT s57 1)) (OR (LT s0 1) (LT s39 1) (LT s44 1) (LT s65 1)) (OR (LT s0 1) (LT s39 1) (LT s44 1) (LT s48 1)) (OR (LT s0 1) (LT s32 1) (LT s44 1) (LT s64 1)) (OR (LT s0 1) (LT s42 1) (LT s44 1) (LT s54 1)) (OR (LT s0 1) (LT s36 1) (LT s44 1) (LT s63 1)) (OR (LT s0 1) (LT s35 1) (LT s44 1) (LT s50 1)) (OR (LT s0 1) (LT s32 1) (LT s44 1) (LT s48 1)) (OR (LT s0 1) (LT s33 1) (LT s44 1) (LT s65 1)) (OR (LT s0 1) (LT s25 1) (LT s44 1) (LT s60 1)) (OR (LT s0 1) (LT s38 1) (LT s44 1) (LT s64 1)) (OR (LT s0 1) (LT s40 1) (LT s44 1) (LT s49 1)) (OR (LT s0 1) (LT s30 1) (LT s44 1) (LT s59 1)) (OR (LT s0 1) (LT s35 1) (LT s44 1) (LT s66 1)) (OR (LT s0 1) (LT s33 1) (LT s44 1) (LT s63 1)) (OR (LT s0 1) (LT s28 1) (LT s44 1) (LT s60 1)) (OR (LT s0 1) (LT s38 1) (LT s44 1) (LT s50 1)) (OR (LT s0 1) (LT s34 1) (LT s44 1) (LT s48 1)) (OR (LT s0 1) (LT s23 1) (LT s44 1) (LT s57 1)) (OR (LT s0 1) (LT s24 1) (LT s44 1) (LT s58 1)) (OR (LT s0 1) (LT s31 1) (LT s44 1) (LT s60 1)) (OR (LT s0 1) (LT s41 1) (LT s44 1) (LT s50 1)) (OR (LT s0 1) (LT s37 1) (LT s44 1) (LT s65 1)) (OR (LT s0 1) (LT s29 1) (LT s44 1) (LT s61 1)) (OR (LT s0 1) (LT s26 1) (LT s44 1) (LT s57 1)) (OR (LT s0 1) (LT s42 1) (LT s44 1) (LT s68 1)) (OR (LT s0 1) (LT s27 1) (LT s44 1) (LT s58 1)) (OR (LT s0 1) (LT s34 1) (LT s44 1) (LT s65 1)) (OR (LT s0 1) (LT s39 1) (LT s44 1) (LT s51 1)) (OR (LT s0 1) (LT s37 1) (LT s44 1) (LT s48 1)) (OR (LT s0 1) (LT s41 1) (LT s44 1) (LT s67 1)) (OR (LT s0 1) (LT s32 1) (LT s44 1) (LT s61 1)) (OR (LT s0 1) (LT s39 1) (LT s44 1) (LT s68 1)) (OR (LT s0 1) (LT s42 1) (LT s44 1) (LT s51 1)) (OR (LT s0 1) (LT s36 1) (LT s44 1) (LT s64 1)) (OR (LT s0 1) (LT s38 1) (LT s44 1) (LT s67 1)) (OR (LT s0 1) (LT s35 1) (LT s44 1) (LT s49 1)) (OR (LT s0 1) (LT s33 1) (LT s44 1) (LT s64 1)) (OR (LT s0 1) (LT s25 1) (LT s44 1) (LT s59 1)) (OR (LT s0 1) (LT s30 1) (LT s44 1) (LT s62 1)) (OR (LT s0 1) (LT s40 1) (LT s44 1) (LT s52 1)) (OR (LT s0 1) (LT s33 1) (LT s44 1) (LT s62 1)) (OR (LT s0 1) (LT s35 1) (LT s44 1) (LT s65 1)) (OR (LT s0 1) (LT s28 1) (LT s44 1) (LT s59 1)) (OR (LT s0 1) (LT s40 1) (LT s44 1) (LT s68 1)) (OR (LT s0 1) (LT s38 1) (LT s44 1) (LT s49 1)) (OR (LT s0 1) (LT s23 1) (LT s44 1) (LT s56 1)) (OR (LT s0 1) (LT s24 1) (LT s44 1) (LT s57 1)) (OR (LT s0 1) (LT s31 1) (LT s44 1) (LT s61 1)) (OR (LT s0 1) (LT s37 1) (LT s44 1) (LT s66 1)) (OR (LT s0 1) (LT s41 1) (LT s44 1) (LT s51 1)) (OR (LT s0 1) (LT s29 1) (LT s44 1) (LT s60 1)) (OR (LT s0 1) (LT s26 1) (LT s44 1) (LT s58 1)) (OR (LT s0 1) (LT s36 1) (LT s44 1) (LT s48 1)) (OR (LT s0 1) (LT s27 1) (LT s44 1) (LT s59 1)) (OR (LT s0 1) (LT s41 1) (LT s44 1) (LT s68 1)) (OR (LT s0 1) (LT s34 1) (LT s44 1) (LT s64 1)) (OR (LT s0 1) (LT s37 1) (LT s44 1) (LT s49 1)) (OR (LT s0 1) (LT s39 1) (LT s44 1) (LT s50 1)) (OR (LT s0 1) (LT s32 1) (LT s44 1) (LT s62 1)) (OR (LT s0 1) (LT s36 1) (LT s44 1) (LT s65 1)) (OR (LT s0 1) (LT s39 1) (LT s44 1) (LT s67 1)) (OR (LT s0 1) (LT s42 1) (LT s44 1) (LT s52 1)) (OR (LT s0 1) (LT s35 1) (LT s44 1) (LT s48 1)) (OR (LT s0 1) (LT s25 1) (LT s44 1) (LT s58 1)) (OR (LT s0 1) (LT s40 1) (LT s44 1) (LT s51 1)) (OR (LT s0 1) (LT s30 1) (LT s44 1) (LT s61 1)) (OR (LT s0 1) (LT s38 1) (LT s44 1) (LT s66 1)) (OR (LT s0 1) (LT s40 1) (LT s44 1) (LT s63 1)) (OR (LT s0 1) (LT s28 1) (LT s44 1) (LT s62 1)) (OR (LT s0 1) (LT s35 1) (LT s44 1) (LT s64 1)) (OR (LT s0 1) (LT s33 1) (LT s44 1) (LT s61 1)) (OR (LT s0 1) (LT s23 1) (LT s44 1) (LT s63 1)) (OR (LT s0 1) (LT s24 1) (LT s44 1) (LT s56 1)) (OR (LT s0 1) (LT s36 1) (LT s44 1) (LT s57 1)) (OR (LT s0 1) (LT s29 1) (LT s44 1) (LT s55 1)) (OR (LT s0 1) (LT s37 1) (LT s44 1) (LT s67 1)) (OR (LT s0 1) (LT s31 1) (LT s44 1) (LT s58 1)) (OR (LT s0 1) (LT s34 1) (LT s44 1) (LT s54 1)) (OR (LT s0 1) (LT s32 1) (LT s44 1) (LT s68 1)) (OR (LT s0 1) (LT s42 1) (LT s44 1) (LT s66 1)) (OR (LT s0 1) (LT s26 1) (LT s44 1) (LT s59 1)) (OR (LT s0 1) (LT s25 1) (LT s44 1) (LT s49 1)) (OR (LT s0 1) (LT s39 1) (LT s44 1) (LT s53 1)) (OR (LT s0 1) (LT s37 1) (LT s44 1) (LT s50 1)) (OR (LT s0 1) (LT s32 1) (LT s44 1) (LT s51 1)) (OR (LT s0 1) (LT s42 1) (LT s44 1) (LT s49 1)) (OR (LT s0 1) (LT s30 1) (LT s44 1) (LT s48 1)) (OR (LT s0 1) (LT s27 1) (LT s44 1) (LT s68 1)) (OR (LT s0 1) (LT s41 1) (LT s44 1) (LT s57 1)) (OR (LT s0 1) (LT s25 1) (LT s44 1) (LT s65 1)) (OR (LT s0 1) (LT s30 1) (LT s44 1) (LT s64 1)) (OR (LT s0 1) (LT s27 1) (LT s44 1) (LT s52 1)) (OR (LT s0 1) (LT s38 1) (LT s44 1) (LT s61 1)) (OR (LT s0 1) (LT s28 1) (LT s44 1) (LT s61 1)) (OR (LT s0 1) (LT s40 1) (LT s44 1) (LT s62 1)) (OR (LT s0 1) (LT s33 1) (LT s44 1) (LT s60 1)) (OR (LT s0 1) (LT s35 1) (LT s44 1) (LT s63 1)) (OR (LT s0 1) (LT s23 1) (LT s44 1) (LT s62 1)) (OR (LT s0 1) (LT s24 1) (LT s44 1) (LT s55 1)) (OR (LT s0 1) (LT s36 1) (LT s44 1) (LT s58 1)) (OR (LT s0 1) (LT s29 1) (LT s44 1) (LT s54 1)) (OR (LT s0 1) (LT s34 1) (LT s44 1) (LT s53 1)) (OR (LT s0 1) (LT s31 1) (LT s44 1) (LT s59 1)) (OR (LT s0 1) (LT s26 1) (LT s44 1) (LT s60 1)) (OR (LT s0 1) (LT s37 1) (LT s44 1) (LT s68 1)) (OR (LT s0 1) (LT s42 1) (LT s44 1) (LT s67 1)) (OR (LT s0 1) (LT s25 1) (LT s44 1) (LT s48 1)) (OR (LT s0 1) (LT s37 1) (LT s44 1) (LT s51 1)) (OR (LT s0 1) (LT s39 1) (LT s44 1) (LT s52 1)) (OR (LT s0 1) (LT s32 1) (LT s44 1) (LT s52 1)) (OR (LT s0 1) (LT s42 1) (LT s44 1) (LT s50 1)) (OR (LT s0 1) (LT s41 1) (LT s44 1) (LT s58 1)) (OR (LT s0 1) (LT s25 1) (LT s44 1) (LT s64 1)) (OR (LT s0 1) (LT s38 1) (LT s44 1) (LT s60 1)) (OR (LT s0 1) (LT s27 1) (LT s44 1) (LT s53 1)) (OR (LT s0 1) (LT s30 1) (LT s44 1) (LT s63 1)) (OR (LT s0 1) (LT s28 1) (LT s44 1) (LT s64 1)) (OR (LT s0 1) (LT s33 1) (LT s44 1) (LT s59 1)) (OR (LT s0 1) (LT s35 1) (LT s44 1) (LT s62 1)) (OR (LT s0 1) (LT s30 1) (LT s44 1) (LT s67 1)) (OR (LT s0 1) (LT s40 1) (LT s44 1) (LT s65 1)) (OR (LT s0 1) (LT s24 1) (LT s44 1) (LT s54 1)) (OR (LT s0 1) (LT s23 1) (LT s44 1) (LT s61 1)) (OR (LT s0 1) (LT s28 1) (LT s44 1) (LT s48 1)) (OR (LT s0 1) (LT s41 1) (LT s44 1) (LT s54 1)) (OR (LT s0 1) (LT s36 1) (LT s44 1) (LT s59 1)) (OR (LT s0 1) (LT s29 1) (LT s44 1) (LT s57 1)) (OR (LT s0 1) (LT s34 1) (LT s44 1) (LT s52 1)) (OR (LT s0 1) (LT s32 1) (LT s44 1) (LT s66 1)) (OR (LT s0 1) (LT s31 1) (LT s44 1) (LT s56 1)) (OR (LT s0 1) (LT s26 1) (LT s44 1) (LT s61 1)) (OR (LT s0 1) (LT s42 1) (LT s44 1) (LT s64 1)) (OR (LT s0 1) (LT s39 1) (LT s44 1) (LT s55 1)) (OR (LT s0 1) (LT s32 1) (LT s44 1) (LT s49 1)) (OR (LT s0 1) (LT s27 1) (LT s44 1) (LT s54 1)) (OR (LT s0 1) (LT s30 1) (LT s44 1) (LT s50 1)) (OR (LT s0 1) (LT s37 1) (LT s44 1) (LT s52 1)) (OR (LT s0 1) (LT s40 1) (LT s44 1) (LT s48 1)) (OR (LT s0 1) (LT s35 1) (LT s44 1) (LT s61 1)) (OR (LT s0 1) (LT s30 1) (LT s44 1) (LT s66 1)) (OR (LT s0 1) (LT s38 1) (LT s44 1) (LT s63 1)) (OR (LT s0 1) (LT s25 1) (LT s44 1) (LT s63 1)) (OR (LT s0 1) (LT s33 1) (LT s44 1) (LT s58 1)) (OR (LT s0 1) (LT s28 1) (LT s44 1) (LT s63 1)) (OR (LT s0 1) (LT s40 1) (LT s44 1) (LT s64 1)) (OR (LT s0 1) (LT s24 1) (LT s44 1) (LT s53 1)) (OR (LT s0 1) (LT s23 1) (LT s44 1) (LT s60 1)) (OR (LT s0 1) (LT s36 1) (LT s44 1) (LT s60 1)) (OR (LT s0 1) (LT s41 1) (LT s44 1) (LT s55 1)) (OR (LT s0 1) (LT s34 1) (LT s44 1) (LT s51 1)) (OR (LT s0 1) (LT s29 1) (LT s44 1) (LT s56 1)) (OR (LT s0 1) (LT s32 1) (LT s44 1) (LT s67 1)) (OR (LT s0 1) (LT s26 1) (LT s44 1) (LT s62 1)) (OR (LT s0 1) (LT s42 1) (LT s44 1) (LT s65 1)) (OR (LT s0 1) (LT s31 1) (LT s44 1) (LT s57 1)) (OR (LT s0 1) (LT s39 1) (LT s44 1) (LT s54 1)) (OR (LT s0 1) (LT s27 1) (LT s44 1) (LT s55 1)) (OR (LT s0 1) (LT s32 1) (LT s44 1) (LT s50 1)) (OR (LT s0 1) (LT s34 1) (LT s44 1) (LT s68 1)) (OR (LT s0 1) (LT s30 1) (LT s44 1) (LT s49 1)) (OR (LT s0 1) (LT s37 1) (LT s44 1) (LT s53 1)) (OR (LT s0 1) (LT s42 1) (LT s44 1) (LT s48 1)) (OR (LT s0 1) (LT s41 1) (LT s44 1) (LT s56 1)) (OR (LT s0 1) (LT s36 1) (LT s44 1) (LT s61 1)) (OR (LT s0 1) (LT s35 1) (LT s44 1) (LT s60 1)) (OR (LT s0 1) (LT s30 1) (LT s44 1) (LT s65 1)) (OR (LT s0 1) (LT s38 1) (LT s44 1) (LT s62 1)) (OR (LT s0 1) (LT s25 1) (LT s44 1) (LT s62 1)) (OR (LT s0 1) (LT s33 1) (LT s44 1) (LT s57 1)) (OR (LT s0 1) (LT s38 1) (LT s44 1) (LT s56 1)) (OR (LT s0 1) (LT s40 1) (LT s44 1) (LT s59 1)) (OR (LT s0 1) (LT s23 1) (LT s44 1) (LT s67 1)) (OR (LT s0 1) (LT s28 1) (LT s44 1) (LT s66 1)) (OR (LT s0 1) (LT s24 1) (LT s44 1) (LT s52 1)) (OR (LT s0 1) (LT s29 1) (LT s44 1) (LT s51 1)) (OR (LT s0 1) (LT s23 1) (LT s44 1) (LT s51 1)) (OR (LT s0 1) (LT s36 1) (LT s44 1) (LT s53 1)) (OR (LT s0 1) (LT s42 1) (LT s44 1) (LT s62 1)) (OR (LT s0 1) (LT s28 1) (LT s44 1) (LT s50 1)) (OR (LT s0 1) (LT s26 1) (LT s44 1) (LT s63 1)) (OR (LT s0 1) (LT s24 1) (LT s44 1) (LT s68 1)) (OR (LT s0 1) (LT s31 1) (LT s44 1) (LT s54 1)) (OR (LT s0 1) (LT s34 1) (LT s44 1) (LT s58 1)) (OR (LT s0 1) (LT s29 1) (LT s44 1) (LT s67 1)) (OR (LT s0 1) (LT s39 1) (LT s44 1) (LT s58 1)) (OR (LT s0 1) (LT s39 1) (LT s44 1) (LT s57 1)) (OR (LT s0 1) (LT s29 1) (LT s44 1) (LT s68 1)) (OR (LT s0 1) (LT s32 1) (LT s44 1) (LT s55 1)) (OR (LT s0 1) (LT s25 1) (LT s44 1) (LT s53 1)) (OR (LT s0 1) (LT s37 1) (LT s44 1) (LT s54 1)) (OR (LT s0 1) (LT s41 1) (LT s44 1) (LT s61 1)) (OR (LT s0 1) (LT s27 1) (LT s44 1) (LT s64 1)) (OR (LT s0 1) (LT s30 1) (LT s44 1) (LT s52 1)) (OR (LT s0 1) (LT s35 1) (LT s44 1) (LT s59 1)) (OR (LT s0 1) (LT s27 1) (LT s44 1) (LT s48 1)) (OR (LT s0 1) (LT s33 1) (LT s44 1) (LT s56 1)) (OR (LT s0 1) (LT s23 1) (LT s44 1) (LT s66 1)) (OR (LT s0 1) (LT s38 1) (LT s44 1) (LT s55 1)) (OR (LT s0 1) (LT s26 1) (LT s44 1) (LT s48 1)) (OR (LT s0 1) (LT s40 1) (LT s44 1) (LT s58 1)) (OR (LT s0 1) (LT s28 1) (LT s44 1) (LT s65 1)) (OR (LT s0 1) (LT s24 1) (LT s44 1) (LT s51 1)) (OR (LT s0 1) (LT s30 1) (LT s44 1) (LT s68 1)) (OR (LT s0 1) (LT s29 1) (LT s44 1) (LT s50 1)) (OR (LT s0 1) (LT s23 1) (LT s44 1) (LT s50 1)) (OR (LT s0 1) (LT s36 1) (LT s44 1) (LT s54 1)) (OR (LT s0 1) (LT s26 1) (LT s44 1) (LT s64 1)) (OR (LT s0 1) (LT s28 1) (LT s44 1) (LT s49 1)) (OR (LT s0 1) (LT s24 1) (LT s44 1) (LT s67 1)) (OR (LT s0 1) (LT s34 1) (LT s44 1) (LT s57 1)) (OR (LT s0 1) (LT s42 1) (LT s44 1) (LT s63 1)) (OR (LT s0 1) (LT s31 1) (LT s44 1) (LT s55 1)) (OR (LT s0 1) (LT s29 1) (LT s44 1) (LT s66 1)) (OR (LT s0 1) (LT s39 1) (LT s44 1) (LT s56 1)) (OR (LT s0 1) (LT s32 1) (LT s44 1) (LT s56 1)) (OR (LT s0 1) (LT s25 1) (LT s44 1) (LT s52 1)) (OR (LT s0 1) (LT s37 1) (LT s44 1) (LT s55 1)) (OR (LT s0 1) (LT s41 1) (LT s44 1) (LT s62 1)) (OR (LT s0 1) (LT s27 1) (LT s44 1) (LT s65 1)) (OR (LT s0 1) (LT s25 1) (LT s44 1) (LT s68 1)) (OR (LT s0 1) (LT s30 1) (LT s44 1) (LT s51 1)) (OR (LT s0 1) (LT s35 1) (LT s44 1) (LT s58 1)) (OR (LT s0 1) (LT s27 1) (LT s44 1) (LT s49 1)) (OR (LT s0 1) (LT s40 1) (LT s44 1) (LT s61 1)) (OR (LT s0 1) (LT s26 1) (LT s44 1) (LT s49 1)) (OR (LT s0 1) (LT s28 1) (LT s44 1) (LT s68 1)) (OR (LT s0 1) (LT s38 1) (LT s44 1) (LT s58 1)) (OR (LT s0 1) (LT s24 1) (LT s44 1) (LT s50 1)) (OR (LT s0 1) (LT s23 1) (LT s44 1) (LT s65 1)) (OR (LT s0 1) (LT s33 1) (LT s44 1) (LT s55 1)) (OR (LT s0 1) (LT s29 1) (LT s44 1) (LT s53 1)) (OR (LT s0 1) (LT s28 1) (LT s44 1) (LT s52 1)) (OR (LT s0 1) (LT s26 1) (LT s44 1) (LT s65 1)) (OR (LT s0 1) (LT s36 1) (LT s44 1) (LT s55 1)) (OR (LT s0 1) (LT s42 1) (LT s44 1) (LT s60 1)) (OR (LT s0 1) (LT s34 1) (LT s44 1) (LT s56 1)) (OR (LT s0 1) (LT s23 1) (LT s44 1) (LT s49 1)) (OR (LT s0 1) (LT s24 1) (LT s44 1) (LT s66 1)) (OR (LT s0 1) (LT s31 1) (LT s44 1) (LT s52 1)) (OR (LT s0 1) (LT s39 1) (LT s44 1) (LT s60 1)) (OR (LT s0 1) (LT s32 1) (LT s44 1) (LT s53 1)) (OR (LT s0 1) (LT s25 1) (LT s44 1) (LT s51 1)) (OR (LT s0 1) (LT s27 1) (LT s44 1) (LT s66 1)) (OR (LT s0 1) (LT s37 1) (LT s44 1) (LT s56 1)) (OR (LT s0 1) (LT s41 1) (LT s44 1) (LT s59 1)) (OR (LT s0 1) (LT s25 1) (LT s44 1) (LT s67 1)) (OR (LT s0 1) (LT s30 1) (LT s44 1) (LT s54 1)) (OR (LT s0 1) (LT s27 1) (LT s44 1) (LT s50 1)) (OR (LT s0 1) (LT s38 1) (LT s44 1) (LT s59 1)) (OR (LT s0 1) (LT s35 1) (LT s44 1) (LT s57 1)) (OR (LT s0 1) (LT s26 1) (LT s44 1) (LT s50 1)) (OR (LT s0 1) (LT s40 1) (LT s44 1) (LT s60 1)) (OR (LT s0 1) (LT s28 1) (LT s44 1) (LT s67 1)) (OR (LT s0 1) (LT s38 1) (LT s44 1) (LT s57 1)) (OR (LT s0 1) (LT s24 1) (LT s44 1) (LT s49 1)) (OR (LT s0 1) (LT s23 1) (LT s44 1) (LT s64 1)) (OR (LT s0 1) (LT s33 1) (LT s44 1) (LT s54 1)) (OR (LT s0 1) (LT s29 1) (LT s44 1) (LT s52 1)) (OR (LT s0 1) (LT s42 1) (LT s44 1) (LT s61 1)) (OR (LT s0 1) (LT s26 1) (LT s44 1) (LT s66 1)) (OR (LT s0 1) (LT s28 1) (LT s44 1) (LT s51 1)) (OR (LT s0 1) (LT s36 1) (LT s44 1) (LT s56 1)) (OR (LT s0 1) (LT s34 1) (LT s44 1) (LT s55 1)) (OR (LT s0 1) (LT s23 1) (LT s44 1) (LT s48 1)) (OR (LT s0 1) (LT s24 1) (LT s44 1) (LT s65 1)) (OR (LT s0 1) (LT s31 1) (LT s44 1) (LT s53 1)) (OR (LT s0 1) (LT s39 1) (LT s44 1) (LT s59 1)) (OR (LT s0 1) (LT s32 1) (LT s44 1) (LT s54 1)) (OR (LT s0 1) (LT s25 1) (LT s44 1) (LT s50 1)) (OR (LT s0 1) (LT s41 1) (LT s44 1) (LT s60 1)) (OR (LT s0 1) (LT s27 1) (LT s44 1) (LT s67 1)) (OR (LT s0 1) (LT s37 1) (LT s44 1) (LT s57 1)) (OR (LT s0 1) (LT s25 1) (LT s44 1) (LT s66 1)) (OR (LT s0 1) (LT s30 1) (LT s44 1) (LT s53 1)) (OR (LT s0 1) (LT s27 1) (LT s44 1) (LT s51 1)) (OR (LT s0 1) (LT s35 1) (LT s44 1) (LT s56 1))), p2:(OR (AND (GEQ s0 1) (GEQ s38 1) (GEQ s44 1) (GEQ s52 1)) (AND (GEQ s0 1) (GEQ s26 1) (GEQ s44 1) (GEQ s51 1)) (AND (GEQ s0 1) (GEQ s24 1) (GEQ s44 1) (GEQ s48 1)) (AND (GEQ s0 1) (GEQ s28 1) (GEQ s44 1) (GEQ s54 1)) (AND (GEQ s0 1) (GEQ s33 1) (GEQ s44 1) (GEQ s53 1)) (AND (GEQ s0 1) (GEQ s23 1) (GEQ s44 1) (GEQ s55 1)) (AND (GEQ s0 1) (GEQ s42 1) (GEQ s44 1) (GEQ s58 1)) (AND (GEQ s0 1) (GEQ s26 1) (GEQ s44 1) (GEQ s67 1)) (AND (GEQ s0 1) (GEQ s41 1) (GEQ s44 1) (GEQ s48 1)) (AND (GEQ s0 1) (GEQ s24 1) (GEQ s44 1) (GEQ s64 1)) (AND (GEQ s0 1) (GEQ s36 1) (GEQ s44 1) (GEQ s49 1)) (AND (GEQ s0 1) (GEQ s29 1) (GEQ s44 1) (GEQ s63 1)) (AND (GEQ s0 1) (GEQ s31 1) (GEQ s44 1) (GEQ s50 1)) (AND (GEQ s0 1) (GEQ s34 1) (GEQ s44 1) (GEQ s62 1)) (AND (GEQ s0 1) (GEQ s34 1) (GEQ s44 1) (GEQ s63 1)) (AND (GEQ s0 1) (GEQ s27 1) (GEQ s44 1) (GEQ s60 1)) (AND (GEQ s0 1) (GEQ s39 1) (GEQ s44 1) (GEQ s62 1)) (AND (GEQ s0 1) (GEQ s41 1) (GEQ s44 1) (GEQ s65 1)) (AND (GEQ s0 1) (GEQ s36 1) (GEQ s44 1) (GEQ s66 1)) (AND (GEQ s0 1) (GEQ s37 1) (GEQ s44 1) (GEQ s58 1)) (AND (GEQ s0 1) (GEQ s32 1) (GEQ s44 1) (GEQ s59 1)) (AND (GEQ s0 1) (GEQ s31 1) (GEQ s44 1) (GEQ s67 1)) (AND (GEQ s0 1) (GEQ s30 1) (GEQ s44 1) (GEQ s56 1)) (AND (GEQ s0 1) (GEQ s25 1) (GEQ s44 1) (GEQ s57 1)) (AND (GEQ s0 1) (GEQ s40 1) (GEQ s44 1) (GEQ s54 1)) (AND (GEQ s0 1) (GEQ s35 1) (GEQ s44 1) (GEQ s55 1)) (AND (GEQ s0 1) (GEQ s38 1) (GEQ s44 1) (GEQ s51 1)) (AND (GEQ s0 1) (GEQ s26 1) (GEQ s44 1) (GEQ s52 1)) (AND (GEQ s0 1) (GEQ s28 1) (GEQ s44 1) (GEQ s53 1)) (AND (GEQ s0 1) (GEQ s33 1) (GEQ s44 1) (GEQ s52 1)) (AND (GEQ s0 1) (GEQ s23 1) (GEQ s44 1) (GEQ s54 1)) (AND (GEQ s0 1) (GEQ s26 1) (GEQ s44 1) (GEQ s68 1)) (AND (GEQ s0 1) (GEQ s37 1) (GEQ s44 1) (GEQ s60 1)) (AND (GEQ s0 1) (GEQ s24 1) (GEQ s44 1) (GEQ s63 1)) (AND (GEQ s0 1) (GEQ s41 1) (GEQ s44 1) (GEQ s49 1)) (AND (GEQ s0 1) (GEQ s42 1) (GEQ s44 1) (GEQ s59 1)) (AND (GEQ s0 1) (GEQ s36 1) (GEQ s44 1) (GEQ s50 1)) (AND (GEQ s0 1) (GEQ s29 1) (GEQ s44 1) (GEQ s62 1)) (AND (GEQ s0 1) (GEQ s39 1) (GEQ s44 1) (GEQ s61 1)) (AND (GEQ s0 1) (GEQ s34 1) (GEQ s44 1) (GEQ s61 1)) (AND (GEQ s0 1) (GEQ s31 1) (GEQ s44 1) (GEQ s51 1)) (AND (GEQ s0 1) (GEQ s27 1) (GEQ s44 1) (GEQ s61 1)) (AND (GEQ s0 1) (GEQ s41 1) (GEQ s44 1) (GEQ s66 1)) (AND (GEQ s0 1) (GEQ s36 1) (GEQ s44 1) (GEQ s67 1)) (AND (GEQ s0 1) (GEQ s37 1) (GEQ s44 1) (GEQ s59 1)) (AND (GEQ s0 1) (GEQ s31 1) (GEQ s44 1) (GEQ s68 1)) (AND (GEQ s0 1) (GEQ s32 1) (GEQ s44 1) (GEQ s60 1)) (AND (GEQ s0 1) (GEQ s38 1) (GEQ s44 1) (GEQ s68 1)) (AND (GEQ s0 1) (GEQ s30 1) (GEQ s44 1) (GEQ s55 1)) (AND (GEQ s0 1) (GEQ s25 1) (GEQ s44 1) (GEQ s56 1)) (AND (GEQ s0 1) (GEQ s40 1) (GEQ s44 1) (GEQ s53 1)) (AND (GEQ s0 1) (GEQ s35 1) (GEQ s44 1) (GEQ s54 1)) (AND (GEQ s0 1) (GEQ s26 1) (GEQ s44 1) (GEQ s53 1)) (AND (GEQ s0 1) (GEQ s38 1) (GEQ s44 1) (GEQ s54 1)) (AND (GEQ s0 1) (GEQ s31 1) (GEQ s44 1) (GEQ s48 1)) (AND (GEQ s0 1) (GEQ s40 1) (GEQ s44 1) (GEQ s57 1)) (AND (GEQ s0 1) (GEQ s28 1) (GEQ s44 1) (GEQ s56 1)) (AND (GEQ s0 1) (GEQ s33 1) (GEQ s44 1) (GEQ s51 1)) (AND (GEQ s0 1) (GEQ s29 1) (GEQ s44 1) (GEQ s49 1)) (AND (GEQ s0 1) (GEQ s31 1) (GEQ s44 1) (GEQ s64 1)) (AND (GEQ s0 1) (GEQ s37 1) (GEQ s44 1) (GEQ s61 1)) (AND (GEQ s0 1) (GEQ s42 1) (GEQ s44 1) (GEQ s56 1)) (AND (GEQ s0 1) (GEQ s23 1) (GEQ s44 1) (GEQ s53 1)) (AND (GEQ s0 1) (GEQ s24 1) (GEQ s44 1) (GEQ s62 1)) (AND (GEQ s0 1) (GEQ s36 1) (GEQ s44 1) (GEQ s51 1)) (AND (GEQ s0 1) (GEQ s29 1) (GEQ s44 1) (GEQ s65 1)) (AND (GEQ s0 1) (GEQ s34 1) (GEQ s44 1) (GEQ s60 1)) (AND (GEQ s0 1) (GEQ s39 1) (GEQ s44 1) (GEQ s64 1)) (AND (GEQ s0 1) (GEQ s25 1) (GEQ s44 1) (GEQ s55 1)) (AND (GEQ s0 1) (GEQ s36 1) (GEQ s44 1) (GEQ s68 1)) (AND (GEQ s0 1) (GEQ s41 1) (GEQ s44 1) (GEQ s63 1)) (AND (GEQ s0 1) (GEQ s32 1) (GEQ s44 1) (GEQ s57 1)) (AND (GEQ s0 1) (GEQ s27 1) (GEQ s44 1) (GEQ s62 1)) (AND (GEQ s0 1) (GEQ s30 1) (GEQ s44 1) (GEQ s58 1)) (AND (GEQ s0 1) (GEQ s35 1) (GEQ s44 1) (GEQ s53 1)) (AND (GEQ s0 1) (GEQ s40 1) (GEQ s44 1) (GEQ s56 1)) (AND (GEQ s0 1) (GEQ s33 1) (GEQ s44 1) (GEQ s68 1)) (AND (GEQ s0 1) (GEQ s26 1) (GEQ s44 1) (GEQ s54 1)) (AND (GEQ s0 1) (GEQ s31 1) (GEQ s44 1) (GEQ s49 1)) (AND (GEQ s0 1) (GEQ s38 1) (GEQ s44 1) (GEQ s53 1)) (AND (GEQ s0 1) (GEQ s23 1) (GEQ s44 1) (GEQ s68 1)) (AND (GEQ s0 1) (GEQ s33 1) (GEQ s44 1) (GEQ s50 1)) (AND (GEQ s0 1) (GEQ s28 1) (GEQ s44 1) (GEQ s55 1)) (AND (GEQ s0 1) (GEQ s29 1) (GEQ s44 1) (GEQ s48 1)) (AND (GEQ s0 1) (GEQ s42 1) (GEQ s44 1) (GEQ s57 1)) (AND (GEQ s0 1) (GEQ s37 1) (GEQ s44 1) (GEQ s62 1)) (AND (GEQ s0 1) (GEQ s31 1) (GEQ s44 1) (GEQ s65 1)) (AND (GEQ s0 1) (GEQ s23 1) (GEQ s44 1) (GEQ s52 1)) (AND (GEQ s0 1) (GEQ s24 1) (GEQ s44 1) (GEQ s61 1)) (AND (GEQ s0 1) (GEQ s36 1) (GEQ s44 1) (GEQ s52 1)) (AND (GEQ s0 1) (GEQ s34 1) (GEQ s44 1) (GEQ s59 1)) (AND (GEQ s0 1) (GEQ s29 1) (GEQ s44 1) (GEQ s64 1)) (AND (GEQ s0 1) (GEQ s41 1) (GEQ s44 1) (GEQ s64 1)) (AND (GEQ s0 1) (GEQ s39 1) (GEQ s44 1) (GEQ s63 1)) (AND (GEQ s0 1) (GEQ s25 1) (GEQ s44 1) (GEQ s54 1)) (AND (GEQ s0 1) (GEQ s27 1) (GEQ s44 1) (GEQ s63 1)) (AND (GEQ s0 1) (GEQ s32 1) (GEQ s44 1) (GEQ s58 1)) (AND (GEQ s0 1) (GEQ s31 1) (GEQ s44 1) (GEQ s66 1)) (AND (GEQ s0 1) (GEQ s35 1) (GEQ s44 1) (GEQ s52 1)) (AND (GEQ s0 1) (GEQ s30 1) (GEQ s44 1) (GEQ s57 1)) (AND (GEQ s0 1) (GEQ s40 1) (GEQ s44 1) (GEQ s55 1)) (AND (GEQ s0 1) (GEQ s33 1) (GEQ s44 1) (GEQ s67 1)) (AND (GEQ s0 1) (GEQ s35 1) (GEQ s44 1) (GEQ s68 1)) (AND (GEQ s0 1) (GEQ s33 1) (GEQ s44 1) (GEQ s49 1)) (AND (GEQ s0 1) (GEQ s38 1) (GEQ s44 1) (GEQ s48 1)) (AND (GEQ s0 1) (GEQ s40 1) (GEQ s44 1) (GEQ s67 1)) (AND (GEQ s0 1) (GEQ s23 1) (GEQ s44 1) (GEQ s59 1)) (AND (GEQ s0 1) (GEQ s28 1) (GEQ s44 1) (GEQ s58 1)) (AND (GEQ s0 1) (GEQ s41 1) (GEQ s44 1) (GEQ s52 1)) (AND (GEQ s0 1) (GEQ s37 1) (GEQ s44 1) (GEQ s63 1)) (AND (GEQ s0 1) (GEQ s24 1) (GEQ s44 1) (GEQ s60 1)) (AND (GEQ s0 1) (GEQ s31 1) (GEQ s44 1) (GEQ s62 1)) (AND (GEQ s0 1) (GEQ s34 1) (GEQ s44 1) (GEQ s50 1)) (AND (GEQ s0 1) (GEQ s29 1) (GEQ s44 1) (GEQ s59 1)) (AND (GEQ s0 1) (GEQ s26 1) (GEQ s44 1) (GEQ s55 1)) (AND (GEQ s0 1) (GEQ s34 1) (GEQ s44 1) (GEQ s67 1)) (AND (GEQ s0 1) (GEQ s27 1) (GEQ s44 1) (GEQ s56 1)) (AND (GEQ s0 1) (GEQ s39 1) (GEQ s44 1) (GEQ s49 1)) (AND (GEQ s0 1) (GEQ s39 1) (GEQ s44 1) (GEQ s66 1)) (AND (GEQ s0 1) (GEQ s32 1) (GEQ s44 1) (GEQ s63 1)) (AND (GEQ s0 1) (GEQ s42 1) (GEQ s44 1) (GEQ s53 1)) (AND (GEQ s0 1) (GEQ s36 1) (GEQ s44 1) (GEQ s62 1)) (AND (GEQ s0 1) (GEQ s35 1) (GEQ s44 1) (GEQ s51 1)) (AND (GEQ s0 1) (GEQ s33 1) (GEQ s44 1) (GEQ s66 1)) (AND (GEQ s0 1) (GEQ s25 1) (GEQ s44 1) (GEQ s61 1)) (AND (GEQ s0 1) (GEQ s30 1) (GEQ s44 1) (GEQ s60 1)) (AND (GEQ s0 1) (GEQ s40 1) (GEQ s44 1) (GEQ s50 1)) (AND (GEQ s0 1) (GEQ s38 1) (GEQ s44 1) (GEQ s65 1)) (AND (GEQ s0 1) (GEQ s35 1) (GEQ s44 1) (GEQ s67 1)) (AND (GEQ s0 1) (GEQ s33 1) (GEQ s44 1) (GEQ s48 1)) (AND (GEQ s0 1) (GEQ s23 1) (GEQ s44 1) (GEQ s58 1)) (AND (GEQ s0 1) (GEQ s28 1) (GEQ s44 1) (GEQ s57 1)) (AND (GEQ s0 1) (GEQ s40 1) (GEQ s44 1) (GEQ s66 1)) (AND (GEQ s0 1) (GEQ s24 1) (GEQ s44 1) (GEQ s59 1)) (AND (GEQ s0 1) (GEQ s41 1) (GEQ s44 1) (GEQ s53 1)) (AND (GEQ s0 1) (GEQ s34 1) (GEQ s44 1) (GEQ s49 1)) (AND (GEQ s0 1) (GEQ s42 1) (GEQ s44 1) (GEQ s55 1)) (AND (GEQ s0 1) (GEQ s31 1) (GEQ s44 1) (GEQ s63 1)) (AND (GEQ s0 1) (GEQ s32 1) (GEQ s44 1) (GEQ s65 1)) (AND (GEQ s0 1) (GEQ s29 1) (GEQ s44 1) (GEQ s58 1)) (AND (GEQ s0 1) (GEQ s37 1) (GEQ s44 1) (GEQ s64 1)) (AND (GEQ s0 1) (GEQ s26 1) (GEQ s44 1) (GEQ s56 1)) (AND (GEQ s0 1) (GEQ s34 1) (GEQ s44 1) (GEQ s66 1)) (AND (GEQ s0 1) (GEQ s27 1) (GEQ s44 1) (GEQ s57 1)) (AND (GEQ s0 1) (GEQ s39 1) (GEQ s44 1) (GEQ s65 1)) (AND (GEQ s0 1) (GEQ s39 1) (GEQ s44 1) (GEQ s48 1)) (AND (GEQ s0 1) (GEQ s32 1) (GEQ s44 1) (GEQ s64 1)) (AND (GEQ s0 1) (GEQ s42 1) (GEQ s44 1) (GEQ s54 1)) (AND (GEQ s0 1) (GEQ s36 1) (GEQ s44 1) (GEQ s63 1)) (AND (GEQ s0 1) (GEQ s35 1) (GEQ s44 1) (GEQ s50 1)) (AND (GEQ s0 1) (GEQ s32 1) (GEQ s44 1) (GEQ s48 1)) (AND (GEQ s0 1) (GEQ s33 1) (GEQ s44 1) (GEQ s65 1)) (AND (GEQ s0 1) (GEQ s25 1) (GEQ s44 1) (GEQ s60 1)) (AND (GEQ s0 1) (GEQ s38 1) (GEQ s44 1) (GEQ s64 1)) (AND (GEQ s0 1) (GEQ s40 1) (GEQ s44 1) (GEQ s49 1)) (AND (GEQ s0 1) (GEQ s30 1) (GEQ s44 1) (GEQ s59 1)) (AND (GEQ s0 1) (GEQ s35 1) (GEQ s44 1) (GEQ s66 1)) (AND (GEQ s0 1) (GEQ s33 1) (GEQ s44 1) (GEQ s63 1)) (AND (GEQ s0 1) (GEQ s28 1) (GEQ s44 1) (GEQ s60 1)) (AND (GEQ s0 1) (GEQ s38 1) (GEQ s44 1) (GEQ s50 1)) (AND (GEQ s0 1) (GEQ s34 1) (GEQ s44 1) (GEQ s48 1)) (AND (GEQ s0 1) (GEQ s23 1) (GEQ s44 1) (GEQ s57 1)) (AND (GEQ s0 1) (GEQ s24 1) (GEQ s44 1) (GEQ s58 1)) (AND (GEQ s0 1) (GEQ s31 1) (GEQ s44 1) (GEQ s60 1)) (AND (GEQ s0 1) (GEQ s41 1) (GEQ s44 1) (GEQ s50 1)) (AND (GEQ s0 1) (GEQ s37 1) (GEQ s44 1) (GEQ s65 1)) (AND (GEQ s0 1) (GEQ s29 1) (GEQ s44 1) (GEQ s61 1)) (AND (GEQ s0 1) (GEQ s26 1) (GEQ s44 1) (GEQ s57 1)) (AND (GEQ s0 1) (GEQ s42 1) (GEQ s44 1) (GEQ s68 1)) (AND (GEQ s0 1) (GEQ s27 1) (GEQ s44 1) (GEQ s58 1)) (AND (GEQ s0 1) (GEQ s34 1) (GEQ s44 1) (GEQ s65 1)) (AND (GEQ s0 1) (GEQ s39 1) (GEQ s44 1) (GEQ s51 1)) (AND (GEQ s0 1) (GEQ s37 1) (GEQ s44 1) (GEQ s48 1)) (AND (GEQ s0 1) (GEQ s41 1) (GEQ s44 1) (GEQ s67 1)) (AND (GEQ s0 1) (GEQ s32 1) (GEQ s44 1) (GEQ s61 1)) (AND (GEQ s0 1) (GEQ s39 1) (GEQ s44 1) (GEQ s68 1)) (AND (GEQ s0 1) (GEQ s42 1) (GEQ s44 1) (GEQ s51 1)) (AND (GEQ s0 1) (GEQ s36 1) (GEQ s44 1) (GEQ s64 1)) (AND (GEQ s0 1) (GEQ s38 1) (GEQ s44 1) (GEQ s67 1)) (AND (GEQ s0 1) (GEQ s35 1) (GEQ s44 1) (GEQ s49 1)) (AND (GEQ s0 1) (GEQ s33 1) (GEQ s44 1) (GEQ s64 1)) (AND (GEQ s0 1) (GEQ s25 1) (GEQ s44 1) (GEQ s59 1)) (AND (GEQ s0 1) (GEQ s30 1) (GEQ s44 1) (GEQ s62 1)) (AND (GEQ s0 1) (GEQ s40 1) (GEQ s44 1) (GEQ s52 1)) (AND (GEQ s0 1) (GEQ s33 1) (GEQ s44 1) (GEQ s62 1)) (AND (GEQ s0 1) (GEQ s35 1) (GEQ s44 1) (GEQ s65 1)) (AND (GEQ s0 1) (GEQ s28 1) (GEQ s44 1) (GEQ s59 1)) (AND (GEQ s0 1) (GEQ s40 1) (GEQ s44 1) (GEQ s68 1)) (AND (GEQ s0 1) (GEQ s38 1) (GEQ s44 1) (GEQ s49 1)) (AND (GEQ s0 1) (GEQ s23 1) (GEQ s44 1) (GEQ s56 1)) (AND (GEQ s0 1) (GEQ s24 1) (GEQ s44 1) (GEQ s57 1)) (AND (GEQ s0 1) (GEQ s31 1) (GEQ s44 1) (GEQ s61 1)) (AND (GEQ s0 1) (GEQ s37 1) (GEQ s44 1) (GEQ s66 1)) (AND (GEQ s0 1) (GEQ s41 1) (GEQ s44 1) (GEQ s51 1)) (AND (GEQ s0 1) (GEQ s29 1) (GEQ s44 1) (GEQ s60 1)) (AND (GEQ s0 1) (GEQ s26 1) (GEQ s44 1) (GEQ s58 1)) (AND (GEQ s0 1) (GEQ s36 1) (GEQ s44 1) (GEQ s48 1)) (AND (GEQ s0 1) (GEQ s27 1) (GEQ s44 1) (GEQ s59 1)) (AND (GEQ s0 1) (GEQ s41 1) (GEQ s44 1) (GEQ s68 1)) (AND (GEQ s0 1) (GEQ s34 1) (GEQ s44 1) (GEQ s64 1)) (AND (GEQ s0 1) (GEQ s37 1) (GEQ s44 1) (GEQ s49 1)) (AND (GEQ s0 1) (GEQ s39 1) (GEQ s44 1) (GEQ s50 1)) (AND (GEQ s0 1) (GEQ s32 1) (GEQ s44 1) (GEQ s62 1)) (AND (GEQ s0 1) (GEQ s36 1) (GEQ s44 1) (GEQ s65 1)) (AND (GEQ s0 1) (GEQ s39 1) (GEQ s44 1) (GEQ s67 1)) (AND (GEQ s0 1) (GEQ s42 1) (GEQ s44 1) (GEQ s52 1)) (AND (GEQ s0 1) (GEQ s35 1) (GEQ s44 1) (GEQ s48 1)) (AND (GEQ s0 1) (GEQ s25 1) (GEQ s44 1) (GEQ s58 1)) (AND (GEQ s0 1) (GEQ s40 1) (GEQ s44 1) (GEQ s51 1)) (AND (GEQ s0 1) (GEQ s30 1) (GEQ s44 1) (GEQ s61 1)) (AND (GEQ s0 1) (GEQ s38 1) (GEQ s44 1) (GEQ s66 1)) (AND (GEQ s0 1) (GEQ s40 1) (GEQ s44 1) (GEQ s63 1)) (AND (GEQ s0 1) (GEQ s28 1) (GEQ s44 1) (GEQ s62 1)) (AND (GEQ s0 1) (GEQ s35 1) (GEQ s44 1) (GEQ s64 1)) (AND (GEQ s0 1) (GEQ s33 1) (GEQ s44 1) (GEQ s61 1)) (AND (GEQ s0 1) (GEQ s23 1) (GEQ s44 1) (GEQ s63 1)) (AND (GEQ s0 1) (GEQ s24 1) (GEQ s44 1) (GEQ s56 1)) (AND (GEQ s0 1) (GEQ s36 1) (GEQ s44 1) (GEQ s57 1)) (AND (GEQ s0 1) (GEQ s29 1) (GEQ s44 1) (GEQ s55 1)) (AND (GEQ s0 1) (GEQ s37 1) (GEQ s44 1) (GEQ s67 1)) (AND (GEQ s0 1) (GEQ s31 1) (GEQ s44 1) (GEQ s58 1)) (AND (GEQ s0 1) (GEQ s34 1) (GEQ s44 1) (GEQ s54 1)) (AND (GEQ s0 1) (GEQ s32 1) (GEQ s44 1) (GEQ s68 1)) (AND (GEQ s0 1) (GEQ s42 1) (GEQ s44 1) (GEQ s66 1)) (AND (GEQ s0 1) (GEQ s26 1) (GEQ s44 1) (GEQ s59 1)) (AND (GEQ s0 1) (GEQ s25 1) (GEQ s44 1) (GEQ s49 1)) (AND (GEQ s0 1) (GEQ s39 1) (GEQ s44 1) (GEQ s53 1)) (AND (GEQ s0 1) (GEQ s37 1) (GEQ s44 1) (GEQ s50 1)) (AND (GEQ s0 1) (GEQ s32 1) (GEQ s44 1) (GEQ s51 1)) (AND (GEQ s0 1) (GEQ s42 1) (GEQ s44 1) (GEQ s49 1)) (AND (GEQ s0 1) (GEQ s30 1) (GEQ s44 1) (GEQ s48 1)) (AND (GEQ s0 1) (GEQ s27 1) (GEQ s44 1) (GEQ s68 1)) (AND (GEQ s0 1) (GEQ s41 1) (GEQ s44 1) (GEQ s57 1)) (AND (GEQ s0 1) (GEQ s25 1) (GEQ s44 1) (GEQ s65 1)) (AND (GEQ s0 1) (GEQ s30 1) (GEQ s44 1) (GEQ s64 1)) (AND (GEQ s0 1) (GEQ s27 1) (GEQ s44 1) (GEQ s52 1)) (AND (GEQ s0 1) (GEQ s38 1) (GEQ s44 1) (GEQ s61 1)) (AND (GEQ s0 1) (GEQ s28 1) (GEQ s44 1) (GEQ s61 1)) (AND (GEQ s0 1) (GEQ s40 1) (GEQ s44 1) (GEQ s62 1)) (AND (GEQ s0 1) (GEQ s33 1) (GEQ s44 1) (GEQ s60 1)) (AND (GEQ s0 1) (GEQ s35 1) (GEQ s44 1) (GEQ s63 1)) (AND (GEQ s0 1) (GEQ s23 1) (GEQ s44 1) (GEQ s62 1)) (AND (GEQ s0 1) (GEQ s24 1) (GEQ s44 1) (GEQ s55 1)) (AND (GEQ s0 1) (GEQ s36 1) (GEQ s44 1) (GEQ s58 1)) (AND (GEQ s0 1) (GEQ s29 1) (GEQ s44 1) (GEQ s54 1)) (AND (GEQ s0 1) (GEQ s34 1) (GEQ s44 1) (GEQ s53 1)) (AND (GEQ s0 1) (GEQ s31 1) (GEQ s44 1) (GEQ s59 1)) (AND (GEQ s0 1) (GEQ s26 1) (GEQ s44 1) (GEQ s60 1)) (AND (GEQ s0 1) (GEQ s37 1) (GEQ s44 1) (GEQ s68 1)) (AND (GEQ s0 1) (GEQ s42 1) (GEQ s44 1) (GEQ s67 1)) (AND (GEQ s0 1) (GEQ s25 1) (GEQ s44 1) (GEQ s48 1)) (AND (GEQ s0 1) (GEQ s37 1) (GEQ s44 1) (GEQ s51 1)) (AND (GEQ s0 1) (GEQ s39 1) (GEQ s44 1) (GEQ s52 1)) (AND (GEQ s0 1) (GEQ s32 1) (GEQ s44 1) (GEQ s52 1)) (AND (GEQ s0 1) (GEQ s42 1) (GEQ s44 1) (GEQ s50 1)) (AND (GEQ s0 1) (GEQ s41 1) (GEQ s44 1) (GEQ s58 1)) (AND (GEQ s0 1) (GEQ s25 1) (GEQ s44 1) (GEQ s64 1)) (AND (GEQ s0 1) (GEQ s38 1) (GEQ s44 1) (GEQ s60 1)) (AND (GEQ s0 1) (GEQ s27 1) (GEQ s44 1) (GEQ s53 1)) (AND (GEQ s0 1) (GEQ s30 1) (GEQ s44 1) (GEQ s63 1)) (AND (GEQ s0 1) (GEQ s28 1) (GEQ s44 1) (GEQ s64 1)) (AND (GEQ s0 1) (GEQ s33 1) (GEQ s44 1) (GEQ s59 1)) (AND (GEQ s0 1) (GEQ s35 1) (GEQ s44 1) (GEQ s62 1)) (AND (GEQ s0 1) (GEQ s30 1) (GEQ s44 1) (GEQ s67 1)) (AND (GEQ s0 1) (GEQ s40 1) (GEQ s44 1) (GEQ s65 1)) (AND (GEQ s0 1) (GEQ s24 1) (GEQ s44 1) (GEQ s54 1)) (AND (GEQ s0 1) (GEQ s23 1) (GEQ s44 1) (GEQ s61 1)) (AND (GEQ s0 1) (GEQ s28 1) (GEQ s44 1) (GEQ s48 1)) (AND (GEQ s0 1) (GEQ s41 1) (GEQ s44 1) (GEQ s54 1)) (AND (GEQ s0 1) (GEQ s36 1) (GEQ s44 1) (GEQ s59 1)) (AND (GEQ s0 1) (GEQ s29 1) (GEQ s44 1) (GEQ s57 1)) (AND (GEQ s0 1) (GEQ s34 1) (GEQ s44 1) (GEQ s52 1)) (AND (GEQ s0 1) (GEQ s32 1) (GEQ s44 1) (GEQ s66 1)) (AND (GEQ s0 1) (GEQ s31 1) (GEQ s44 1) (GEQ s56 1)) (AND (GEQ s0 1) (GEQ s26 1) (GEQ s44 1) (GEQ s61 1)) (AND (GEQ s0 1) (GEQ s42 1) (GEQ s44 1) (GEQ s64 1)) (AND (GEQ s0 1) (GEQ s39 1) (GEQ s44 1) (GEQ s55 1)) (AND (GEQ s0 1) (GEQ s32 1) (GEQ s44 1) (GEQ s49 1)) (AND (GEQ s0 1) (GEQ s27 1) (GEQ s44 1) (GEQ s54 1)) (AND (GEQ s0 1) (GEQ s30 1) (GEQ s44 1) (GEQ s50 1)) (AND (GEQ s0 1) (GEQ s37 1) (GEQ s44 1) (GEQ s52 1)) (AND (GEQ s0 1) (GEQ s40 1) (GEQ s44 1) (GEQ s48 1)) (AND (GEQ s0 1) (GEQ s35 1) (GEQ s44 1) (GEQ s61 1)) (AND (GEQ s0 1) (GEQ s30 1) (GEQ s44 1) (GEQ s66 1)) (AND (GEQ s0 1) (GEQ s38 1) (GEQ s44 1) (GEQ s63 1)) (AND (GEQ s0 1) (GEQ s25 1) (GEQ s44 1) (GEQ s63 1)) (AND (GEQ s0 1) (GEQ s33 1) (GEQ s44 1) (GEQ s58 1)) (AND (GEQ s0 1) (GEQ s28 1) (GEQ s44 1) (GEQ s63 1)) (AND (GEQ s0 1) (GEQ s40 1) (GEQ s44 1) (GEQ s64 1)) (AND (GEQ s0 1) (GEQ s24 1) (GEQ s44 1) (GEQ s53 1)) (AND (GEQ s0 1) (GEQ s23 1) (GEQ s44 1) (GEQ s60 1)) (AND (GEQ s0 1) (GEQ s36 1) (GEQ s44 1) (GEQ s60 1)) (AND (GEQ s0 1) (GEQ s41 1) (GEQ s44 1) (GEQ s55 1)) (AND (GEQ s0 1) (GEQ s34 1) (GEQ s44 1) (GEQ s51 1)) (AND (GEQ s0 1) (GEQ s29 1) (GEQ s44 1) (GEQ s56 1)) (AND (GEQ s0 1) (GEQ s32 1) (GEQ s44 1) (GEQ s67 1)) (AND (GEQ s0 1) (GEQ s26 1) (GEQ s44 1) (GEQ s62 1)) (AND (GEQ s0 1) (GEQ s42 1) (GEQ s44 1) (GEQ s65 1)) (AND (GEQ s0 1) (GEQ s31 1) (GEQ s44 1) (GEQ s57 1)) (AND (GEQ s0 1) (GEQ s39 1) (GEQ s44 1) (GEQ s54 1)) (AND (GEQ s0 1) (GEQ s27 1) (GEQ s44 1) (GEQ s55 1)) (AND (GEQ s0 1) (GEQ s32 1) (GEQ s44 1) (GEQ s50 1)) (AND (GEQ s0 1) (GEQ s34 1) (GEQ s44 1) (GEQ s68 1)) (AND (GEQ s0 1) (GEQ s30 1) (GEQ s44 1) (GEQ s49 1)) (AND (GEQ s0 1) (GEQ s37 1) (GEQ s44 1) (GEQ s53 1)) (AND (GEQ s0 1) (GEQ s42 1) (GEQ s44 1) (GEQ s48 1)) (AND (GEQ s0 1) (GEQ s41 1) (GEQ s44 1) (GEQ s56 1)) (AND (GEQ s0 1) (GEQ s36 1) (GEQ s44 1) (GEQ s61 1)) (AND (GEQ s0 1) (GEQ s35 1) (GEQ s44 1) (GEQ s60 1)) (AND (GEQ s0 1) (GEQ s30 1) (GEQ s44 1) (GEQ s65 1)) (AND (GEQ s0 1) (GEQ s38 1) (GEQ s44 1) (GEQ s62 1)) (AND (GEQ s0 1) (GEQ s25 1) (GEQ s44 1) (GEQ s62 1)) (AND (GEQ s0 1) (GEQ s33 1) (GEQ s44 1) (GEQ s57 1)) (AND (GEQ s0 1) (GEQ s38 1) (GEQ s44 1) (GEQ s56 1)) (AND (GEQ s0 1) (GEQ s40 1) (GEQ s44 1) (GEQ s59 1)) (AND (GEQ s0 1) (GEQ s23 1) (GEQ s44 1) (GEQ s67 1)) (AND (GEQ s0 1) (GEQ s28 1) (GEQ s44 1) (GEQ s66 1)) (AND (GEQ s0 1) (GEQ s24 1) (GEQ s44 1) (GEQ s52 1)) (AND (GEQ s0 1) (GEQ s29 1) (GEQ s44 1) (GEQ s51 1)) (AND (GEQ s0 1) (GEQ s23 1) (GEQ s44 1) (GEQ s51 1)) (AND (GEQ s0 1) (GEQ s36 1) (GEQ s44 1) (GEQ s53 1)) (AND (GEQ s0 1) (GEQ s42 1) (GEQ s44 1) (GEQ s62 1)) (AND (GEQ s0 1) (GEQ s28 1) (GEQ s44 1) (GEQ s50 1)) (AND (GEQ s0 1) (GEQ s26 1) (GEQ s44 1) (GEQ s63 1)) (AND (GEQ s0 1) (GEQ s24 1) (GEQ s44 1) (GEQ s68 1)) (AND (GEQ s0 1) (GEQ s31 1) (GEQ s44 1) (GEQ s54 1)) (AND (GEQ s0 1) (GEQ s34 1) (GEQ s44 1) (GEQ s58 1)) (AND (GEQ s0 1) (GEQ s29 1) (GEQ s44 1) (GEQ s67 1)) (AND (GEQ s0 1) (GEQ s39 1) (GEQ s44 1) (GEQ s58 1)) (AND (GEQ s0 1) (GEQ s39 1) (GEQ s44 1) (GEQ s57 1)) (AND (GEQ s0 1) (GEQ s29 1) (GEQ s44 1) (GEQ s68 1)) (AND (GEQ s0 1) (GEQ s32 1) (GEQ s44 1) (GEQ s55 1)) (AND (GEQ s0 1) (GEQ s25 1) (GEQ s44 1) (GEQ s53 1)) (AND (GEQ s0 1) (GEQ s37 1) (GEQ s44 1) (GEQ s54 1)) (AND (GEQ s0 1) (GEQ s41 1) (GEQ s44 1) (GEQ s61 1)) (AND (GEQ s0 1) (GEQ s27 1) (GEQ s44 1) (GEQ s64 1)) (AND (GEQ s0 1) (GEQ s30 1) (GEQ s44 1) (GEQ s52 1)) (AND (GEQ s0 1) (GEQ s35 1) (GEQ s44 1) (GEQ s59 1)) (AND (GEQ s0 1) (GEQ s27 1) (GEQ s44 1) (GEQ s48 1)) (AND (GEQ s0 1) (GEQ s33 1) (GEQ s44 1) (GEQ s56 1)) (AND (GEQ s0 1) (GEQ s23 1) (GEQ s44 1) (GEQ s66 1)) (AND (GEQ s0 1) (GEQ s38 1) (GEQ s44 1) (GEQ s55 1)) (AND (GEQ s0 1) (GEQ s26 1) (GEQ s44 1) (GEQ s48 1)) (AND (GEQ s0 1) (GEQ s40 1) (GEQ s44 1) (GEQ s58 1)) (AND (GEQ s0 1) (GEQ s28 1) (GEQ s44 1) (GEQ s65 1)) (AND (GEQ s0 1) (GEQ s24 1) (GEQ s44 1) (GEQ s51 1)) (AND (GEQ s0 1) (GEQ s30 1) (GEQ s44 1) (GEQ s68 1)) (AND (GEQ s0 1) (GEQ s29 1) (GEQ s44 1) (GEQ s50 1)) (AND (GEQ s0 1) (GEQ s23 1) (GEQ s44 1) (GEQ s50 1)) (AND (GEQ s0 1) (GEQ s36 1) (GEQ s44 1) (GEQ s54 1)) (AND (GEQ s0 1) (GEQ s26 1) (GEQ s44 1) (GEQ s64 1)) (AND (GEQ s0 1) (GEQ s28 1) (GEQ s44 1) (GEQ s49 1)) (AND (GEQ s0 1) (GEQ s24 1) (GEQ s44 1) (GEQ s67 1)) (AND (GEQ s0 1) (GEQ s34 1) (GEQ s44 1) (GEQ s57 1)) (AND (GEQ s0 1) (GEQ s42 1) (GEQ s44 1) (GEQ s63 1)) (AND (GEQ s0 1) (GEQ s31 1) (GEQ s44 1) (GEQ s55 1)) (AND (GEQ s0 1) (GEQ s29 1) (GEQ s44 1) (GEQ s66 1)) (AND (GEQ s0 1) (GEQ s39 1) (GEQ s44 1) (GEQ s56 1)) (AND (GEQ s0 1) (GEQ s32 1) (GEQ s44 1) (GEQ s56 1)) (AND (GEQ s0 1) (GEQ s25 1) (GEQ s44 1) (GEQ s52 1)) (AND (GEQ s0 1) (GEQ s37 1) (GEQ s44 1) (GEQ s55 1)) (AND (GEQ s0 1) (GEQ s41 1) (GEQ s44 1) (GEQ s62 1)) (AND (GEQ s0 1) (GEQ s27 1) (GEQ s44 1) (GEQ s65 1)) (AND (GEQ s0 1) (GEQ s25 1) (GEQ s44 1) (GEQ s68 1)) (AND (GEQ s0 1) (GEQ s30 1) (GEQ s44 1) (GEQ s51 1)) (AND (GEQ s0 1) (GEQ s35 1) (GEQ s44 1) (GEQ s58 1)) (AND (GEQ s0 1) (GEQ s27 1) (GEQ s44 1) (GEQ s49 1)) (AND (GEQ s0 1) (GEQ s40 1) (GEQ s44 1) (GEQ s61 1)) (AND (GEQ s0 1) (GEQ s26 1) (GEQ s44 1) (GEQ s49 1)) (AND (GEQ s0 1) (GEQ s28 1) (GEQ s44 1) (GEQ s68 1)) (AND (GEQ s0 1) (GEQ s38 1) (GEQ s44 1) (GEQ s58 1)) (AND (GEQ s0 1) (GEQ s24 1) (GEQ s44 1) (GEQ s50 1)) (AND (GEQ s0 1) (GEQ s23 1) (GEQ s44 1) (GEQ s65 1)) (AND (GEQ s0 1) (GEQ s33 1) (GEQ s44 1) (GEQ s55 1)) (AND (GEQ s0 1) (GEQ s29 1) (GEQ s44 1) (GEQ s53 1)) (AND (GEQ s0 1) (GEQ s28 1) (GEQ s44 1) (GEQ s52 1)) (AND (GEQ s0 1) (GEQ s26 1) (GEQ s44 1) (GEQ s65 1)) (AND (GEQ s0 1) (GEQ s36 1) (GEQ s44 1) (GEQ s55 1)) (AND (GEQ s0 1) (GEQ s42 1) (GEQ s44 1) (GEQ s60 1)) (AND (GEQ s0 1) (GEQ s34 1) (GEQ s44 1) (GEQ s56 1)) (AND (GEQ s0 1) (GEQ s23 1) (GEQ s44 1) (GEQ s49 1)) (AND (GEQ s0 1) (GEQ s24 1) (GEQ s44 1) (GEQ s66 1)) (AND (GEQ s0 1) (GEQ s31 1) (GEQ s44 1) (GEQ s52 1)) (AND (GEQ s0 1) (GEQ s39 1) (GEQ s44 1) (GEQ s60 1)) (AND (GEQ s0 1) (GEQ s32 1) (GEQ s44 1) (GEQ s53 1)) (AND (GEQ s0 1) (GEQ s25 1) (GEQ s44 1) (GEQ s51 1)) (AND (GEQ s0 1) (GEQ s27 1) (GEQ s44 1) (GEQ s66 1)) (AND (GEQ s0 1) (GEQ s37 1) (GEQ s44 1) (GEQ s56 1)) (AND (GEQ s0 1) (GEQ s41 1) (GEQ s44 1) (GEQ s59 1)) (AND (GEQ s0 1) (GEQ s25 1) (GEQ s44 1) (GEQ s67 1)) (AND (GEQ s0 1) (GEQ s30 1) (GEQ s44 1) (GEQ s54 1)) (AND (GEQ s0 1) (GEQ s27 1) (GEQ s44 1) (GEQ s50 1)) (AND (GEQ s0 1) (GEQ s38 1) (GEQ s44 1) (GEQ s59 1)) (AND (GEQ s0 1) (GEQ s35 1) (GEQ s44 1) (GEQ s57 1)) (AND (GEQ s0 1) (GEQ s26 1) (GEQ s44 1) (GEQ s50 1)) (AND (GEQ s0 1) (GEQ s40 1) (GEQ s44 1) (GEQ s60 1)) (AND (GEQ s0 1) (GEQ s28 1) (GEQ s44 1) (GEQ s67 1)) (AND (GEQ s0 1) (GEQ s38 1) (GEQ s44 1) (GEQ s57 1)) (AND (GEQ s0 1) (GEQ s24 1) (GEQ s44 1) (GEQ s49 1)) (AND (GEQ s0 1) (GEQ s23 1) (GEQ s44 1) (GEQ s64 1)) (AND (GEQ s0 1) (GEQ s33 1) (GEQ s44 1) (GEQ s54 1)) (AND (GEQ s0 1) (GEQ s29 1) (GEQ s44 1) (GEQ s52 1)) (AND (GEQ s0 1) (GEQ s42 1) (GEQ s44 1) (GEQ s61 1)) (AND (GEQ s0 1) (GEQ s26 1) (GEQ s44 1) (GEQ s66 1)) (AND (GEQ s0 1) (GEQ s28 1) (GEQ s44 1) (GEQ s51 1)) (AND (GEQ s0 1) (GEQ s36 1) (GEQ s44 1) (GEQ s56 1)) (AND (GEQ s0 1) (GEQ s34 1) (GEQ s44 1) (GEQ s55 1)) (AND (GEQ s0 1) (GEQ s23 1) (GEQ s44 1) (GEQ s48 1)) (AND (GEQ s0 1) (GEQ s24 1) (GEQ s44 1) (GEQ s65 1)) (AND (GEQ s0 1) (GEQ s31 1) (GEQ s44 1) (GEQ s53 1)) (AND (GEQ s0 1) (GEQ s39 1) (GEQ s44 1) (GEQ s59 1)) (AND (GEQ s0 1) (GEQ s32 1) (GEQ s44 1) (GEQ s54 1)) (AND (GEQ s0 1) (GEQ s25 1) (GEQ s44 1) (GEQ s50 1)) (AND (GEQ s0 1) (GEQ s41 1) (GEQ s44 1) (GEQ s60 1)) (AND (GEQ s0 1) (GEQ s27 1) (GEQ s44 1) (GEQ s67 1)) (AND (GEQ s0 1) (GEQ s37 1) (GEQ s44 1) (GEQ s57 1)) (AND (GEQ s0 1) (GEQ s25 1) (GEQ s44 1) (GEQ s66 1)) (AND (GEQ s0 1) (GEQ s30 1) (GEQ s44 1) (GEQ s53 1)) (AND (GEQ s0 1) (GEQ s27 1) (GEQ s44 1) (GEQ s51 1)) (AND (GEQ s0 1) (GEQ s35 1) (GEQ s44 1) (GEQ s56 1)))], nbAcceptance=2, properties=[trans-labels, explicit-labels, trans-acc, no-univ-branch, stutter-invariant], stateDesc=[null, null, null, null, null, null][true, true, true, true, true, true]]
Product exploration explored 100000 steps with 952 reset in 2981 ms.
Product exploration explored 100000 steps with 951 reset in 2885 ms.
Knowledge obtained : [(NOT p0), (NOT p1), p3, (NOT p2)]
Stuttering acceptance computed with spot in 218 ms :[(OR (NOT p1) p0 (AND p2 (NOT p3))), p0, (OR (NOT p1) (AND p2 (NOT p3)) (AND p0 p2)), (OR (AND p2 (NOT p3)) (AND p0 p2)), (OR (AND p0 (NOT p1)) (AND p0 p2)), (AND p0 p2)]
Product exploration explored 100000 steps with 946 reset in 2774 ms.
Product exploration explored 100000 steps with 957 reset in 2620 ms.
[2021-05-08 22:21:25] [INFO ] Flatten gal took : 37 ms
[2021-05-08 22:21:25] [INFO ] Flatten gal took : 37 ms
[2021-05-08 22:21:25] [INFO ] Time to serialize gal into /tmp/LTL3869614786936540212.gal : 4 ms
[2021-05-08 22:21:25] [INFO ] Time to serialize properties into /tmp/LTL750390705640684302.ltl : 11 ms
Invoking ITS tools like this :CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64, --gc-threshold, 2000000, -i, /tmp/LTL3869614786936540212.gal, -t, CGAL, -LTL, /tmp/LTL750390705640684302.ltl, -c, -stutter-deadlock, --gen-order, FOLLOW], workingDir=/home/mcc/execution]

its-ltl command run as :

/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64 --gc-threshold 2000000 -i /tmp/LTL3869614786936540212.gal -t CGAL -LTL /tmp/LTL750390705640684302.ltl -c -stutter-deadlock --gen-order FOLLOW
Read 1 LTL properties
Checking formula 0 : !((G((F("(((CHOIX_0<1)||(COMPTEUR_20<1))&&((CHOIX_1<1)||(COMPTEUR_20<1)))"))&&(F(G(("((((((((((((((((((((((NB_ATTENTE_A_4<1)||(ROUTE_A_0<1))&&((NB_ATTENTE_A_9<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_19<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_2<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_6<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_1<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_12<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_18<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_16<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_14<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_15<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_20<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_10<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_8<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_3<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_5<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_7<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_17<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_0<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_11<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_13<1)||(ROUTE_A_0<1)))")&&(("(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))")U((("(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))")&&(F("(((CHOIX_0<1)||(COMPTEUR_20<1))&&((CHOIX_1<1)||(COMPTEUR_20<1)))")))||(G("(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))"))))))))))
Formula 0 simplified : !G(F"(((CHOIX_0<1)||(COMPTEUR_20<1))&&((CHOIX_1<1)||(COMPTEUR_20<1)))" & FG("((((((((((((((((((((((NB_ATTENTE_A_4<1)||(ROUTE_A_0<1))&&((NB_ATTENTE_A_9<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_19<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_2<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_6<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_1<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_12<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_18<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_16<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_14<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_15<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_20<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_10<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_8<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_3<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_5<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_7<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_17<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_0<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_11<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_13<1)||(ROUTE_A_0<1)))" & ("(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))" U (("(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))" & F"(((CHOIX_0<1)||(COMPTEUR_20<1))&&((CHOIX_1<1)||(COMPTEUR_20<1)))") | G"(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_15<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_14<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_20<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_10<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_18<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_16<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_1<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_16<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_11<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_7<1))||(CONTROLEUR_0<1))||(COMPTEUR_4<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_20<1))||(CONTROLEUR_0<1))||(COMPTEUR_13<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_4<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_6<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_14<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_12<1))||(CONTROLEUR_0<1))||(COMPTEUR_7<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_1<1))||(CONTROLEUR_0<1))||(COMPTEUR_0<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_2<1))||(CONTROLEUR_0<1))||(COMPTEUR_17<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_9<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_17<1))||(CONTROLEUR_0<1))||(COMPTEUR_11<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_10<1))||(CONTROLEUR_0<1))||(COMPTEUR_6<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_2<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_19<1))||(CONTROLEUR_0<1))||(COMPTEUR_12<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_19<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_15<1))||(CONTROLEUR_0<1))||(COMPTEUR_9<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_3<1))||(CONTROLEUR_0<1))||(COMPTEUR_18<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_8<1))||(CONTROLEUR_0<1))||(COMPTEUR_5<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_5<1))||(CONTROLEUR_0<1))||(COMPTEUR_3<1)))&&((((NB_ATTENTE_A_0<1)||(NB_ATTENTE_B_13<1))||(CONTROLEUR_0<1))||(COMPTEUR_8<1)))"))))
Detected timeout of ITS tools.
[2021-05-08 22:21:41] [INFO ] Flatten gal took : 74 ms
[2021-05-08 22:21:41] [INFO ] Applying decomposition
[2021-05-08 22:21:41] [INFO ] Flatten gal took : 59 ms
Converted graph to binary with : CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.louvain.binaries_1.0.0.202104292328/bin/convert-linux64, -i, /tmp/graph7147365285072954568.txt, -o, /tmp/graph7147365285072954568.bin, -w, /tmp/graph7147365285072954568.weights], workingDir=null]
Built communities with : CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.louvain.binaries_1.0.0.202104292328/bin/louvain-linux64, /tmp/graph7147365285072954568.bin, -l, -1, -v, -w, /tmp/graph7147365285072954568.weights, -q, 0, -e, 0.001], workingDir=null]
[2021-05-08 22:21:41] [INFO ] Decomposing Gal with order
[2021-05-08 22:21:41] [INFO ] Rewriting arrays to variables to allow decomposition.
[2021-05-08 22:21:41] [INFO ] Removed a total of 1715 redundant transitions.
[2021-05-08 22:21:41] [INFO ] Flatten gal took : 230 ms
[2021-05-08 22:21:41] [INFO ] Fuse similar labels procedure discarded/fused a total of 118 labels/synchronizations in 12 ms.
[2021-05-08 22:21:41] [INFO ] Time to serialize gal into /tmp/LTL5084218621303561711.gal : 7 ms
[2021-05-08 22:21:41] [INFO ] Time to serialize properties into /tmp/LTL12884925028793061688.ltl : 16 ms
Invoking ITS tools like this :CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64, --gc-threshold, 2000000, -i, /tmp/LTL5084218621303561711.gal, -t, CGAL, -LTL, /tmp/LTL12884925028793061688.ltl, -c, -stutter-deadlock], workingDir=/home/mcc/execution]

its-ltl command run as :

/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64 --gc-threshold 2000000 -i /tmp/LTL5084218621303561711.gal -t CGAL -LTL /tmp/LTL12884925028793061688.ltl -c -stutter-deadlock
Read 1 LTL properties
Checking formula 0 : !((G((F("(((u0.CHOIX_0<1)||(u1.COMPTEUR_20<1))&&((u2.CHOIX_1<1)||(u1.COMPTEUR_20<1)))"))&&(F(G(("((((((((((((((((((((((u2.NB_ATTENTE_A_4<1)||(u2.ROUTE_A_0<1))&&((u2.NB_ATTENTE_A_9<1)||(u2.ROUTE_A_0<1)))&&((u2.NB_ATTENTE_A_19<1)||(u2.ROUTE_A_0<1)))&&((u2.NB_ATTENTE_A_2<1)||(u2.ROUTE_A_0<1)))&&((u2.NB_ATTENTE_A_6<1)||(u2.ROUTE_A_0<1)))&&((u2.NB_ATTENTE_A_1<1)||(u2.ROUTE_A_0<1)))&&((u2.NB_ATTENTE_A_12<1)||(u2.ROUTE_A_0<1)))&&((u2.NB_ATTENTE_A_18<1)||(u2.ROUTE_A_0<1)))&&((u2.NB_ATTENTE_A_16<1)||(u2.ROUTE_A_0<1)))&&((u2.NB_ATTENTE_A_14<1)||(u2.ROUTE_A_0<1)))&&((u2.NB_ATTENTE_A_15<1)||(u2.ROUTE_A_0<1)))&&((u2.NB_ATTENTE_A_20<1)||(u2.ROUTE_A_0<1)))&&((u2.NB_ATTENTE_A_10<1)||(u2.ROUTE_A_0<1)))&&((u2.NB_ATTENTE_A_8<1)||(u2.ROUTE_A_0<1)))&&((u2.NB_ATTENTE_A_3<1)||(u2.ROUTE_A_0<1)))&&((u2.NB_ATTENTE_A_5<1)||(u2.ROUTE_A_0<1)))&&((u2.NB_ATTENTE_A_7<1)||(u2.ROUTE_A_0<1)))&&((u2.NB_ATTENTE_A_17<1)||(u2.ROUTE_A_0<1)))&&((u0.NB_ATTENTE_A_0<1)||(u2.ROUTE_A_0<1)))&&((u2.NB_ATTENTE_A_11<1)||(u2.ROUTE_A_0<1)))&&((u2.NB_ATTENTE_A_13<1)||(u2.ROUTE_A_0<1)))")&&(("(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))")U((("(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))")&&(F("(((u0.CHOIX_0<1)||(u1.COMPTEUR_20<1))&&((u2.CHOIX_1<1)||(u1.COMPTEUR_20<1)))")))||(G("(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))"))))))))))
Formula 0 simplified : !G(F"(((u0.CHOIX_0<1)||(u1.COMPTEUR_20<1))&&((u2.CHOIX_1<1)||(u1.COMPTEUR_20<1)))" & FG("((((((((((((((((((((((u2.NB_ATTENTE_A_4<1)||(u2.ROUTE_A_0<1))&&((u2.NB_ATTENTE_A_9<1)||(u2.ROUTE_A_0<1)))&&((u2.NB_ATTENTE_A_19<1)||(u2.ROUTE_A_0<1)))&&((u2.NB_ATTENTE_A_2<1)||(u2.ROUTE_A_0<1)))&&((u2.NB_ATTENTE_A_6<1)||(u2.ROUTE_A_0<1)))&&((u2.NB_ATTENTE_A_1<1)||(u2.ROUTE_A_0<1)))&&((u2.NB_ATTENTE_A_12<1)||(u2.ROUTE_A_0<1)))&&((u2.NB_ATTENTE_A_18<1)||(u2.ROUTE_A_0<1)))&&((u2.NB_ATTENTE_A_16<1)||(u2.ROUTE_A_0<1)))&&((u2.NB_ATTENTE_A_14<1)||(u2.ROUTE_A_0<1)))&&((u2.NB_ATTENTE_A_15<1)||(u2.ROUTE_A_0<1)))&&((u2.NB_ATTENTE_A_20<1)||(u2.ROUTE_A_0<1)))&&((u2.NB_ATTENTE_A_10<1)||(u2.ROUTE_A_0<1)))&&((u2.NB_ATTENTE_A_8<1)||(u2.ROUTE_A_0<1)))&&((u2.NB_ATTENTE_A_3<1)||(u2.ROUTE_A_0<1)))&&((u2.NB_ATTENTE_A_5<1)||(u2.ROUTE_A_0<1)))&&((u2.NB_ATTENTE_A_7<1)||(u2.ROUTE_A_0<1)))&&((u2.NB_ATTENTE_A_17<1)||(u2.ROUTE_A_0<1)))&&((u0.NB_ATTENTE_A_0<1)||(u2.ROUTE_A_0<1)))&&((u2.NB_ATTENTE_A_11<1)||(u2.ROUTE_A_0<1)))&&((u2.NB_ATTENTE_A_13<1)||(u2.ROUTE_A_0<1)))" & ("(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))" U (("(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))" & F"(((u0.CHOIX_0<1)||(u1.COMPTEUR_20<1))&&((u2.CHOIX_1<1)||(u1.COMPTEUR_20<1)))") | G"(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_15<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_14<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_20<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_10<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_18<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_16<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_1<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_16<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_11<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_7<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_4<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_20<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_13<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_4<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_6<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_14<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_12<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_7<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_1<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_0<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_2<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_17<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_9<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_17<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_11<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_10<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_6<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_2<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_19<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_12<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_19<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_15<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_9<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_3<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_18<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_8<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_5<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_5<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_3<1)))&&((((u0.NB_ATTENTE_A_0<1)||(u0.NB_ATTENTE_B_13<1))||(u0.CONTROLEUR_0<1))||(u1.COMPTEUR_8<1)))"))))
Detected timeout of ITS tools.
Built C files in :
/tmp/ltsmin2598132981978018336
[2021-05-08 22:21:56] [INFO ] Built C files in 34ms conformant to PINS (ltsmin variant)in folder :/tmp/ltsmin2598132981978018336
Running compilation step : CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/include/, -I., -std=c99, -fPIC, -O2, model.c], workingDir=/tmp/ltsmin2598132981978018336]
WARNING : LTS min runner thread failed on error :java.lang.RuntimeException: Compilation or link of executable timed out.java.util.concurrent.TimeoutException: Subprocess running CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/include/, -I., -std=c99, -fPIC, -O2, model.c], workingDir=/tmp/ltsmin2598132981978018336] killed by timeout after 1 SECONDS
java.lang.RuntimeException: Compilation or link of executable timed out.java.util.concurrent.TimeoutException: Subprocess running CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/include/, -I., -std=c99, -fPIC, -O2, model.c], workingDir=/tmp/ltsmin2598132981978018336] killed by timeout after 1 SECONDS
at fr.lip6.move.gal.application.LTSminRunner$1.run(LTSminRunner.java:79)
at java.base/java.lang.Thread.run(Thread.java:834)
Treatment of property BridgeAndVehicles-COL-V20P20N20-07 finished in 44858 ms.
Running Spot : CommandLine [args=[/home/mcc/BenchKit/bin//..//ltl2tgba, --hoaf=tv, -f, !(F((G(F(p0))||(!p0&&F(p0)))))], workingDir=/home/mcc/execution]
Support contains 22 out of 76 places. Attempting structural reductions.
Starting structural reductions, iteration 0 : 76/76 places, 968/968 transitions.
Discarding 1 places :
Symmetric choice reduction at 0 with 1 rule applications. Total rules 1 place count 75 transition count 967
Iterating global reduction 0 with 1 rules applied. Total rules applied 2 place count 75 transition count 967
Performed 1 Post agglomeration using F-continuation condition.Transition count delta: 1
Deduced a syphon composed of 1 places in 0 ms
Reduce places removed 2 places and 0 transitions.
Iterating global reduction 0 with 3 rules applied. Total rules applied 5 place count 73 transition count 966
Applied a total of 5 rules in 17 ms. Remains 73 /76 variables (removed 3) and now considering 966/968 (removed 2) transitions.
[2021-05-08 22:21:57] [INFO ] Flow matrix only has 168 transitions (discarded 798 similar events)
// Phase 1: matrix 168 rows 73 cols
[2021-05-08 22:21:57] [INFO ] Computed 4 place invariants in 2 ms
[2021-05-08 22:21:57] [INFO ] Implicit Places using invariants in 114 ms returned []
[2021-05-08 22:21:57] [INFO ] Flow matrix only has 168 transitions (discarded 798 similar events)
// Phase 1: matrix 168 rows 73 cols
[2021-05-08 22:21:57] [INFO ] Computed 4 place invariants in 1 ms
[2021-05-08 22:21:57] [INFO ] State equation strengthened by 42 read => feed constraints.
[2021-05-08 22:21:58] [INFO ] Implicit Places using invariants and state equation in 328 ms returned [22, 44]
Discarding 2 places :
Implicit Place search using SMT with State Equation took 446 ms to find 2 implicit places.
[2021-05-08 22:21:58] [INFO ] Redundant transitions in 7 ms returned []
[2021-05-08 22:21:58] [INFO ] Flow matrix only has 168 transitions (discarded 798 similar events)
// Phase 1: matrix 168 rows 71 cols
[2021-05-08 22:21:58] [INFO ] Computed 4 place invariants in 1 ms
[2021-05-08 22:21:58] [INFO ] Dead Transitions using invariants and state equation in 310 ms returned []
Starting structural reductions, iteration 1 : 71/76 places, 966/968 transitions.
Applied a total of 0 rules in 9 ms. Remains 71 /71 variables (removed 0) and now considering 966/966 (removed 0) transitions.
[2021-05-08 22:21:58] [INFO ] Redundant transitions in 8 ms returned []
[2021-05-08 22:21:58] [INFO ] Flow matrix only has 168 transitions (discarded 798 similar events)
// Phase 1: matrix 168 rows 71 cols
[2021-05-08 22:21:58] [INFO ] Computed 4 place invariants in 1 ms
[2021-05-08 22:21:58] [INFO ] Dead Transitions using invariants and state equation in 269 ms returned []
Finished structural reductions, in 2 iterations. Remains : 71/76 places, 966/968 transitions.
Stuttering acceptance computed with spot in 62 ms :[(NOT p0), (NOT p0)]
Running random walk in product with property : BridgeAndVehicles-COL-V20P20N20-08 automaton TGBA [mat=[[{ cond=(NOT p0), acceptance={0} source=0 dest: 0}], [{ cond=(NOT p0), acceptance={} source=1 dest: 0}, { cond=p0, acceptance={} source=1 dest: 1}]], initial=1, aps=[p0:(AND (OR (LT s47 1) (LT s67 1)) (OR (LT s47 1) (LT s50 1)) (OR (LT s47 1) (LT s49 1)) (OR (LT s47 1) (LT s48 1)) (OR (LT s46 1) (LT s48 1)) (OR (LT s46 1) (LT s49 1)) (OR (LT s46 1) (LT s51 1)) (OR (LT s46 1) (LT s50 1)) (OR (LT s46 1) (LT s53 1)) (OR (LT s47 1) (LT s66 1)) (OR (LT s46 1) (LT s52 1)) (OR (LT s47 1) (LT s65 1)) (OR (LT s46 1) (LT s55 1)) (OR (LT s47 1) (LT s64 1)) (OR (LT s46 1) (LT s54 1)) (OR (LT s47 1) (LT s63 1)) (OR (LT s46 1) (LT s57 1)) (OR (LT s47 1) (LT s62 1)) (OR (LT s46 1) (LT s56 1)) (OR (LT s47 1) (LT s61 1)) (OR (LT s46 1) (LT s59 1)) (OR (LT s47 1) (LT s60 1)) (OR (LT s46 1) (LT s58 1)) (OR (LT s47 1) (LT s59 1)) (OR (LT s46 1) (LT s61 1)) (OR (LT s47 1) (LT s58 1)) (OR (LT s46 1) (LT s60 1)) (OR (LT s47 1) (LT s57 1)) (OR (LT s46 1) (LT s63 1)) (OR (LT s47 1) (LT s56 1)) (OR (LT s46 1) (LT s62 1)) (OR (LT s47 1) (LT s55 1)) (OR (LT s46 1) (LT s65 1)) (OR (LT s47 1) (LT s54 1)) (OR (LT s46 1) (LT s64 1)) (OR (LT s47 1) (LT s53 1)) (OR (LT s46 1) (LT s67 1)) (OR (LT s47 1) (LT s52 1)) (OR (LT s46 1) (LT s66 1)) (OR (LT s47 1) (LT s51 1)))], nbAcceptance=1, properties=[trans-labels, explicit-labels, trans-acc, deterministic, no-univ-branch, unambiguous, semi-deterministic, stutter-invariant, very-weak, weak, inherently-weak], stateDesc=[null, null][true, true]]
Product exploration explored 100000 steps with 24849 reset in 375 ms.
Product exploration explored 100000 steps with 25006 reset in 360 ms.
Knowledge obtained : [(NOT p0)]
Stuttering acceptance computed with spot in 67 ms :[(NOT p0), (NOT p0)]
Product exploration explored 100000 steps with 25081 reset in 320 ms.
Product exploration explored 100000 steps with 24846 reset in 319 ms.
[2021-05-08 22:22:00] [INFO ] Flatten gal took : 24 ms
[2021-05-08 22:22:00] [INFO ] Flatten gal took : 23 ms
[2021-05-08 22:22:00] [INFO ] Time to serialize gal into /tmp/LTL11992900791745050905.gal : 4 ms
[2021-05-08 22:22:00] [INFO ] Time to serialize properties into /tmp/LTL1967661367949255890.ltl : 0 ms
Invoking ITS tools like this :CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64, --gc-threshold, 2000000, -i, /tmp/LTL11992900791745050905.gal, -t, CGAL, -LTL, /tmp/LTL1967661367949255890.ltl, -c, -stutter-deadlock, --gen-order, FOLLOW], workingDir=/home/mcc/execution]

its-ltl command run as :

/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64 --gc-threshold 2000000 -i /tmp/LTL11992900791745050905.gal -t CGAL -LTL /tmp/LTL1967661367949255890.ltl -c -stutter-deadlock --gen-order FOLLOW
Read 1 LTL properties
Checking formula 0 : !((F((G(F("(((((((((((((((((((((((((((((((((((((((((CHOIX_1<1)||(COMPTEUR_19<1))&&((CHOIX_1<1)||(COMPTEUR_2<1)))&&((CHOIX_1<1)||(COMPTEUR_1<1)))&&((CHOIX_1<1)||(COMPTEUR_0<1)))&&((CHOIX_0<1)||(COMPTEUR_0<1)))&&((CHOIX_0<1)||(COMPTEUR_1<1)))&&((CHOIX_0<1)||(COMPTEUR_3<1)))&&((CHOIX_0<1)||(COMPTEUR_2<1)))&&((CHOIX_0<1)||(COMPTEUR_5<1)))&&((CHOIX_1<1)||(COMPTEUR_18<1)))&&((CHOIX_0<1)||(COMPTEUR_4<1)))&&((CHOIX_1<1)||(COMPTEUR_17<1)))&&((CHOIX_0<1)||(COMPTEUR_7<1)))&&((CHOIX_1<1)||(COMPTEUR_16<1)))&&((CHOIX_0<1)||(COMPTEUR_6<1)))&&((CHOIX_1<1)||(COMPTEUR_15<1)))&&((CHOIX_0<1)||(COMPTEUR_9<1)))&&((CHOIX_1<1)||(COMPTEUR_14<1)))&&((CHOIX_0<1)||(COMPTEUR_8<1)))&&((CHOIX_1<1)||(COMPTEUR_13<1)))&&((CHOIX_0<1)||(COMPTEUR_11<1)))&&((CHOIX_1<1)||(COMPTEUR_12<1)))&&((CHOIX_0<1)||(COMPTEUR_10<1)))&&((CHOIX_1<1)||(COMPTEUR_11<1)))&&((CHOIX_0<1)||(COMPTEUR_13<1)))&&((CHOIX_1<1)||(COMPTEUR_10<1)))&&((CHOIX_0<1)||(COMPTEUR_12<1)))&&((CHOIX_1<1)||(COMPTEUR_9<1)))&&((CHOIX_0<1)||(COMPTEUR_15<1)))&&((CHOIX_1<1)||(COMPTEUR_8<1)))&&((CHOIX_0<1)||(COMPTEUR_14<1)))&&((CHOIX_1<1)||(COMPTEUR_7<1)))&&((CHOIX_0<1)||(COMPTEUR_17<1)))&&((CHOIX_1<1)||(COMPTEUR_6<1)))&&((CHOIX_0<1)||(COMPTEUR_16<1)))&&((CHOIX_1<1)||(COMPTEUR_5<1)))&&((CHOIX_0<1)||(COMPTEUR_19<1)))&&((CHOIX_1<1)||(COMPTEUR_4<1)))&&((CHOIX_0<1)||(COMPTEUR_18<1)))&&((CHOIX_1<1)||(COMPTEUR_3<1)))")))||((F("(((((((((((((((((((((((((((((((((((((((((CHOIX_1<1)||(COMPTEUR_19<1))&&((CHOIX_1<1)||(COMPTEUR_2<1)))&&((CHOIX_1<1)||(COMPTEUR_1<1)))&&((CHOIX_1<1)||(COMPTEUR_0<1)))&&((CHOIX_0<1)||(COMPTEUR_0<1)))&&((CHOIX_0<1)||(COMPTEUR_1<1)))&&((CHOIX_0<1)||(COMPTEUR_3<1)))&&((CHOIX_0<1)||(COMPTEUR_2<1)))&&((CHOIX_0<1)||(COMPTEUR_5<1)))&&((CHOIX_1<1)||(COMPTEUR_18<1)))&&((CHOIX_0<1)||(COMPTEUR_4<1)))&&((CHOIX_1<1)||(COMPTEUR_17<1)))&&((CHOIX_0<1)||(COMPTEUR_7<1)))&&((CHOIX_1<1)||(COMPTEUR_16<1)))&&((CHOIX_0<1)||(COMPTEUR_6<1)))&&((CHOIX_1<1)||(COMPTEUR_15<1)))&&((CHOIX_0<1)||(COMPTEUR_9<1)))&&((CHOIX_1<1)||(COMPTEUR_14<1)))&&((CHOIX_0<1)||(COMPTEUR_8<1)))&&((CHOIX_1<1)||(COMPTEUR_13<1)))&&((CHOIX_0<1)||(COMPTEUR_11<1)))&&((CHOIX_1<1)||(COMPTEUR_12<1)))&&((CHOIX_0<1)||(COMPTEUR_10<1)))&&((CHOIX_1<1)||(COMPTEUR_11<1)))&&((CHOIX_0<1)||(COMPTEUR_13<1)))&&((CHOIX_1<1)||(COMPTEUR_10<1)))&&((CHOIX_0<1)||(COMPTEUR_12<1)))&&((CHOIX_1<1)||(COMPTEUR_9<1)))&&((CHOIX_0<1)||(COMPTEUR_15<1)))&&((CHOIX_1<1)||(COMPTEUR_8<1)))&&((CHOIX_0<1)||(COMPTEUR_14<1)))&&((CHOIX_1<1)||(COMPTEUR_7<1)))&&((CHOIX_0<1)||(COMPTEUR_17<1)))&&((CHOIX_1<1)||(COMPTEUR_6<1)))&&((CHOIX_0<1)||(COMPTEUR_16<1)))&&((CHOIX_1<1)||(COMPTEUR_5<1)))&&((CHOIX_0<1)||(COMPTEUR_19<1)))&&((CHOIX_1<1)||(COMPTEUR_4<1)))&&((CHOIX_0<1)||(COMPTEUR_18<1)))&&((CHOIX_1<1)||(COMPTEUR_3<1)))"))&&("(((((((((((((((((((((((((((((((((((((((((CHOIX_1>=1)&&(COMPTEUR_19>=1))||((CHOIX_1>=1)&&(COMPTEUR_2>=1)))||((CHOIX_1>=1)&&(COMPTEUR_1>=1)))||((CHOIX_1>=1)&&(COMPTEUR_0>=1)))||((CHOIX_0>=1)&&(COMPTEUR_0>=1)))||((CHOIX_0>=1)&&(COMPTEUR_1>=1)))||((CHOIX_0>=1)&&(COMPTEUR_3>=1)))||((CHOIX_0>=1)&&(COMPTEUR_2>=1)))||((CHOIX_0>=1)&&(COMPTEUR_5>=1)))||((CHOIX_1>=1)&&(COMPTEUR_18>=1)))||((CHOIX_0>=1)&&(COMPTEUR_4>=1)))||((CHOIX_1>=1)&&(COMPTEUR_17>=1)))||((CHOIX_0>=1)&&(COMPTEUR_7>=1)))||((CHOIX_1>=1)&&(COMPTEUR_16>=1)))||((CHOIX_0>=1)&&(COMPTEUR_6>=1)))||((CHOIX_1>=1)&&(COMPTEUR_15>=1)))||((CHOIX_0>=1)&&(COMPTEUR_9>=1)))||((CHOIX_1>=1)&&(COMPTEUR_14>=1)))||((CHOIX_0>=1)&&(COMPTEUR_8>=1)))||((CHOIX_1>=1)&&(COMPTEUR_13>=1)))||((CHOIX_0>=1)&&(COMPTEUR_11>=1)))||((CHOIX_1>=1)&&(COMPTEUR_12>=1)))||((CHOIX_0>=1)&&(COMPTEUR_10>=1)))||((CHOIX_1>=1)&&(COMPTEUR_11>=1)))||((CHOIX_0>=1)&&(COMPTEUR_13>=1)))||((CHOIX_1>=1)&&(COMPTEUR_10>=1)))||((CHOIX_0>=1)&&(COMPTEUR_12>=1)))||((CHOIX_1>=1)&&(COMPTEUR_9>=1)))||((CHOIX_0>=1)&&(COMPTEUR_15>=1)))||((CHOIX_1>=1)&&(COMPTEUR_8>=1)))||((CHOIX_0>=1)&&(COMPTEUR_14>=1)))||((CHOIX_1>=1)&&(COMPTEUR_7>=1)))||((CHOIX_0>=1)&&(COMPTEUR_17>=1)))||((CHOIX_1>=1)&&(COMPTEUR_6>=1)))||((CHOIX_0>=1)&&(COMPTEUR_16>=1)))||((CHOIX_1>=1)&&(COMPTEUR_5>=1)))||((CHOIX_0>=1)&&(COMPTEUR_19>=1)))||((CHOIX_1>=1)&&(COMPTEUR_4>=1)))||((CHOIX_0>=1)&&(COMPTEUR_18>=1)))||((CHOIX_1>=1)&&(COMPTEUR_3>=1)))")))))
Formula 0 simplified : !F(GF"(((((((((((((((((((((((((((((((((((((((((CHOIX_1<1)||(COMPTEUR_19<1))&&((CHOIX_1<1)||(COMPTEUR_2<1)))&&((CHOIX_1<1)||(COMPTEUR_1<1)))&&((CHOIX_1<1)||(COMPTEUR_0<1)))&&((CHOIX_0<1)||(COMPTEUR_0<1)))&&((CHOIX_0<1)||(COMPTEUR_1<1)))&&((CHOIX_0<1)||(COMPTEUR_3<1)))&&((CHOIX_0<1)||(COMPTEUR_2<1)))&&((CHOIX_0<1)||(COMPTEUR_5<1)))&&((CHOIX_1<1)||(COMPTEUR_18<1)))&&((CHOIX_0<1)||(COMPTEUR_4<1)))&&((CHOIX_1<1)||(COMPTEUR_17<1)))&&((CHOIX_0<1)||(COMPTEUR_7<1)))&&((CHOIX_1<1)||(COMPTEUR_16<1)))&&((CHOIX_0<1)||(COMPTEUR_6<1)))&&((CHOIX_1<1)||(COMPTEUR_15<1)))&&((CHOIX_0<1)||(COMPTEUR_9<1)))&&((CHOIX_1<1)||(COMPTEUR_14<1)))&&((CHOIX_0<1)||(COMPTEUR_8<1)))&&((CHOIX_1<1)||(COMPTEUR_13<1)))&&((CHOIX_0<1)||(COMPTEUR_11<1)))&&((CHOIX_1<1)||(COMPTEUR_12<1)))&&((CHOIX_0<1)||(COMPTEUR_10<1)))&&((CHOIX_1<1)||(COMPTEUR_11<1)))&&((CHOIX_0<1)||(COMPTEUR_13<1)))&&((CHOIX_1<1)||(COMPTEUR_10<1)))&&((CHOIX_0<1)||(COMPTEUR_12<1)))&&((CHOIX_1<1)||(COMPTEUR_9<1)))&&((CHOIX_0<1)||(COMPTEUR_15<1)))&&((CHOIX_1<1)||(COMPTEUR_8<1)))&&((CHOIX_0<1)||(COMPTEUR_14<1)))&&((CHOIX_1<1)||(COMPTEUR_7<1)))&&((CHOIX_0<1)||(COMPTEUR_17<1)))&&((CHOIX_1<1)||(COMPTEUR_6<1)))&&((CHOIX_0<1)||(COMPTEUR_16<1)))&&((CHOIX_1<1)||(COMPTEUR_5<1)))&&((CHOIX_0<1)||(COMPTEUR_19<1)))&&((CHOIX_1<1)||(COMPTEUR_4<1)))&&((CHOIX_0<1)||(COMPTEUR_18<1)))&&((CHOIX_1<1)||(COMPTEUR_3<1)))" | ("(((((((((((((((((((((((((((((((((((((((((CHOIX_1>=1)&&(COMPTEUR_19>=1))||((CHOIX_1>=1)&&(COMPTEUR_2>=1)))||((CHOIX_1>=1)&&(COMPTEUR_1>=1)))||((CHOIX_1>=1)&&(COMPTEUR_0>=1)))||((CHOIX_0>=1)&&(COMPTEUR_0>=1)))||((CHOIX_0>=1)&&(COMPTEUR_1>=1)))||((CHOIX_0>=1)&&(COMPTEUR_3>=1)))||((CHOIX_0>=1)&&(COMPTEUR_2>=1)))||((CHOIX_0>=1)&&(COMPTEUR_5>=1)))||((CHOIX_1>=1)&&(COMPTEUR_18>=1)))||((CHOIX_0>=1)&&(COMPTEUR_4>=1)))||((CHOIX_1>=1)&&(COMPTEUR_17>=1)))||((CHOIX_0>=1)&&(COMPTEUR_7>=1)))||((CHOIX_1>=1)&&(COMPTEUR_16>=1)))||((CHOIX_0>=1)&&(COMPTEUR_6>=1)))||((CHOIX_1>=1)&&(COMPTEUR_15>=1)))||((CHOIX_0>=1)&&(COMPTEUR_9>=1)))||((CHOIX_1>=1)&&(COMPTEUR_14>=1)))||((CHOIX_0>=1)&&(COMPTEUR_8>=1)))||((CHOIX_1>=1)&&(COMPTEUR_13>=1)))||((CHOIX_0>=1)&&(COMPTEUR_11>=1)))||((CHOIX_1>=1)&&(COMPTEUR_12>=1)))||((CHOIX_0>=1)&&(COMPTEUR_10>=1)))||((CHOIX_1>=1)&&(COMPTEUR_11>=1)))||((CHOIX_0>=1)&&(COMPTEUR_13>=1)))||((CHOIX_1>=1)&&(COMPTEUR_10>=1)))||((CHOIX_0>=1)&&(COMPTEUR_12>=1)))||((CHOIX_1>=1)&&(COMPTEUR_9>=1)))||((CHOIX_0>=1)&&(COMPTEUR_15>=1)))||((CHOIX_1>=1)&&(COMPTEUR_8>=1)))||((CHOIX_0>=1)&&(COMPTEUR_14>=1)))||((CHOIX_1>=1)&&(COMPTEUR_7>=1)))||((CHOIX_0>=1)&&(COMPTEUR_17>=1)))||((CHOIX_1>=1)&&(COMPTEUR_6>=1)))||((CHOIX_0>=1)&&(COMPTEUR_16>=1)))||((CHOIX_1>=1)&&(COMPTEUR_5>=1)))||((CHOIX_0>=1)&&(COMPTEUR_19>=1)))||((CHOIX_1>=1)&&(COMPTEUR_4>=1)))||((CHOIX_0>=1)&&(COMPTEUR_18>=1)))||((CHOIX_1>=1)&&(COMPTEUR_3>=1)))" & F"(((((((((((((((((((((((((((((((((((((((((CHOIX_1<1)||(COMPTEUR_19<1))&&((CHOIX_1<1)||(COMPTEUR_2<1)))&&((CHOIX_1<1)||(COMPTEUR_1<1)))&&((CHOIX_1<1)||(COMPTEUR_0<1)))&&((CHOIX_0<1)||(COMPTEUR_0<1)))&&((CHOIX_0<1)||(COMPTEUR_1<1)))&&((CHOIX_0<1)||(COMPTEUR_3<1)))&&((CHOIX_0<1)||(COMPTEUR_2<1)))&&((CHOIX_0<1)||(COMPTEUR_5<1)))&&((CHOIX_1<1)||(COMPTEUR_18<1)))&&((CHOIX_0<1)||(COMPTEUR_4<1)))&&((CHOIX_1<1)||(COMPTEUR_17<1)))&&((CHOIX_0<1)||(COMPTEUR_7<1)))&&((CHOIX_1<1)||(COMPTEUR_16<1)))&&((CHOIX_0<1)||(COMPTEUR_6<1)))&&((CHOIX_1<1)||(COMPTEUR_15<1)))&&((CHOIX_0<1)||(COMPTEUR_9<1)))&&((CHOIX_1<1)||(COMPTEUR_14<1)))&&((CHOIX_0<1)||(COMPTEUR_8<1)))&&((CHOIX_1<1)||(COMPTEUR_13<1)))&&((CHOIX_0<1)||(COMPTEUR_11<1)))&&((CHOIX_1<1)||(COMPTEUR_12<1)))&&((CHOIX_0<1)||(COMPTEUR_10<1)))&&((CHOIX_1<1)||(COMPTEUR_11<1)))&&((CHOIX_0<1)||(COMPTEUR_13<1)))&&((CHOIX_1<1)||(COMPTEUR_10<1)))&&((CHOIX_0<1)||(COMPTEUR_12<1)))&&((CHOIX_1<1)||(COMPTEUR_9<1)))&&((CHOIX_0<1)||(COMPTEUR_15<1)))&&((CHOIX_1<1)||(COMPTEUR_8<1)))&&((CHOIX_0<1)||(COMPTEUR_14<1)))&&((CHOIX_1<1)||(COMPTEUR_7<1)))&&((CHOIX_0<1)||(COMPTEUR_17<1)))&&((CHOIX_1<1)||(COMPTEUR_6<1)))&&((CHOIX_0<1)||(COMPTEUR_16<1)))&&((CHOIX_1<1)||(COMPTEUR_5<1)))&&((CHOIX_0<1)||(COMPTEUR_19<1)))&&((CHOIX_1<1)||(COMPTEUR_4<1)))&&((CHOIX_0<1)||(COMPTEUR_18<1)))&&((CHOIX_1<1)||(COMPTEUR_3<1)))"))
Detected timeout of ITS tools.
[2021-05-08 22:22:15] [INFO ] Flatten gal took : 58 ms
[2021-05-08 22:22:15] [INFO ] Applying decomposition
[2021-05-08 22:22:15] [INFO ] Flatten gal took : 40 ms
Converted graph to binary with : CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.louvain.binaries_1.0.0.202104292328/bin/convert-linux64, -i, /tmp/graph11726180153714911100.txt, -o, /tmp/graph11726180153714911100.bin, -w, /tmp/graph11726180153714911100.weights], workingDir=null]
Built communities with : CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.louvain.binaries_1.0.0.202104292328/bin/louvain-linux64, /tmp/graph11726180153714911100.bin, -l, -1, -v, -w, /tmp/graph11726180153714911100.weights, -q, 0, -e, 0.001], workingDir=null]
[2021-05-08 22:22:15] [INFO ] Decomposing Gal with order
[2021-05-08 22:22:15] [INFO ] Rewriting arrays to variables to allow decomposition.
[2021-05-08 22:22:15] [INFO ] Removed a total of 1715 redundant transitions.
[2021-05-08 22:22:15] [INFO ] Flatten gal took : 187 ms
[2021-05-08 22:22:15] [INFO ] Fuse similar labels procedure discarded/fused a total of 118 labels/synchronizations in 12 ms.
[2021-05-08 22:22:15] [INFO ] Time to serialize gal into /tmp/LTL14336007607982116704.gal : 2 ms
[2021-05-08 22:22:15] [INFO ] Time to serialize properties into /tmp/LTL11550272379892879465.ltl : 1 ms
Invoking ITS tools like this :CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64, --gc-threshold, 2000000, -i, /tmp/LTL14336007607982116704.gal, -t, CGAL, -LTL, /tmp/LTL11550272379892879465.ltl, -c, -stutter-deadlock], workingDir=/home/mcc/execution]

its-ltl command run as :

/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64 --gc-threshold 2000000 -i /tmp/LTL14336007607982116704.gal -t CGAL -LTL /tmp/LTL11550272379892879465.ltl -c -stutter-deadlock
Read 1 LTL properties
Checking formula 0 : !((F((G(F("(((((((((((((((((((((((((((((((((((((((((u2.CHOIX_1<1)||(u1.COMPTEUR_19<1))&&((u2.CHOIX_1<1)||(u1.COMPTEUR_2<1)))&&((u2.CHOIX_1<1)||(u1.COMPTEUR_1<1)))&&((u2.CHOIX_1<1)||(u1.COMPTEUR_0<1)))&&((u0.CHOIX_0<1)||(u1.COMPTEUR_0<1)))&&((u0.CHOIX_0<1)||(u1.COMPTEUR_1<1)))&&((u0.CHOIX_0<1)||(u1.COMPTEUR_3<1)))&&((u0.CHOIX_0<1)||(u1.COMPTEUR_2<1)))&&((u0.CHOIX_0<1)||(u1.COMPTEUR_5<1)))&&((u2.CHOIX_1<1)||(u1.COMPTEUR_18<1)))&&((u0.CHOIX_0<1)||(u1.COMPTEUR_4<1)))&&((u2.CHOIX_1<1)||(u1.COMPTEUR_17<1)))&&((u0.CHOIX_0<1)||(u1.COMPTEUR_7<1)))&&((u2.CHOIX_1<1)||(u1.COMPTEUR_16<1)))&&((u0.CHOIX_0<1)||(u1.COMPTEUR_6<1)))&&((u2.CHOIX_1<1)||(u1.COMPTEUR_15<1)))&&((u0.CHOIX_0<1)||(u1.COMPTEUR_9<1)))&&((u2.CHOIX_1<1)||(u1.COMPTEUR_14<1)))&&((u0.CHOIX_0<1)||(u1.COMPTEUR_8<1)))&&((u2.CHOIX_1<1)||(u1.COMPTEUR_13<1)))&&((u0.CHOIX_0<1)||(u1.COMPTEUR_11<1)))&&((u2.CHOIX_1<1)||(u1.COMPTEUR_12<1)))&&((u0.CHOIX_0<1)||(u1.COMPTEUR_10<1)))&&((u2.CHOIX_1<1)||(u1.COMPTEUR_11<1)))&&((u0.CHOIX_0<1)||(u1.COMPTEUR_13<1)))&&((u2.CHOIX_1<1)||(u1.COMPTEUR_10<1)))&&((u0.CHOIX_0<1)||(u1.COMPTEUR_12<1)))&&((u2.CHOIX_1<1)||(u1.COMPTEUR_9<1)))&&((u0.CHOIX_0<1)||(u1.COMPTEUR_15<1)))&&((u2.CHOIX_1<1)||(u1.COMPTEUR_8<1)))&&((u0.CHOIX_0<1)||(u1.COMPTEUR_14<1)))&&((u2.CHOIX_1<1)||(u1.COMPTEUR_7<1)))&&((u0.CHOIX_0<1)||(u1.COMPTEUR_17<1)))&&((u2.CHOIX_1<1)||(u1.COMPTEUR_6<1)))&&((u0.CHOIX_0<1)||(u1.COMPTEUR_16<1)))&&((u2.CHOIX_1<1)||(u1.COMPTEUR_5<1)))&&((u0.CHOIX_0<1)||(u1.COMPTEUR_19<1)))&&((u2.CHOIX_1<1)||(u1.COMPTEUR_4<1)))&&((u0.CHOIX_0<1)||(u1.COMPTEUR_18<1)))&&((u2.CHOIX_1<1)||(u1.COMPTEUR_3<1)))")))||((F("(((((((((((((((((((((((((((((((((((((((((u2.CHOIX_1<1)||(u1.COMPTEUR_19<1))&&((u2.CHOIX_1<1)||(u1.COMPTEUR_2<1)))&&((u2.CHOIX_1<1)||(u1.COMPTEUR_1<1)))&&((u2.CHOIX_1<1)||(u1.COMPTEUR_0<1)))&&((u0.CHOIX_0<1)||(u1.COMPTEUR_0<1)))&&((u0.CHOIX_0<1)||(u1.COMPTEUR_1<1)))&&((u0.CHOIX_0<1)||(u1.COMPTEUR_3<1)))&&((u0.CHOIX_0<1)||(u1.COMPTEUR_2<1)))&&((u0.CHOIX_0<1)||(u1.COMPTEUR_5<1)))&&((u2.CHOIX_1<1)||(u1.COMPTEUR_18<1)))&&((u0.CHOIX_0<1)||(u1.COMPTEUR_4<1)))&&((u2.CHOIX_1<1)||(u1.COMPTEUR_17<1)))&&((u0.CHOIX_0<1)||(u1.COMPTEUR_7<1)))&&((u2.CHOIX_1<1)||(u1.COMPTEUR_16<1)))&&((u0.CHOIX_0<1)||(u1.COMPTEUR_6<1)))&&((u2.CHOIX_1<1)||(u1.COMPTEUR_15<1)))&&((u0.CHOIX_0<1)||(u1.COMPTEUR_9<1)))&&((u2.CHOIX_1<1)||(u1.COMPTEUR_14<1)))&&((u0.CHOIX_0<1)||(u1.COMPTEUR_8<1)))&&((u2.CHOIX_1<1)||(u1.COMPTEUR_13<1)))&&((u0.CHOIX_0<1)||(u1.COMPTEUR_11<1)))&&((u2.CHOIX_1<1)||(u1.COMPTEUR_12<1)))&&((u0.CHOIX_0<1)||(u1.COMPTEUR_10<1)))&&((u2.CHOIX_1<1)||(u1.COMPTEUR_11<1)))&&((u0.CHOIX_0<1)||(u1.COMPTEUR_13<1)))&&((u2.CHOIX_1<1)||(u1.COMPTEUR_10<1)))&&((u0.CHOIX_0<1)||(u1.COMPTEUR_12<1)))&&((u2.CHOIX_1<1)||(u1.COMPTEUR_9<1)))&&((u0.CHOIX_0<1)||(u1.COMPTEUR_15<1)))&&((u2.CHOIX_1<1)||(u1.COMPTEUR_8<1)))&&((u0.CHOIX_0<1)||(u1.COMPTEUR_14<1)))&&((u2.CHOIX_1<1)||(u1.COMPTEUR_7<1)))&&((u0.CHOIX_0<1)||(u1.COMPTEUR_17<1)))&&((u2.CHOIX_1<1)||(u1.COMPTEUR_6<1)))&&((u0.CHOIX_0<1)||(u1.COMPTEUR_16<1)))&&((u2.CHOIX_1<1)||(u1.COMPTEUR_5<1)))&&((u0.CHOIX_0<1)||(u1.COMPTEUR_19<1)))&&((u2.CHOIX_1<1)||(u1.COMPTEUR_4<1)))&&((u0.CHOIX_0<1)||(u1.COMPTEUR_18<1)))&&((u2.CHOIX_1<1)||(u1.COMPTEUR_3<1)))"))&&("(((((((((((((((((((((((((((((((((((((((((u2.CHOIX_1>=1)&&(u1.COMPTEUR_19>=1))||((u2.CHOIX_1>=1)&&(u1.COMPTEUR_2>=1)))||((u2.CHOIX_1>=1)&&(u1.COMPTEUR_1>=1)))||((u2.CHOIX_1>=1)&&(u1.COMPTEUR_0>=1)))||((u0.CHOIX_0>=1)&&(u1.COMPTEUR_0>=1)))||((u0.CHOIX_0>=1)&&(u1.COMPTEUR_1>=1)))||((u0.CHOIX_0>=1)&&(u1.COMPTEUR_3>=1)))||((u0.CHOIX_0>=1)&&(u1.COMPTEUR_2>=1)))||((u0.CHOIX_0>=1)&&(u1.COMPTEUR_5>=1)))||((u2.CHOIX_1>=1)&&(u1.COMPTEUR_18>=1)))||((u0.CHOIX_0>=1)&&(u1.COMPTEUR_4>=1)))||((u2.CHOIX_1>=1)&&(u1.COMPTEUR_17>=1)))||((u0.CHOIX_0>=1)&&(u1.COMPTEUR_7>=1)))||((u2.CHOIX_1>=1)&&(u1.COMPTEUR_16>=1)))||((u0.CHOIX_0>=1)&&(u1.COMPTEUR_6>=1)))||((u2.CHOIX_1>=1)&&(u1.COMPTEUR_15>=1)))||((u0.CHOIX_0>=1)&&(u1.COMPTEUR_9>=1)))||((u2.CHOIX_1>=1)&&(u1.COMPTEUR_14>=1)))||((u0.CHOIX_0>=1)&&(u1.COMPTEUR_8>=1)))||((u2.CHOIX_1>=1)&&(u1.COMPTEUR_13>=1)))||((u0.CHOIX_0>=1)&&(u1.COMPTEUR_11>=1)))||((u2.CHOIX_1>=1)&&(u1.COMPTEUR_12>=1)))||((u0.CHOIX_0>=1)&&(u1.COMPTEUR_10>=1)))||((u2.CHOIX_1>=1)&&(u1.COMPTEUR_11>=1)))||((u0.CHOIX_0>=1)&&(u1.COMPTEUR_13>=1)))||((u2.CHOIX_1>=1)&&(u1.COMPTEUR_10>=1)))||((u0.CHOIX_0>=1)&&(u1.COMPTEUR_12>=1)))||((u2.CHOIX_1>=1)&&(u1.COMPTEUR_9>=1)))||((u0.CHOIX_0>=1)&&(u1.COMPTEUR_15>=1)))||((u2.CHOIX_1>=1)&&(u1.COMPTEUR_8>=1)))||((u0.CHOIX_0>=1)&&(u1.COMPTEUR_14>=1)))||((u2.CHOIX_1>=1)&&(u1.COMPTEUR_7>=1)))||((u0.CHOIX_0>=1)&&(u1.COMPTEUR_17>=1)))||((u2.CHOIX_1>=1)&&(u1.COMPTEUR_6>=1)))||((u0.CHOIX_0>=1)&&(u1.COMPTEUR_16>=1)))||((u2.CHOIX_1>=1)&&(u1.COMPTEUR_5>=1)))||((u0.CHOIX_0>=1)&&(u1.COMPTEUR_19>=1)))||((u2.CHOIX_1>=1)&&(u1.COMPTEUR_4>=1)))||((u0.CHOIX_0>=1)&&(u1.COMPTEUR_18>=1)))||((u2.CHOIX_1>=1)&&(u1.COMPTEUR_3>=1)))")))))
Formula 0 simplified : !F(GF"(((((((((((((((((((((((((((((((((((((((((u2.CHOIX_1<1)||(u1.COMPTEUR_19<1))&&((u2.CHOIX_1<1)||(u1.COMPTEUR_2<1)))&&((u2.CHOIX_1<1)||(u1.COMPTEUR_1<1)))&&((u2.CHOIX_1<1)||(u1.COMPTEUR_0<1)))&&((u0.CHOIX_0<1)||(u1.COMPTEUR_0<1)))&&((u0.CHOIX_0<1)||(u1.COMPTEUR_1<1)))&&((u0.CHOIX_0<1)||(u1.COMPTEUR_3<1)))&&((u0.CHOIX_0<1)||(u1.COMPTEUR_2<1)))&&((u0.CHOIX_0<1)||(u1.COMPTEUR_5<1)))&&((u2.CHOIX_1<1)||(u1.COMPTEUR_18<1)))&&((u0.CHOIX_0<1)||(u1.COMPTEUR_4<1)))&&((u2.CHOIX_1<1)||(u1.COMPTEUR_17<1)))&&((u0.CHOIX_0<1)||(u1.COMPTEUR_7<1)))&&((u2.CHOIX_1<1)||(u1.COMPTEUR_16<1)))&&((u0.CHOIX_0<1)||(u1.COMPTEUR_6<1)))&&((u2.CHOIX_1<1)||(u1.COMPTEUR_15<1)))&&((u0.CHOIX_0<1)||(u1.COMPTEUR_9<1)))&&((u2.CHOIX_1<1)||(u1.COMPTEUR_14<1)))&&((u0.CHOIX_0<1)||(u1.COMPTEUR_8<1)))&&((u2.CHOIX_1<1)||(u1.COMPTEUR_13<1)))&&((u0.CHOIX_0<1)||(u1.COMPTEUR_11<1)))&&((u2.CHOIX_1<1)||(u1.COMPTEUR_12<1)))&&((u0.CHOIX_0<1)||(u1.COMPTEUR_10<1)))&&((u2.CHOIX_1<1)||(u1.COMPTEUR_11<1)))&&((u0.CHOIX_0<1)||(u1.COMPTEUR_13<1)))&&((u2.CHOIX_1<1)||(u1.COMPTEUR_10<1)))&&((u0.CHOIX_0<1)||(u1.COMPTEUR_12<1)))&&((u2.CHOIX_1<1)||(u1.COMPTEUR_9<1)))&&((u0.CHOIX_0<1)||(u1.COMPTEUR_15<1)))&&((u2.CHOIX_1<1)||(u1.COMPTEUR_8<1)))&&((u0.CHOIX_0<1)||(u1.COMPTEUR_14<1)))&&((u2.CHOIX_1<1)||(u1.COMPTEUR_7<1)))&&((u0.CHOIX_0<1)||(u1.COMPTEUR_17<1)))&&((u2.CHOIX_1<1)||(u1.COMPTEUR_6<1)))&&((u0.CHOIX_0<1)||(u1.COMPTEUR_16<1)))&&((u2.CHOIX_1<1)||(u1.COMPTEUR_5<1)))&&((u0.CHOIX_0<1)||(u1.COMPTEUR_19<1)))&&((u2.CHOIX_1<1)||(u1.COMPTEUR_4<1)))&&((u0.CHOIX_0<1)||(u1.COMPTEUR_18<1)))&&((u2.CHOIX_1<1)||(u1.COMPTEUR_3<1)))" | ("(((((((((((((((((((((((((((((((((((((((((u2.CHOIX_1>=1)&&(u1.COMPTEUR_19>=1))||((u2.CHOIX_1>=1)&&(u1.COMPTEUR_2>=1)))||((u2.CHOIX_1>=1)&&(u1.COMPTEUR_1>=1)))||((u2.CHOIX_1>=1)&&(u1.COMPTEUR_0>=1)))||((u0.CHOIX_0>=1)&&(u1.COMPTEUR_0>=1)))||((u0.CHOIX_0>=1)&&(u1.COMPTEUR_1>=1)))||((u0.CHOIX_0>=1)&&(u1.COMPTEUR_3>=1)))||((u0.CHOIX_0>=1)&&(u1.COMPTEUR_2>=1)))||((u0.CHOIX_0>=1)&&(u1.COMPTEUR_5>=1)))||((u2.CHOIX_1>=1)&&(u1.COMPTEUR_18>=1)))||((u0.CHOIX_0>=1)&&(u1.COMPTEUR_4>=1)))||((u2.CHOIX_1>=1)&&(u1.COMPTEUR_17>=1)))||((u0.CHOIX_0>=1)&&(u1.COMPTEUR_7>=1)))||((u2.CHOIX_1>=1)&&(u1.COMPTEUR_16>=1)))||((u0.CHOIX_0>=1)&&(u1.COMPTEUR_6>=1)))||((u2.CHOIX_1>=1)&&(u1.COMPTEUR_15>=1)))||((u0.CHOIX_0>=1)&&(u1.COMPTEUR_9>=1)))||((u2.CHOIX_1>=1)&&(u1.COMPTEUR_14>=1)))||((u0.CHOIX_0>=1)&&(u1.COMPTEUR_8>=1)))||((u2.CHOIX_1>=1)&&(u1.COMPTEUR_13>=1)))||((u0.CHOIX_0>=1)&&(u1.COMPTEUR_11>=1)))||((u2.CHOIX_1>=1)&&(u1.COMPTEUR_12>=1)))||((u0.CHOIX_0>=1)&&(u1.COMPTEUR_10>=1)))||((u2.CHOIX_1>=1)&&(u1.COMPTEUR_11>=1)))||((u0.CHOIX_0>=1)&&(u1.COMPTEUR_13>=1)))||((u2.CHOIX_1>=1)&&(u1.COMPTEUR_10>=1)))||((u0.CHOIX_0>=1)&&(u1.COMPTEUR_12>=1)))||((u2.CHOIX_1>=1)&&(u1.COMPTEUR_9>=1)))||((u0.CHOIX_0>=1)&&(u1.COMPTEUR_15>=1)))||((u2.CHOIX_1>=1)&&(u1.COMPTEUR_8>=1)))||((u0.CHOIX_0>=1)&&(u1.COMPTEUR_14>=1)))||((u2.CHOIX_1>=1)&&(u1.COMPTEUR_7>=1)))||((u0.CHOIX_0>=1)&&(u1.COMPTEUR_17>=1)))||((u2.CHOIX_1>=1)&&(u1.COMPTEUR_6>=1)))||((u0.CHOIX_0>=1)&&(u1.COMPTEUR_16>=1)))||((u2.CHOIX_1>=1)&&(u1.COMPTEUR_5>=1)))||((u0.CHOIX_0>=1)&&(u1.COMPTEUR_19>=1)))||((u2.CHOIX_1>=1)&&(u1.COMPTEUR_4>=1)))||((u0.CHOIX_0>=1)&&(u1.COMPTEUR_18>=1)))||((u2.CHOIX_1>=1)&&(u1.COMPTEUR_3>=1)))" & F"(((((((((((((((((((((((((((((((((((((((((u2.CHOIX_1<1)||(u1.COMPTEUR_19<1))&&((u2.CHOIX_1<1)||(u1.COMPTEUR_2<1)))&&((u2.CHOIX_1<1)||(u1.COMPTEUR_1<1)))&&((u2.CHOIX_1<1)||(u1.COMPTEUR_0<1)))&&((u0.CHOIX_0<1)||(u1.COMPTEUR_0<1)))&&((u0.CHOIX_0<1)||(u1.COMPTEUR_1<1)))&&((u0.CHOIX_0<1)||(u1.COMPTEUR_3<1)))&&((u0.CHOIX_0<1)||(u1.COMPTEUR_2<1)))&&((u0.CHOIX_0<1)||(u1.COMPTEUR_5<1)))&&((u2.CHOIX_1<1)||(u1.COMPTEUR_18<1)))&&((u0.CHOIX_0<1)||(u1.COMPTEUR_4<1)))&&((u2.CHOIX_1<1)||(u1.COMPTEUR_17<1)))&&((u0.CHOIX_0<1)||(u1.COMPTEUR_7<1)))&&((u2.CHOIX_1<1)||(u1.COMPTEUR_16<1)))&&((u0.CHOIX_0<1)||(u1.COMPTEUR_6<1)))&&((u2.CHOIX_1<1)||(u1.COMPTEUR_15<1)))&&((u0.CHOIX_0<1)||(u1.COMPTEUR_9<1)))&&((u2.CHOIX_1<1)||(u1.COMPTEUR_14<1)))&&((u0.CHOIX_0<1)||(u1.COMPTEUR_8<1)))&&((u2.CHOIX_1<1)||(u1.COMPTEUR_13<1)))&&((u0.CHOIX_0<1)||(u1.COMPTEUR_11<1)))&&((u2.CHOIX_1<1)||(u1.COMPTEUR_12<1)))&&((u0.CHOIX_0<1)||(u1.COMPTEUR_10<1)))&&((u2.CHOIX_1<1)||(u1.COMPTEUR_11<1)))&&((u0.CHOIX_0<1)||(u1.COMPTEUR_13<1)))&&((u2.CHOIX_1<1)||(u1.COMPTEUR_10<1)))&&((u0.CHOIX_0<1)||(u1.COMPTEUR_12<1)))&&((u2.CHOIX_1<1)||(u1.COMPTEUR_9<1)))&&((u0.CHOIX_0<1)||(u1.COMPTEUR_15<1)))&&((u2.CHOIX_1<1)||(u1.COMPTEUR_8<1)))&&((u0.CHOIX_0<1)||(u1.COMPTEUR_14<1)))&&((u2.CHOIX_1<1)||(u1.COMPTEUR_7<1)))&&((u0.CHOIX_0<1)||(u1.COMPTEUR_17<1)))&&((u2.CHOIX_1<1)||(u1.COMPTEUR_6<1)))&&((u0.CHOIX_0<1)||(u1.COMPTEUR_16<1)))&&((u2.CHOIX_1<1)||(u1.COMPTEUR_5<1)))&&((u0.CHOIX_0<1)||(u1.COMPTEUR_19<1)))&&((u2.CHOIX_1<1)||(u1.COMPTEUR_4<1)))&&((u0.CHOIX_0<1)||(u1.COMPTEUR_18<1)))&&((u2.CHOIX_1<1)||(u1.COMPTEUR_3<1)))"))
Detected timeout of ITS tools.
Built C files in :
/tmp/ltsmin7635418811113230473
[2021-05-08 22:22:30] [INFO ] Built C files in 30ms conformant to PINS (ltsmin variant)in folder :/tmp/ltsmin7635418811113230473
Running compilation step : CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/include/, -I., -std=c99, -fPIC, -O2, model.c], workingDir=/tmp/ltsmin7635418811113230473]
WARNING : LTS min runner thread failed on error :java.lang.RuntimeException: Compilation or link of executable timed out.java.util.concurrent.TimeoutException: Subprocess running CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/include/, -I., -std=c99, -fPIC, -O2, model.c], workingDir=/tmp/ltsmin7635418811113230473] killed by timeout after 1 SECONDS
java.lang.RuntimeException: Compilation or link of executable timed out.java.util.concurrent.TimeoutException: Subprocess running CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/include/, -I., -std=c99, -fPIC, -O2, model.c], workingDir=/tmp/ltsmin7635418811113230473] killed by timeout after 1 SECONDS
at fr.lip6.move.gal.application.LTSminRunner$1.run(LTSminRunner.java:79)
at java.base/java.lang.Thread.run(Thread.java:834)
Treatment of property BridgeAndVehicles-COL-V20P20N20-08 finished in 34294 ms.
Running Spot : CommandLine [args=[/home/mcc/BenchKit/bin//..//ltl2tgba, --hoaf=tv, -f, !((G(p0)||X(G(p1))))], workingDir=/home/mcc/execution]
Support contains 24 out of 76 places. Attempting structural reductions.
Starting structural reductions, iteration 0 : 76/76 places, 968/968 transitions.
Applied a total of 0 rules in 8 ms. Remains 76 /76 variables (removed 0) and now considering 968/968 (removed 0) transitions.
[2021-05-08 22:22:32] [INFO ] Flow matrix only has 170 transitions (discarded 798 similar events)
// Phase 1: matrix 170 rows 76 cols
[2021-05-08 22:22:32] [INFO ] Computed 5 place invariants in 3 ms
[2021-05-08 22:22:32] [INFO ] Dead Transitions using invariants and state equation in 336 ms returned []
[2021-05-08 22:22:32] [INFO ] Flow matrix only has 170 transitions (discarded 798 similar events)
// Phase 1: matrix 170 rows 76 cols
[2021-05-08 22:22:32] [INFO ] Computed 5 place invariants in 1 ms
[2021-05-08 22:22:32] [INFO ] Implicit Places using invariants in 116 ms returned []
[2021-05-08 22:22:32] [INFO ] Flow matrix only has 170 transitions (discarded 798 similar events)
// Phase 1: matrix 170 rows 76 cols
[2021-05-08 22:22:32] [INFO ] Computed 5 place invariants in 2 ms
[2021-05-08 22:22:32] [INFO ] State equation strengthened by 42 read => feed constraints.
[2021-05-08 22:22:32] [INFO ] Implicit Places using invariants and state equation in 241 ms returned [23]
Discarding 1 places :
Implicit Place search using SMT with State Equation took 363 ms to find 1 implicit places.
[2021-05-08 22:22:32] [INFO ] Flow matrix only has 170 transitions (discarded 798 similar events)
// Phase 1: matrix 170 rows 75 cols
[2021-05-08 22:22:32] [INFO ] Computed 5 place invariants in 2 ms
[2021-05-08 22:22:33] [INFO ] Dead Transitions using invariants and state equation in 362 ms returned []
Starting structural reductions, iteration 1 : 75/76 places, 968/968 transitions.
Applied a total of 0 rules in 3 ms. Remains 75 /75 variables (removed 0) and now considering 968/968 (removed 0) transitions.
[2021-05-08 22:22:33] [INFO ] Flow matrix only has 170 transitions (discarded 798 similar events)
// Phase 1: matrix 170 rows 75 cols
[2021-05-08 22:22:33] [INFO ] Computed 5 place invariants in 1 ms
[2021-05-08 22:22:33] [INFO ] Dead Transitions using invariants and state equation in 276 ms returned []
Finished structural reductions, in 2 iterations. Remains : 75/76 places, 968/968 transitions.
Stuttering acceptance computed with spot in 112 ms :[true, (AND (NOT p0) (NOT p1)), (NOT p1), (AND (NOT p1) (NOT p0)), (NOT p0)]
Running random walk in product with property : BridgeAndVehicles-COL-V20P20N20-11 automaton TGBA [mat=[[{ cond=true, acceptance={0} source=0 dest: 0}], [{ cond=(NOT p0), acceptance={} source=1 dest: 2}, { cond=p0, acceptance={} source=1 dest: 3}], [{ cond=(NOT p1), acceptance={} source=2 dest: 0}, { cond=p1, acceptance={} source=2 dest: 2}], [{ cond=(AND (NOT p0) (NOT p1)), acceptance={} source=3 dest: 0}, { cond=(AND (NOT p0) p1), acceptance={} source=3 dest: 2}, { cond=(AND p0 p1), acceptance={} source=3 dest: 3}, { cond=(AND p0 (NOT p1)), acceptance={} source=3 dest: 4}], [{ cond=(NOT p0), acceptance={} source=4 dest: 0}, { cond=p0, acceptance={} source=4 dest: 4}]], initial=1, aps=[p0:(GEQ s23 1), p1:(AND (OR (LT s0 1) (LT s28 1) (LT s46 1) (LT s49 1)) (OR (LT s0 1) (LT s35 1) (LT s46 1) (LT s49 1)) (OR (LT s0 1) (LT s40 1) (LT s46 1) (LT s49 1)) (OR (LT s0 1) (LT s29 1) (LT s46 1) (LT s49 1)) (OR (LT s0 1) (LT s42 1) (LT s46 1) (LT s49 1)) (OR (LT s0 1) (LT s30 1) (LT s46 1) (LT s49 1)) (OR (LT s0 1) (LT s39 1) (LT s46 1) (LT s49 1)) (OR (LT s0 1) (LT s43 1) (LT s46 1) (LT s49 1)) (OR (LT s0 1) (LT s34 1) (LT s46 1) (LT s49 1)) (OR (LT s0 1) (LT s44 1) (LT s46 1) (LT s49 1)) (OR (LT s0 1) (LT s25 1) (LT s46 1) (LT s49 1)) (OR (LT s0 1) (LT s38 1) (LT s46 1) (LT s49 1)) (OR (LT s0 1) (LT s26 1) (LT s46 1) (LT s49 1)) (OR (LT s0 1) (LT s37 1) (LT s46 1) (LT s49 1)) (OR (LT s0 1) (LT s31 1) (LT s46 1) (LT s49 1)) (OR (LT s0 1) (LT s27 1) (LT s46 1) (LT s49 1)) (OR (LT s0 1) (LT s33 1) (LT s46 1) (LT s49 1)) (OR (LT s0 1) (LT s36 1) (LT s46 1) (LT s49 1)) (OR (LT s0 1) (LT s32 1) (LT s46 1) (LT s49 1)) (OR (LT s0 1) (LT s41 1) (LT s46 1) (LT s49 1)))], nbAcceptance=1, properties=[trans-labels, explicit-labels, trans-acc, complete, deterministic, no-univ-branch, unambiguous, semi-deterministic, terminal, very-weak, weak, inherently-weak], stateDesc=[null, null, null, null, null][false, false, false, false, false]]
Entered a terminal (fully accepting) state of product in 7 steps with 0 reset in 0 ms.
FORMULA BridgeAndVehicles-COL-V20P20N20-11 FALSE TECHNIQUES STUTTER_TEST
Treatment of property BridgeAndVehicles-COL-V20P20N20-11 finished in 1508 ms.
Running Spot : CommandLine [args=[/home/mcc/BenchKit/bin//..//ltl2tgba, --hoaf=tv, -f, !(F((F(!p1)&&F(!p2)&&p0)))], workingDir=/home/mcc/execution]
Support contains 29 out of 76 places. Attempting structural reductions.
Starting structural reductions, iteration 0 : 76/76 places, 968/968 transitions.
Applied a total of 0 rules in 11 ms. Remains 76 /76 variables (removed 0) and now considering 968/968 (removed 0) transitions.
[2021-05-08 22:22:33] [INFO ] Flow matrix only has 170 transitions (discarded 798 similar events)
// Phase 1: matrix 170 rows 76 cols
[2021-05-08 22:22:33] [INFO ] Computed 5 place invariants in 2 ms
[2021-05-08 22:22:33] [INFO ] Dead Transitions using invariants and state equation in 252 ms returned []
[2021-05-08 22:22:33] [INFO ] Flow matrix only has 170 transitions (discarded 798 similar events)
// Phase 1: matrix 170 rows 76 cols
[2021-05-08 22:22:33] [INFO ] Computed 5 place invariants in 3 ms
[2021-05-08 22:22:33] [INFO ] Implicit Places using invariants in 144 ms returned []
[2021-05-08 22:22:33] [INFO ] Flow matrix only has 170 transitions (discarded 798 similar events)
// Phase 1: matrix 170 rows 76 cols
[2021-05-08 22:22:33] [INFO ] Computed 5 place invariants in 1 ms
[2021-05-08 22:22:34] [INFO ] State equation strengthened by 42 read => feed constraints.
[2021-05-08 22:22:34] [INFO ] Implicit Places using invariants and state equation in 232 ms returned [23]
Discarding 1 places :
Implicit Place search using SMT with State Equation took 379 ms to find 1 implicit places.
[2021-05-08 22:22:34] [INFO ] Redundant transitions in 7 ms returned []
[2021-05-08 22:22:34] [INFO ] Flow matrix only has 170 transitions (discarded 798 similar events)
// Phase 1: matrix 170 rows 75 cols
[2021-05-08 22:22:34] [INFO ] Computed 5 place invariants in 2 ms
[2021-05-08 22:22:34] [INFO ] Dead Transitions using invariants and state equation in 323 ms returned []
Starting structural reductions, iteration 1 : 75/76 places, 968/968 transitions.
Applied a total of 0 rules in 7 ms. Remains 75 /75 variables (removed 0) and now considering 968/968 (removed 0) transitions.
[2021-05-08 22:22:34] [INFO ] Redundant transitions in 7 ms returned []
[2021-05-08 22:22:34] [INFO ] Flow matrix only has 170 transitions (discarded 798 similar events)
// Phase 1: matrix 170 rows 75 cols
[2021-05-08 22:22:34] [INFO ] Computed 5 place invariants in 2 ms
[2021-05-08 22:22:34] [INFO ] Dead Transitions using invariants and state equation in 262 ms returned []
Finished structural reductions, in 2 iterations. Remains : 75/76 places, 968/968 transitions.
Stuttering acceptance computed with spot in 96 ms :[(OR (NOT p0) p1 p2), p2, p1]
Running random walk in product with property : BridgeAndVehicles-COL-V20P20N20-12 automaton TGBA [mat=[[{ cond=(NOT p0), acceptance={} source=0 dest: 0}, { cond=(AND p0 p2), acceptance={} source=0 dest: 1}, { cond=(AND p0 p1), acceptance={} source=0 dest: 2}], [{ cond=p2, acceptance={} source=1 dest: 1}], [{ cond=p1, acceptance={} source=2 dest: 2}]], initial=0, aps=[p0:(AND (OR (LT s0 20) (LT s74 1)) (OR (LT s0 20) (LT s73 1)) (OR (AND (GEQ s50 1) (GEQ s72 1)) (AND (GEQ s51 1) (GEQ s72 1)))), p2:(AND (OR (LT s0 1) (LT s28 1) (LT s46 1) (LT s49 1)) (OR (LT s0 1) (LT s35 1) (LT s46 1) (LT s49 1)) (OR (LT s0 1) (LT s40 1) (LT s46 1) (LT s49 1)) (OR (LT s0 1) (LT s29 1) (LT s46 1) (LT s49 1)) (OR (LT s0 1) (LT s42 1) (LT s46 1) (LT s49 1)) (OR (LT s0 1) (LT s30 1) (LT s46 1) (LT s49 1)) (OR (LT s0 1) (LT s39 1) (LT s46 1) (LT s49 1)) (OR (LT s0 1) (LT s43 1) (LT s46 1) (LT s49 1)) (OR (LT s0 1) (LT s34 1) (LT s46 1) (LT s49 1)) (OR (LT s0 1) (LT s44 1) (LT s46 1) (LT s49 1)) (OR (LT s0 1) (LT s25 1) (LT s46 1) (LT s49 1)) (OR (LT s0 1) (LT s38 1) (LT s46 1) (LT s49 1)) (OR (LT s0 1) (LT s26 1) (LT s46 1) (LT s49 1)) (OR (LT s0 1) (LT s37 1) (LT s46 1) (LT s49 1)) (OR (LT s0 1) (LT s31 1) (LT s46 1) (LT s49 1)) (OR (LT s0 1) (LT s27 1) (LT s46 1) (LT s49 1)) (OR (LT s0 1) (LT s33 1) (LT s46 1) (LT s49 1)) (OR (LT s0 1) (LT s36 1) (LT s46 1) (LT s49 1)) (OR (LT s0 1) (LT s32 1) (LT s46 1) (LT s49 1)) (OR (LT s0 1) (LT s41 1) (LT s46 1) (LT s49 1))), p1:(GEQ s23 1)], nbAcceptance=0, properties=[trans-labels, explicit-labels, trans-acc, no-univ-branch, stutter-invariant, very-weak, weak, inherently-weak], stateDesc=[null, null, null][true, true, true]]
Stuttering criterion allowed to conclude after 889 steps with 7 reset in 5 ms.
FORMULA BridgeAndVehicles-COL-V20P20N20-12 FALSE TECHNIQUES STUTTER_TEST
Treatment of property BridgeAndVehicles-COL-V20P20N20-12 finished in 1392 ms.
Running Spot : CommandLine [args=[/home/mcc/BenchKit/bin//..//ltl2tgba, --hoaf=tv, -f, !((F(p0)||X((p1 U (p2||G(p1))))))], workingDir=/home/mcc/execution]
Support contains 45 out of 76 places. Attempting structural reductions.
Starting structural reductions, iteration 0 : 76/76 places, 968/968 transitions.
Applied a total of 0 rules in 2 ms. Remains 76 /76 variables (removed 0) and now considering 968/968 (removed 0) transitions.
[2021-05-08 22:22:34] [INFO ] Flow matrix only has 170 transitions (discarded 798 similar events)
// Phase 1: matrix 170 rows 76 cols
[2021-05-08 22:22:34] [INFO ] Computed 5 place invariants in 2 ms
[2021-05-08 22:22:35] [INFO ] Dead Transitions using invariants and state equation in 319 ms returned []
[2021-05-08 22:22:35] [INFO ] Flow matrix only has 170 transitions (discarded 798 similar events)
// Phase 1: matrix 170 rows 76 cols
[2021-05-08 22:22:35] [INFO ] Computed 5 place invariants in 1 ms
[2021-05-08 22:22:35] [INFO ] Implicit Places using invariants in 67 ms returned []
[2021-05-08 22:22:35] [INFO ] Flow matrix only has 170 transitions (discarded 798 similar events)
// Phase 1: matrix 170 rows 76 cols
[2021-05-08 22:22:35] [INFO ] Computed 5 place invariants in 1 ms
[2021-05-08 22:22:35] [INFO ] State equation strengthened by 42 read => feed constraints.
[2021-05-08 22:22:35] [INFO ] Implicit Places using invariants and state equation in 182 ms returned [23, 47]
Discarding 2 places :
Implicit Place search using SMT with State Equation took 252 ms to find 2 implicit places.
[2021-05-08 22:22:35] [INFO ] Flow matrix only has 170 transitions (discarded 798 similar events)
// Phase 1: matrix 170 rows 74 cols
[2021-05-08 22:22:35] [INFO ] Computed 5 place invariants in 1 ms
[2021-05-08 22:22:35] [INFO ] Dead Transitions using invariants and state equation in 271 ms returned []
Starting structural reductions, iteration 1 : 74/76 places, 968/968 transitions.
Applied a total of 0 rules in 3 ms. Remains 74 /74 variables (removed 0) and now considering 968/968 (removed 0) transitions.
[2021-05-08 22:22:35] [INFO ] Flow matrix only has 170 transitions (discarded 798 similar events)
// Phase 1: matrix 170 rows 74 cols
[2021-05-08 22:22:35] [INFO ] Computed 5 place invariants in 1 ms
[2021-05-08 22:22:36] [INFO ] Dead Transitions using invariants and state equation in 370 ms returned []
Finished structural reductions, in 2 iterations. Remains : 74/76 places, 968/968 transitions.
Stuttering acceptance computed with spot in 76 ms :[(NOT p0), (AND (NOT p0) (NOT p2) (NOT p1)), (AND (NOT p0) (NOT p2) (NOT p1))]
Running random walk in product with property : BridgeAndVehicles-COL-V20P20N20-13 automaton TGBA [mat=[[{ cond=(NOT p0), acceptance={0} source=0 dest: 0}], [{ cond=(NOT p0), acceptance={} source=1 dest: 2}], [{ cond=(AND (NOT p0) (NOT p2) (NOT p1)), acceptance={} source=2 dest: 0}, { cond=(AND (NOT p0) (NOT p2) p1), acceptance={} source=2 dest: 2}]], initial=1, aps=[p0:(GEQ s23 1), p2:(AND (OR (LT s5 1) (LT s22 1)) (OR (LT s10 1) (LT s22 1)) (OR (LT s20 1) (LT s22 1)) (OR (LT s3 1) (LT s22 1)) (OR (LT s7 1) (LT s22 1)) (OR (LT s2 1) (LT s22 1)) (OR (LT s13 1) (LT s22 1)) (OR (LT s19 1) (LT s22 1)) (OR (LT s17 1) (LT s22 1)) (OR (LT s15 1) (LT s22 1)) (OR (LT s16 1) (LT s22 1)) (OR (LT s21 1) (LT s22 1)) (OR (LT s11 1) (LT s22 1)) (OR (LT s9 1) (LT s22 1)) (OR (LT s4 1) (LT s22 1)) (OR (LT s6 1) (LT s22 1)) (OR (LT s8 1) (LT s22 1)) (OR (LT s18 1) (LT s22 1)) (OR (LT s1 1) (LT s22 1)) (OR (LT s12 1) (LT s22 1)) (OR (LT s14 1) (LT s22 1)) (OR (LT s50 1) (LT s70 1)) (OR (LT s50 1) (LT s53 1)) (OR (LT s50 1) (LT s52 1)) (OR (LT s50 1) (LT s51 1)) (OR (LT s49 1) (LT s51 1)) (OR (LT s49 1) (LT s52 1)) (OR (LT s49 1) (LT s54 1)) (OR (LT s49 1) (LT s53 1)) (OR (LT s49 1) (LT s56 1)) (OR (LT s50 1) (LT s69 1)) (OR (LT s49 1) (LT s55 1)) (OR (LT s50 1) (LT s68 1)) (OR (LT s49 1) (LT s58 1)) (OR (LT s50 1) (LT s67 1)) (OR (LT s49 1) (LT s57 1)) (OR (LT s50 1) (LT s66 1)) (OR (LT s49 1) (LT s60 1)) (OR (LT s50 1) (LT s65 1)) (OR (LT s49 1) (LT s59 1)) (OR (LT s50 1) (LT s64 1)) (OR (LT s49 1) (LT s62 1)) (OR (LT s50 1) (LT s63 1)) (OR (LT s49 1) (LT s61 1)) (OR (LT s50 1) (LT s62 1)) (OR (LT s49 1) (LT s64 1)) (OR (LT s50 1) (LT s61 1)) (OR (LT s49 1) (LT s63 1)) (OR (LT s50 1) (LT s60 1)) (OR (LT s49 1) (LT s66 1)) (OR (LT s50 1) (LT s59 1)) (OR (LT s49 1) (LT s65 1)) (OR (LT s50 1) (LT s58 1)) (OR (LT s49 1) (LT s68 1)) (OR (LT s50 1) (LT s57 1)) (OR (LT s49 1) (LT s67 1)) (OR (LT s50 1) (LT s56 1)) (OR (LT s49 1) (LT s70 1)) (OR (LT s50 1) (LT s55 1)) (OR (LT s49 1) (LT s69 1)) (OR (LT s50 1) (LT s54 1))), p1:(AND (OR (LT s5 1) (LT s22 1)) (OR (LT s10 1) (LT s22 1)) (OR (LT s20 1) (LT s22 1)) (OR (LT s3 1) (LT s22 1)) (OR (LT s7 1) (LT s22 1)) (OR (LT s2 1) (LT s22 1)) (OR (LT s13 1) (LT s22 1)) (OR (LT s19 1) (LT s22 1)) (OR (LT s17 1) (LT s22 1)) (OR (LT s15 1) (LT s22 1)) (OR (LT s16 1) (LT s22 1)) (OR (LT s21 1) (LT s22 1)) (OR (LT s11 1) (LT s22 1)) (OR (LT s9 1) (LT s22 1)) (OR (LT s4 1) (LT s22 1)) (OR (LT s6 1) (LT s22 1)) (OR (LT s8 1) (LT s22 1)) (OR (LT s18 1) (LT s22 1)) (OR (LT s1 1) (LT s22 1)) (OR (LT s12 1) (LT s22 1)) (OR (LT s14 1) (LT s22 1)))], nbAcceptance=1, properties=[trans-labels, explicit-labels, trans-acc, deterministic, no-univ-branch, unambiguous, semi-deterministic, very-weak, weak, inherently-weak], stateDesc=[null, null, null][false, false, false]]
Product exploration explored 100000 steps with 4300 reset in 283 ms.
Product exploration explored 100000 steps with 4175 reset in 294 ms.
Knowledge obtained : [(NOT p0), (NOT p2), (NOT p1)]
Stuttering acceptance computed with spot in 142 ms :[(NOT p0), (AND (NOT p0) (NOT p2) (NOT p1)), (AND (NOT p0) (NOT p2) (NOT p1))]
Product exploration explored 100000 steps with 4302 reset in 425 ms.
Product exploration explored 100000 steps with 4206 reset in 469 ms.
Applying partial POR strategy [true, false, true]
Stuttering acceptance computed with spot in 105 ms :[(NOT p0), (AND (NOT p0) (NOT p2) (NOT p1)), (AND (NOT p0) (NOT p2) (NOT p1))]
Support contains 45 out of 74 places. Attempting structural reductions.
Property had overlarge support with respect to TGBA, discarding it for now.
Starting structural reductions, iteration 0 : 74/74 places, 968/968 transitions.
Performed 1 Post agglomeration using F-continuation condition.Transition count delta: 0
Deduced a syphon composed of 1 places in 1 ms
Iterating global reduction 0 with 1 rules applied. Total rules applied 1 place count 74 transition count 968
Deduced a syphon composed of 1 places in 1 ms
Applied a total of 1 rules in 19 ms. Remains 74 /74 variables (removed 0) and now considering 968/968 (removed 0) transitions.
[2021-05-08 22:22:38] [INFO ] Flow matrix only has 170 transitions (discarded 798 similar events)
// Phase 1: matrix 170 rows 74 cols
[2021-05-08 22:22:38] [INFO ] Computed 5 place invariants in 1 ms
[2021-05-08 22:22:38] [INFO ] Dead Transitions using invariants and state equation in 491 ms returned []
[2021-05-08 22:22:38] [INFO ] Redundant transitions in 11 ms returned []
[2021-05-08 22:22:38] [INFO ] Flow matrix only has 170 transitions (discarded 798 similar events)
// Phase 1: matrix 170 rows 74 cols
[2021-05-08 22:22:38] [INFO ] Computed 5 place invariants in 3 ms
[2021-05-08 22:22:39] [INFO ] Dead Transitions using invariants and state equation in 424 ms returned []
Finished structural reductions, in 1 iterations. Remains : 74/74 places, 968/968 transitions.
Product exploration explored 100000 steps with 4859 reset in 723 ms.
Product exploration explored 100000 steps with 4743 reset in 471 ms.
[2021-05-08 22:22:40] [INFO ] Flatten gal took : 38 ms
[2021-05-08 22:22:40] [INFO ] Flatten gal took : 55 ms
[2021-05-08 22:22:40] [INFO ] Time to serialize gal into /tmp/LTL125960424516282308.gal : 18 ms
[2021-05-08 22:22:40] [INFO ] Time to serialize properties into /tmp/LTL6016625192066581840.ltl : 2 ms
Invoking ITS tools like this :CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64, --gc-threshold, 2000000, -i, /tmp/LTL125960424516282308.gal, -t, CGAL, -LTL, /tmp/LTL6016625192066581840.ltl, -c, -stutter-deadlock, --gen-order, FOLLOW], workingDir=/home/mcc/execution]

its-ltl command run as :

/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64 --gc-threshold 2000000 -i /tmp/LTL125960424516282308.gal -t CGAL -LTL /tmp/LTL6016625192066581840.ltl -c -stutter-deadlock --gen-order FOLLOW
Read 1 LTL properties
Checking formula 0 : !(((F("(SUR_PONT_A_0>=1)"))||(X(("((((((((((((((((((((((NB_ATTENTE_A_4<1)||(ROUTE_A_0<1))&&((NB_ATTENTE_A_9<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_19<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_2<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_6<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_1<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_12<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_18<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_16<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_14<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_15<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_20<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_10<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_8<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_3<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_5<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_7<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_17<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_0<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_11<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_13<1)||(ROUTE_A_0<1)))")U(("((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((NB_ATTENTE_A_4<1)||(ROUTE_A_0<1))&&((NB_ATTENTE_A_9<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_19<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_2<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_6<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_1<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_12<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_18<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_16<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_14<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_15<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_20<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_10<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_8<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_3<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_5<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_7<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_17<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_0<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_11<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_13<1)||(ROUTE_A_0<1)))&&((CHOIX_1<1)||(COMPTEUR_19<1)))&&((CHOIX_1<1)||(COMPTEUR_2<1)))&&((CHOIX_1<1)||(COMPTEUR_1<1)))&&((CHOIX_1<1)||(COMPTEUR_0<1)))&&((CHOIX_0<1)||(COMPTEUR_0<1)))&&((CHOIX_0<1)||(COMPTEUR_1<1)))&&((CHOIX_0<1)||(COMPTEUR_3<1)))&&((CHOIX_0<1)||(COMPTEUR_2<1)))&&((CHOIX_0<1)||(COMPTEUR_5<1)))&&((CHOIX_1<1)||(COMPTEUR_18<1)))&&((CHOIX_0<1)||(COMPTEUR_4<1)))&&((CHOIX_1<1)||(COMPTEUR_17<1)))&&((CHOIX_0<1)||(COMPTEUR_7<1)))&&((CHOIX_1<1)||(COMPTEUR_16<1)))&&((CHOIX_0<1)||(COMPTEUR_6<1)))&&((CHOIX_1<1)||(COMPTEUR_15<1)))&&((CHOIX_0<1)||(COMPTEUR_9<1)))&&((CHOIX_1<1)||(COMPTEUR_14<1)))&&((CHOIX_0<1)||(COMPTEUR_8<1)))&&((CHOIX_1<1)||(COMPTEUR_13<1)))&&((CHOIX_0<1)||(COMPTEUR_11<1)))&&((CHOIX_1<1)||(COMPTEUR_12<1)))&&((CHOIX_0<1)||(COMPTEUR_10<1)))&&((CHOIX_1<1)||(COMPTEUR_11<1)))&&((CHOIX_0<1)||(COMPTEUR_13<1)))&&((CHOIX_1<1)||(COMPTEUR_10<1)))&&((CHOIX_0<1)||(COMPTEUR_12<1)))&&((CHOIX_1<1)||(COMPTEUR_9<1)))&&((CHOIX_0<1)||(COMPTEUR_15<1)))&&((CHOIX_1<1)||(COMPTEUR_8<1)))&&((CHOIX_0<1)||(COMPTEUR_14<1)))&&((CHOIX_1<1)||(COMPTEUR_7<1)))&&((CHOIX_0<1)||(COMPTEUR_17<1)))&&((CHOIX_1<1)||(COMPTEUR_6<1)))&&((CHOIX_0<1)||(COMPTEUR_16<1)))&&((CHOIX_1<1)||(COMPTEUR_5<1)))&&((CHOIX_0<1)||(COMPTEUR_19<1)))&&((CHOIX_1<1)||(COMPTEUR_4<1)))&&((CHOIX_0<1)||(COMPTEUR_18<1)))&&((CHOIX_1<1)||(COMPTEUR_3<1)))")||(G("((((((((((((((((((((((NB_ATTENTE_A_4<1)||(ROUTE_A_0<1))&&((NB_ATTENTE_A_9<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_19<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_2<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_6<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_1<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_12<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_18<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_16<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_14<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_15<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_20<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_10<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_8<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_3<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_5<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_7<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_17<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_0<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_11<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_13<1)||(ROUTE_A_0<1)))")))))))
Formula 0 simplified : !(F"(SUR_PONT_A_0>=1)" | X("((((((((((((((((((((((NB_ATTENTE_A_4<1)||(ROUTE_A_0<1))&&((NB_ATTENTE_A_9<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_19<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_2<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_6<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_1<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_12<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_18<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_16<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_14<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_15<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_20<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_10<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_8<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_3<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_5<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_7<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_17<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_0<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_11<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_13<1)||(ROUTE_A_0<1)))" U ("((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((NB_ATTENTE_A_4<1)||(ROUTE_A_0<1))&&((NB_ATTENTE_A_9<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_19<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_2<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_6<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_1<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_12<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_18<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_16<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_14<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_15<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_20<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_10<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_8<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_3<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_5<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_7<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_17<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_0<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_11<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_13<1)||(ROUTE_A_0<1)))&&((CHOIX_1<1)||(COMPTEUR_19<1)))&&((CHOIX_1<1)||(COMPTEUR_2<1)))&&((CHOIX_1<1)||(COMPTEUR_1<1)))&&((CHOIX_1<1)||(COMPTEUR_0<1)))&&((CHOIX_0<1)||(COMPTEUR_0<1)))&&((CHOIX_0<1)||(COMPTEUR_1<1)))&&((CHOIX_0<1)||(COMPTEUR_3<1)))&&((CHOIX_0<1)||(COMPTEUR_2<1)))&&((CHOIX_0<1)||(COMPTEUR_5<1)))&&((CHOIX_1<1)||(COMPTEUR_18<1)))&&((CHOIX_0<1)||(COMPTEUR_4<1)))&&((CHOIX_1<1)||(COMPTEUR_17<1)))&&((CHOIX_0<1)||(COMPTEUR_7<1)))&&((CHOIX_1<1)||(COMPTEUR_16<1)))&&((CHOIX_0<1)||(COMPTEUR_6<1)))&&((CHOIX_1<1)||(COMPTEUR_15<1)))&&((CHOIX_0<1)||(COMPTEUR_9<1)))&&((CHOIX_1<1)||(COMPTEUR_14<1)))&&((CHOIX_0<1)||(COMPTEUR_8<1)))&&((CHOIX_1<1)||(COMPTEUR_13<1)))&&((CHOIX_0<1)||(COMPTEUR_11<1)))&&((CHOIX_1<1)||(COMPTEUR_12<1)))&&((CHOIX_0<1)||(COMPTEUR_10<1)))&&((CHOIX_1<1)||(COMPTEUR_11<1)))&&((CHOIX_0<1)||(COMPTEUR_13<1)))&&((CHOIX_1<1)||(COMPTEUR_10<1)))&&((CHOIX_0<1)||(COMPTEUR_12<1)))&&((CHOIX_1<1)||(COMPTEUR_9<1)))&&((CHOIX_0<1)||(COMPTEUR_15<1)))&&((CHOIX_1<1)||(COMPTEUR_8<1)))&&((CHOIX_0<1)||(COMPTEUR_14<1)))&&((CHOIX_1<1)||(COMPTEUR_7<1)))&&((CHOIX_0<1)||(COMPTEUR_17<1)))&&((CHOIX_1<1)||(COMPTEUR_6<1)))&&((CHOIX_0<1)||(COMPTEUR_16<1)))&&((CHOIX_1<1)||(COMPTEUR_5<1)))&&((CHOIX_0<1)||(COMPTEUR_19<1)))&&((CHOIX_1<1)||(COMPTEUR_4<1)))&&((CHOIX_0<1)||(COMPTEUR_18<1)))&&((CHOIX_1<1)||(COMPTEUR_3<1)))" | G"((((((((((((((((((((((NB_ATTENTE_A_4<1)||(ROUTE_A_0<1))&&((NB_ATTENTE_A_9<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_19<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_2<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_6<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_1<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_12<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_18<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_16<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_14<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_15<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_20<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_10<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_8<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_3<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_5<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_7<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_17<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_0<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_11<1)||(ROUTE_A_0<1)))&&((NB_ATTENTE_A_13<1)||(ROUTE_A_0<1)))")))
Detected timeout of ITS tools.
[2021-05-08 22:22:55] [INFO ] Flatten gal took : 25 ms
[2021-05-08 22:22:55] [INFO ] Applying decomposition
[2021-05-08 22:22:55] [INFO ] Flatten gal took : 24 ms
Converted graph to binary with : CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.louvain.binaries_1.0.0.202104292328/bin/convert-linux64, -i, /tmp/graph13718878254678595306.txt, -o, /tmp/graph13718878254678595306.bin, -w, /tmp/graph13718878254678595306.weights], workingDir=null]
Built communities with : CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.louvain.binaries_1.0.0.202104292328/bin/louvain-linux64, /tmp/graph13718878254678595306.bin, -l, -1, -v, -w, /tmp/graph13718878254678595306.weights, -q, 0, -e, 0.001], workingDir=null]
[2021-05-08 22:22:55] [INFO ] Decomposing Gal with order
[2021-05-08 22:22:55] [INFO ] Rewriting arrays to variables to allow decomposition.
[2021-05-08 22:22:56] [INFO ] Removed a total of 1793 redundant transitions.
[2021-05-08 22:22:56] [INFO ] Flatten gal took : 273 ms
[2021-05-08 22:22:56] [INFO ] Fuse similar labels procedure discarded/fused a total of 177 labels/synchronizations in 6 ms.
[2021-05-08 22:22:56] [INFO ] Time to serialize gal into /tmp/LTL4687796922971347235.gal : 1 ms
[2021-05-08 22:22:56] [INFO ] Time to serialize properties into /tmp/LTL2772891777886454988.ltl : 0 ms
Invoking ITS tools like this :CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64, --gc-threshold, 2000000, -i, /tmp/LTL4687796922971347235.gal, -t, CGAL, -LTL, /tmp/LTL2772891777886454988.ltl, -c, -stutter-deadlock], workingDir=/home/mcc/execution]

its-ltl command run as :

/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64 --gc-threshold 2000000 -i /tmp/LTL4687796922971347235.gal -t CGAL -LTL /tmp/LTL2772891777886454988.ltl -c -stutter-deadlock
Read 1 LTL properties
Checking formula 0 : !(((F("(u2.SUR_PONT_A_0>=1)"))||(X(("((((((((((((((((((((((u1.NB_ATTENTE_A_4<1)||(u1.ROUTE_A_0<1))&&((u1.NB_ATTENTE_A_9<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_19<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_2<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_6<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_1<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_12<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_18<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_16<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_14<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_15<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_20<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_10<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_8<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_3<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_5<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_7<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_17<1)||(u1.ROUTE_A_0<1)))&&((i0.u0.NB_ATTENTE_A_0<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_11<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_13<1)||(u1.ROUTE_A_0<1)))")U(("((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((u1.NB_ATTENTE_A_4<1)||(u1.ROUTE_A_0<1))&&((u1.NB_ATTENTE_A_9<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_19<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_2<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_6<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_1<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_12<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_18<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_16<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_14<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_15<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_20<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_10<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_8<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_3<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_5<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_7<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_17<1)||(u1.ROUTE_A_0<1)))&&((i0.u0.NB_ATTENTE_A_0<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_11<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_13<1)||(u1.ROUTE_A_0<1)))&&((u2.CHOIX_1<1)||(i0.u3.COMPTEUR_19<1)))&&((u2.CHOIX_1<1)||(i0.u3.COMPTEUR_2<1)))&&((u2.CHOIX_1<1)||(i0.u3.COMPTEUR_1<1)))&&((u2.CHOIX_1<1)||(i0.u3.COMPTEUR_0<1)))&&((u2.CHOIX_0<1)||(i0.u3.COMPTEUR_0<1)))&&((u2.CHOIX_0<1)||(i0.u3.COMPTEUR_1<1)))&&((u2.CHOIX_0<1)||(i0.u3.COMPTEUR_3<1)))&&((u2.CHOIX_0<1)||(i0.u3.COMPTEUR_2<1)))&&((u2.CHOIX_0<1)||(i0.u3.COMPTEUR_5<1)))&&((u2.CHOIX_1<1)||(i0.u3.COMPTEUR_18<1)))&&((u2.CHOIX_0<1)||(i0.u3.COMPTEUR_4<1)))&&((u2.CHOIX_1<1)||(i0.u3.COMPTEUR_17<1)))&&((u2.CHOIX_0<1)||(i0.u3.COMPTEUR_7<1)))&&((u2.CHOIX_1<1)||(i0.u3.COMPTEUR_16<1)))&&((u2.CHOIX_0<1)||(i0.u3.COMPTEUR_6<1)))&&((u2.CHOIX_1<1)||(i0.u3.COMPTEUR_15<1)))&&((u2.CHOIX_0<1)||(i0.u3.COMPTEUR_9<1)))&&((u2.CHOIX_1<1)||(i0.u3.COMPTEUR_14<1)))&&((u2.CHOIX_0<1)||(i0.u3.COMPTEUR_8<1)))&&((u2.CHOIX_1<1)||(i0.u3.COMPTEUR_13<1)))&&((u2.CHOIX_0<1)||(i0.u3.COMPTEUR_11<1)))&&((u2.CHOIX_1<1)||(i0.u3.COMPTEUR_12<1)))&&((u2.CHOIX_0<1)||(i0.u3.COMPTEUR_10<1)))&&((u2.CHOIX_1<1)||(i0.u3.COMPTEUR_11<1)))&&((u2.CHOIX_0<1)||(i0.u3.COMPTEUR_13<1)))&&((u2.CHOIX_1<1)||(i0.u3.COMPTEUR_10<1)))&&((u2.CHOIX_0<1)||(i0.u3.COMPTEUR_12<1)))&&((u2.CHOIX_1<1)||(i0.u3.COMPTEUR_9<1)))&&((u2.CHOIX_0<1)||(i0.u3.COMPTEUR_15<1)))&&((u2.CHOIX_1<1)||(i0.u3.COMPTEUR_8<1)))&&((u2.CHOIX_0<1)||(i0.u3.COMPTEUR_14<1)))&&((u2.CHOIX_1<1)||(i0.u3.COMPTEUR_7<1)))&&((u2.CHOIX_0<1)||(i0.u3.COMPTEUR_17<1)))&&((u2.CHOIX_1<1)||(i0.u3.COMPTEUR_6<1)))&&((u2.CHOIX_0<1)||(i0.u3.COMPTEUR_16<1)))&&((u2.CHOIX_1<1)||(i0.u3.COMPTEUR_5<1)))&&((u2.CHOIX_0<1)||(i0.u3.COMPTEUR_19<1)))&&((u2.CHOIX_1<1)||(i0.u3.COMPTEUR_4<1)))&&((u2.CHOIX_0<1)||(i0.u3.COMPTEUR_18<1)))&&((u2.CHOIX_1<1)||(i0.u3.COMPTEUR_3<1)))")||(G("((((((((((((((((((((((u1.NB_ATTENTE_A_4<1)||(u1.ROUTE_A_0<1))&&((u1.NB_ATTENTE_A_9<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_19<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_2<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_6<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_1<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_12<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_18<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_16<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_14<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_15<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_20<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_10<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_8<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_3<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_5<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_7<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_17<1)||(u1.ROUTE_A_0<1)))&&((i0.u0.NB_ATTENTE_A_0<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_11<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_13<1)||(u1.ROUTE_A_0<1)))")))))))
Formula 0 simplified : !(F"(u2.SUR_PONT_A_0>=1)" | X("((((((((((((((((((((((u1.NB_ATTENTE_A_4<1)||(u1.ROUTE_A_0<1))&&((u1.NB_ATTENTE_A_9<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_19<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_2<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_6<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_1<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_12<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_18<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_16<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_14<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_15<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_20<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_10<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_8<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_3<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_5<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_7<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_17<1)||(u1.ROUTE_A_0<1)))&&((i0.u0.NB_ATTENTE_A_0<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_11<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_13<1)||(u1.ROUTE_A_0<1)))" U ("((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((u1.NB_ATTENTE_A_4<1)||(u1.ROUTE_A_0<1))&&((u1.NB_ATTENTE_A_9<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_19<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_2<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_6<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_1<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_12<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_18<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_16<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_14<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_15<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_20<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_10<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_8<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_3<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_5<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_7<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_17<1)||(u1.ROUTE_A_0<1)))&&((i0.u0.NB_ATTENTE_A_0<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_11<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_13<1)||(u1.ROUTE_A_0<1)))&&((u2.CHOIX_1<1)||(i0.u3.COMPTEUR_19<1)))&&((u2.CHOIX_1<1)||(i0.u3.COMPTEUR_2<1)))&&((u2.CHOIX_1<1)||(i0.u3.COMPTEUR_1<1)))&&((u2.CHOIX_1<1)||(i0.u3.COMPTEUR_0<1)))&&((u2.CHOIX_0<1)||(i0.u3.COMPTEUR_0<1)))&&((u2.CHOIX_0<1)||(i0.u3.COMPTEUR_1<1)))&&((u2.CHOIX_0<1)||(i0.u3.COMPTEUR_3<1)))&&((u2.CHOIX_0<1)||(i0.u3.COMPTEUR_2<1)))&&((u2.CHOIX_0<1)||(i0.u3.COMPTEUR_5<1)))&&((u2.CHOIX_1<1)||(i0.u3.COMPTEUR_18<1)))&&((u2.CHOIX_0<1)||(i0.u3.COMPTEUR_4<1)))&&((u2.CHOIX_1<1)||(i0.u3.COMPTEUR_17<1)))&&((u2.CHOIX_0<1)||(i0.u3.COMPTEUR_7<1)))&&((u2.CHOIX_1<1)||(i0.u3.COMPTEUR_16<1)))&&((u2.CHOIX_0<1)||(i0.u3.COMPTEUR_6<1)))&&((u2.CHOIX_1<1)||(i0.u3.COMPTEUR_15<1)))&&((u2.CHOIX_0<1)||(i0.u3.COMPTEUR_9<1)))&&((u2.CHOIX_1<1)||(i0.u3.COMPTEUR_14<1)))&&((u2.CHOIX_0<1)||(i0.u3.COMPTEUR_8<1)))&&((u2.CHOIX_1<1)||(i0.u3.COMPTEUR_13<1)))&&((u2.CHOIX_0<1)||(i0.u3.COMPTEUR_11<1)))&&((u2.CHOIX_1<1)||(i0.u3.COMPTEUR_12<1)))&&((u2.CHOIX_0<1)||(i0.u3.COMPTEUR_10<1)))&&((u2.CHOIX_1<1)||(i0.u3.COMPTEUR_11<1)))&&((u2.CHOIX_0<1)||(i0.u3.COMPTEUR_13<1)))&&((u2.CHOIX_1<1)||(i0.u3.COMPTEUR_10<1)))&&((u2.CHOIX_0<1)||(i0.u3.COMPTEUR_12<1)))&&((u2.CHOIX_1<1)||(i0.u3.COMPTEUR_9<1)))&&((u2.CHOIX_0<1)||(i0.u3.COMPTEUR_15<1)))&&((u2.CHOIX_1<1)||(i0.u3.COMPTEUR_8<1)))&&((u2.CHOIX_0<1)||(i0.u3.COMPTEUR_14<1)))&&((u2.CHOIX_1<1)||(i0.u3.COMPTEUR_7<1)))&&((u2.CHOIX_0<1)||(i0.u3.COMPTEUR_17<1)))&&((u2.CHOIX_1<1)||(i0.u3.COMPTEUR_6<1)))&&((u2.CHOIX_0<1)||(i0.u3.COMPTEUR_16<1)))&&((u2.CHOIX_1<1)||(i0.u3.COMPTEUR_5<1)))&&((u2.CHOIX_0<1)||(i0.u3.COMPTEUR_19<1)))&&((u2.CHOIX_1<1)||(i0.u3.COMPTEUR_4<1)))&&((u2.CHOIX_0<1)||(i0.u3.COMPTEUR_18<1)))&&((u2.CHOIX_1<1)||(i0.u3.COMPTEUR_3<1)))" | G"((((((((((((((((((((((u1.NB_ATTENTE_A_4<1)||(u1.ROUTE_A_0<1))&&((u1.NB_ATTENTE_A_9<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_19<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_2<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_6<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_1<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_12<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_18<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_16<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_14<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_15<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_20<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_10<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_8<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_3<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_5<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_7<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_17<1)||(u1.ROUTE_A_0<1)))&&((i0.u0.NB_ATTENTE_A_0<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_11<1)||(u1.ROUTE_A_0<1)))&&((u1.NB_ATTENTE_A_13<1)||(u1.ROUTE_A_0<1)))")))
Detected timeout of ITS tools.
Built C files in :
/tmp/ltsmin6820625016429774831
[2021-05-08 22:23:11] [INFO ] Built C files in 24ms conformant to PINS (ltsmin variant)in folder :/tmp/ltsmin6820625016429774831
Running compilation step : CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/include/, -I., -std=c99, -fPIC, -O2, model.c], workingDir=/tmp/ltsmin6820625016429774831]
WARNING : LTS min runner thread failed on error :java.lang.RuntimeException: Compilation or link of executable timed out.java.util.concurrent.TimeoutException: Subprocess running CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/include/, -I., -std=c99, -fPIC, -O2, model.c], workingDir=/tmp/ltsmin6820625016429774831] killed by timeout after 1 SECONDS
java.lang.RuntimeException: Compilation or link of executable timed out.java.util.concurrent.TimeoutException: Subprocess running CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/include/, -I., -std=c99, -fPIC, -O2, model.c], workingDir=/tmp/ltsmin6820625016429774831] killed by timeout after 1 SECONDS
at fr.lip6.move.gal.application.LTSminRunner$1.run(LTSminRunner.java:79)
at java.base/java.lang.Thread.run(Thread.java:834)
Treatment of property BridgeAndVehicles-COL-V20P20N20-13 finished in 37408 ms.
Running Spot : CommandLine [args=[/home/mcc/BenchKit/bin//..//ltl2tgba, --hoaf=tv, -f, !(F(G(!p0)))], workingDir=/home/mcc/execution]
Support contains 22 out of 76 places. Attempting structural reductions.
Starting structural reductions, iteration 0 : 76/76 places, 968/968 transitions.
Discarding 1 places :
Symmetric choice reduction at 0 with 1 rule applications. Total rules 1 place count 75 transition count 967
Iterating global reduction 0 with 1 rules applied. Total rules applied 2 place count 75 transition count 967
Performed 1 Post agglomeration using F-continuation condition.Transition count delta: 1
Deduced a syphon composed of 1 places in 1 ms
Reduce places removed 2 places and 0 transitions.
Iterating global reduction 0 with 3 rules applied. Total rules applied 5 place count 73 transition count 966
Performed 2 Post agglomeration using F-continuation condition.Transition count delta: 2
Deduced a syphon composed of 2 places in 0 ms
Reduce places removed 2 places and 0 transitions.
Iterating global reduction 0 with 4 rules applied. Total rules applied 9 place count 71 transition count 964
Applied a total of 9 rules in 53 ms. Remains 71 /76 variables (removed 5) and now considering 964/968 (removed 4) transitions.
[2021-05-08 22:23:12] [INFO ] Flow matrix only has 166 transitions (discarded 798 similar events)
// Phase 1: matrix 166 rows 71 cols
[2021-05-08 22:23:12] [INFO ] Computed 4 place invariants in 3 ms
[2021-05-08 22:23:12] [INFO ] Implicit Places using invariants in 144 ms returned []
[2021-05-08 22:23:12] [INFO ] Flow matrix only has 166 transitions (discarded 798 similar events)
// Phase 1: matrix 166 rows 71 cols
[2021-05-08 22:23:12] [INFO ] Computed 4 place invariants in 1 ms
[2021-05-08 22:23:12] [INFO ] State equation strengthened by 42 read => feed constraints.
[2021-05-08 22:23:12] [INFO ] Implicit Places using invariants and state equation in 308 ms returned [22, 44]
Discarding 2 places :
Implicit Place search using SMT with State Equation took 456 ms to find 2 implicit places.
[2021-05-08 22:23:12] [INFO ] Redundant transitions in 11 ms returned []
[2021-05-08 22:23:12] [INFO ] Flow matrix only has 166 transitions (discarded 798 similar events)
// Phase 1: matrix 166 rows 69 cols
[2021-05-08 22:23:12] [INFO ] Computed 4 place invariants in 1 ms
[2021-05-08 22:23:14] [INFO ] Dead Transitions using invariants and state equation in 1568 ms returned []
Starting structural reductions, iteration 1 : 69/76 places, 964/968 transitions.
Applied a total of 0 rules in 82 ms. Remains 69 /69 variables (removed 0) and now considering 964/964 (removed 0) transitions.
[2021-05-08 22:23:14] [INFO ] Redundant transitions in 11 ms returned []
[2021-05-08 22:23:14] [INFO ] Flow matrix only has 166 transitions (discarded 798 similar events)
// Phase 1: matrix 166 rows 69 cols
[2021-05-08 22:23:14] [INFO ] Computed 4 place invariants in 1 ms
[2021-05-08 22:23:14] [INFO ] Dead Transitions using invariants and state equation in 463 ms returned []
Finished structural reductions, in 2 iterations. Remains : 69/76 places, 964/968 transitions.
Stuttering acceptance computed with spot in 48 ms :[p0]
Running random walk in product with property : BridgeAndVehicles-COL-V20P20N20-14 automaton TGBA [mat=[[{ cond=(NOT p0), acceptance={} source=0 dest: 0}, { cond=p0, acceptance={0} source=0 dest: 0}]], initial=0, aps=[p0:(AND (OR (LT s4 1) (LT s21 1)) (OR (LT s9 1) (LT s21 1)) (OR (LT s19 1) (LT s21 1)) (OR (LT s2 1) (LT s21 1)) (OR (LT s6 1) (LT s21 1)) (OR (LT s1 1) (LT s21 1)) (OR (LT s12 1) (LT s21 1)) (OR (LT s18 1) (LT s21 1)) (OR (LT s16 1) (LT s21 1)) (OR (LT s14 1) (LT s21 1)) (OR (LT s15 1) (LT s21 1)) (OR (LT s20 1) (LT s21 1)) (OR (LT s10 1) (LT s21 1)) (OR (LT s8 1) (LT s21 1)) (OR (LT s3 1) (LT s21 1)) (OR (LT s5 1) (LT s21 1)) (OR (LT s7 1) (LT s21 1)) (OR (LT s17 1) (LT s21 1)) (OR (LT s0 1) (LT s21 1)) (OR (LT s11 1) (LT s21 1)) (OR (LT s13 1) (LT s21 1)))], nbAcceptance=1, properties=[trans-labels, explicit-labels, trans-acc, complete, deterministic, no-univ-branch, unambiguous, semi-deterministic, stutter-invariant], stateDesc=[null][true]]
Stuttering criterion allowed to conclude after 123 steps with 0 reset in 1 ms.
FORMULA BridgeAndVehicles-COL-V20P20N20-14 FALSE TECHNIQUES STUTTER_TEST
Treatment of property BridgeAndVehicles-COL-V20P20N20-14 finished in 2741 ms.
Running Spot : CommandLine [args=[/home/mcc/BenchKit/bin//..//ltl2tgba, --hoaf=tv, -f, !(F(G(p0)))], workingDir=/home/mcc/execution]
Support contains 22 out of 76 places. Attempting structural reductions.
Starting structural reductions, iteration 0 : 76/76 places, 968/968 transitions.
Discarding 1 places :
Symmetric choice reduction at 0 with 1 rule applications. Total rules 1 place count 75 transition count 967
Iterating global reduction 0 with 1 rules applied. Total rules applied 2 place count 75 transition count 967
Performed 1 Post agglomeration using F-continuation condition.Transition count delta: 1
Deduced a syphon composed of 1 places in 1 ms
Reduce places removed 2 places and 0 transitions.
Iterating global reduction 0 with 3 rules applied. Total rules applied 5 place count 73 transition count 966
Applied a total of 5 rules in 25 ms. Remains 73 /76 variables (removed 3) and now considering 966/968 (removed 2) transitions.
[2021-05-08 22:23:15] [INFO ] Flow matrix only has 168 transitions (discarded 798 similar events)
// Phase 1: matrix 168 rows 73 cols
[2021-05-08 22:23:15] [INFO ] Computed 4 place invariants in 0 ms
[2021-05-08 22:23:15] [INFO ] Implicit Places using invariants in 143 ms returned []
[2021-05-08 22:23:15] [INFO ] Flow matrix only has 168 transitions (discarded 798 similar events)
// Phase 1: matrix 168 rows 73 cols
[2021-05-08 22:23:15] [INFO ] Computed 4 place invariants in 1 ms
[2021-05-08 22:23:15] [INFO ] State equation strengthened by 42 read => feed constraints.
[2021-05-08 22:23:15] [INFO ] Implicit Places using invariants and state equation in 249 ms returned [22, 44]
Discarding 2 places :
Implicit Place search using SMT with State Equation took 408 ms to find 2 implicit places.
[2021-05-08 22:23:15] [INFO ] Redundant transitions in 7 ms returned []
[2021-05-08 22:23:15] [INFO ] Flow matrix only has 168 transitions (discarded 798 similar events)
// Phase 1: matrix 168 rows 71 cols
[2021-05-08 22:23:15] [INFO ] Computed 4 place invariants in 1 ms
[2021-05-08 22:23:15] [INFO ] Dead Transitions using invariants and state equation in 336 ms returned []
Starting structural reductions, iteration 1 : 71/76 places, 966/968 transitions.
Applied a total of 0 rules in 22 ms. Remains 71 /71 variables (removed 0) and now considering 966/966 (removed 0) transitions.
[2021-05-08 22:23:15] [INFO ] Redundant transitions in 8 ms returned []
[2021-05-08 22:23:15] [INFO ] Flow matrix only has 168 transitions (discarded 798 similar events)
// Phase 1: matrix 168 rows 71 cols
[2021-05-08 22:23:15] [INFO ] Computed 4 place invariants in 1 ms
[2021-05-08 22:23:16] [INFO ] Dead Transitions using invariants and state equation in 368 ms returned []
Finished structural reductions, in 2 iterations. Remains : 71/76 places, 966/968 transitions.
Stuttering acceptance computed with spot in 66 ms :[(NOT p0)]
Running random walk in product with property : BridgeAndVehicles-COL-V20P20N20-15 automaton TGBA [mat=[[{ cond=(NOT p0), acceptance={0} source=0 dest: 0}, { cond=p0, acceptance={} source=0 dest: 0}]], initial=0, aps=[p0:(AND (OR (LT s47 1) (LT s67 1)) (OR (LT s47 1) (LT s50 1)) (OR (LT s47 1) (LT s49 1)) (OR (LT s47 1) (LT s48 1)) (OR (LT s46 1) (LT s48 1)) (OR (LT s46 1) (LT s49 1)) (OR (LT s46 1) (LT s51 1)) (OR (LT s46 1) (LT s50 1)) (OR (LT s46 1) (LT s53 1)) (OR (LT s47 1) (LT s66 1)) (OR (LT s46 1) (LT s52 1)) (OR (LT s47 1) (LT s65 1)) (OR (LT s46 1) (LT s55 1)) (OR (LT s47 1) (LT s64 1)) (OR (LT s46 1) (LT s54 1)) (OR (LT s47 1) (LT s63 1)) (OR (LT s46 1) (LT s57 1)) (OR (LT s47 1) (LT s62 1)) (OR (LT s46 1) (LT s56 1)) (OR (LT s47 1) (LT s61 1)) (OR (LT s46 1) (LT s59 1)) (OR (LT s47 1) (LT s60 1)) (OR (LT s46 1) (LT s58 1)) (OR (LT s47 1) (LT s59 1)) (OR (LT s46 1) (LT s61 1)) (OR (LT s47 1) (LT s58 1)) (OR (LT s46 1) (LT s60 1)) (OR (LT s47 1) (LT s57 1)) (OR (LT s46 1) (LT s63 1)) (OR (LT s47 1) (LT s56 1)) (OR (LT s46 1) (LT s62 1)) (OR (LT s47 1) (LT s55 1)) (OR (LT s46 1) (LT s65 1)) (OR (LT s47 1) (LT s54 1)) (OR (LT s46 1) (LT s64 1)) (OR (LT s47 1) (LT s53 1)) (OR (LT s46 1) (LT s67 1)) (OR (LT s47 1) (LT s52 1)) (OR (LT s46 1) (LT s66 1)) (OR (LT s47 1) (LT s51 1)))], nbAcceptance=1, properties=[trans-labels, explicit-labels, trans-acc, complete, deterministic, no-univ-branch, unambiguous, semi-deterministic, stutter-invariant], stateDesc=[null][true]]
Product exploration explored 100000 steps with 789 reset in 704 ms.
Product exploration explored 100000 steps with 789 reset in 625 ms.
Knowledge obtained : [(NOT p0)]
Stuttering acceptance computed with spot in 35 ms :[(NOT p0)]
Product exploration explored 100000 steps with 789 reset in 541 ms.
Product exploration explored 100000 steps with 789 reset in 516 ms.
[2021-05-08 22:23:18] [INFO ] Flatten gal took : 28 ms
[2021-05-08 22:23:18] [INFO ] Flatten gal took : 26 ms
[2021-05-08 22:23:18] [INFO ] Time to serialize gal into /tmp/LTL1256778094758408436.gal : 5 ms
[2021-05-08 22:23:18] [INFO ] Time to serialize properties into /tmp/LTL1979050217848071716.ltl : 0 ms
Invoking ITS tools like this :CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64, --gc-threshold, 2000000, -i, /tmp/LTL1256778094758408436.gal, -t, CGAL, -LTL, /tmp/LTL1979050217848071716.ltl, -c, -stutter-deadlock, --gen-order, FOLLOW], workingDir=/home/mcc/execution]

its-ltl command run as :

/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64 --gc-threshold 2000000 -i /tmp/LTL1256778094758408436.gal -t CGAL -LTL /tmp/LTL1979050217848071716.ltl -c -stutter-deadlock --gen-order FOLLOW
Read 1 LTL properties
Checking formula 0 : !((F(G("(((((((((((((((((((((((((((((((((((((((((CHOIX_1<1)||(COMPTEUR_19<1))&&((CHOIX_1<1)||(COMPTEUR_2<1)))&&((CHOIX_1<1)||(COMPTEUR_1<1)))&&((CHOIX_1<1)||(COMPTEUR_0<1)))&&((CHOIX_0<1)||(COMPTEUR_0<1)))&&((CHOIX_0<1)||(COMPTEUR_1<1)))&&((CHOIX_0<1)||(COMPTEUR_3<1)))&&((CHOIX_0<1)||(COMPTEUR_2<1)))&&((CHOIX_0<1)||(COMPTEUR_5<1)))&&((CHOIX_1<1)||(COMPTEUR_18<1)))&&((CHOIX_0<1)||(COMPTEUR_4<1)))&&((CHOIX_1<1)||(COMPTEUR_17<1)))&&((CHOIX_0<1)||(COMPTEUR_7<1)))&&((CHOIX_1<1)||(COMPTEUR_16<1)))&&((CHOIX_0<1)||(COMPTEUR_6<1)))&&((CHOIX_1<1)||(COMPTEUR_15<1)))&&((CHOIX_0<1)||(COMPTEUR_9<1)))&&((CHOIX_1<1)||(COMPTEUR_14<1)))&&((CHOIX_0<1)||(COMPTEUR_8<1)))&&((CHOIX_1<1)||(COMPTEUR_13<1)))&&((CHOIX_0<1)||(COMPTEUR_11<1)))&&((CHOIX_1<1)||(COMPTEUR_12<1)))&&((CHOIX_0<1)||(COMPTEUR_10<1)))&&((CHOIX_1<1)||(COMPTEUR_11<1)))&&((CHOIX_0<1)||(COMPTEUR_13<1)))&&((CHOIX_1<1)||(COMPTEUR_10<1)))&&((CHOIX_0<1)||(COMPTEUR_12<1)))&&((CHOIX_1<1)||(COMPTEUR_9<1)))&&((CHOIX_0<1)||(COMPTEUR_15<1)))&&((CHOIX_1<1)||(COMPTEUR_8<1)))&&((CHOIX_0<1)||(COMPTEUR_14<1)))&&((CHOIX_1<1)||(COMPTEUR_7<1)))&&((CHOIX_0<1)||(COMPTEUR_17<1)))&&((CHOIX_1<1)||(COMPTEUR_6<1)))&&((CHOIX_0<1)||(COMPTEUR_16<1)))&&((CHOIX_1<1)||(COMPTEUR_5<1)))&&((CHOIX_0<1)||(COMPTEUR_19<1)))&&((CHOIX_1<1)||(COMPTEUR_4<1)))&&((CHOIX_0<1)||(COMPTEUR_18<1)))&&((CHOIX_1<1)||(COMPTEUR_3<1)))"))))
Formula 0 simplified : !FG"(((((((((((((((((((((((((((((((((((((((((CHOIX_1<1)||(COMPTEUR_19<1))&&((CHOIX_1<1)||(COMPTEUR_2<1)))&&((CHOIX_1<1)||(COMPTEUR_1<1)))&&((CHOIX_1<1)||(COMPTEUR_0<1)))&&((CHOIX_0<1)||(COMPTEUR_0<1)))&&((CHOIX_0<1)||(COMPTEUR_1<1)))&&((CHOIX_0<1)||(COMPTEUR_3<1)))&&((CHOIX_0<1)||(COMPTEUR_2<1)))&&((CHOIX_0<1)||(COMPTEUR_5<1)))&&((CHOIX_1<1)||(COMPTEUR_18<1)))&&((CHOIX_0<1)||(COMPTEUR_4<1)))&&((CHOIX_1<1)||(COMPTEUR_17<1)))&&((CHOIX_0<1)||(COMPTEUR_7<1)))&&((CHOIX_1<1)||(COMPTEUR_16<1)))&&((CHOIX_0<1)||(COMPTEUR_6<1)))&&((CHOIX_1<1)||(COMPTEUR_15<1)))&&((CHOIX_0<1)||(COMPTEUR_9<1)))&&((CHOIX_1<1)||(COMPTEUR_14<1)))&&((CHOIX_0<1)||(COMPTEUR_8<1)))&&((CHOIX_1<1)||(COMPTEUR_13<1)))&&((CHOIX_0<1)||(COMPTEUR_11<1)))&&((CHOIX_1<1)||(COMPTEUR_12<1)))&&((CHOIX_0<1)||(COMPTEUR_10<1)))&&((CHOIX_1<1)||(COMPTEUR_11<1)))&&((CHOIX_0<1)||(COMPTEUR_13<1)))&&((CHOIX_1<1)||(COMPTEUR_10<1)))&&((CHOIX_0<1)||(COMPTEUR_12<1)))&&((CHOIX_1<1)||(COMPTEUR_9<1)))&&((CHOIX_0<1)||(COMPTEUR_15<1)))&&((CHOIX_1<1)||(COMPTEUR_8<1)))&&((CHOIX_0<1)||(COMPTEUR_14<1)))&&((CHOIX_1<1)||(COMPTEUR_7<1)))&&((CHOIX_0<1)||(COMPTEUR_17<1)))&&((CHOIX_1<1)||(COMPTEUR_6<1)))&&((CHOIX_0<1)||(COMPTEUR_16<1)))&&((CHOIX_1<1)||(COMPTEUR_5<1)))&&((CHOIX_0<1)||(COMPTEUR_19<1)))&&((CHOIX_1<1)||(COMPTEUR_4<1)))&&((CHOIX_0<1)||(COMPTEUR_18<1)))&&((CHOIX_1<1)||(COMPTEUR_3<1)))"
Detected timeout of ITS tools.
[2021-05-08 22:23:34] [INFO ] Flatten gal took : 25 ms
[2021-05-08 22:23:34] [INFO ] Applying decomposition
[2021-05-08 22:23:34] [INFO ] Flatten gal took : 25 ms
Converted graph to binary with : CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.louvain.binaries_1.0.0.202104292328/bin/convert-linux64, -i, /tmp/graph7270681993828823853.txt, -o, /tmp/graph7270681993828823853.bin, -w, /tmp/graph7270681993828823853.weights], workingDir=null]
Built communities with : CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.louvain.binaries_1.0.0.202104292328/bin/louvain-linux64, /tmp/graph7270681993828823853.bin, -l, -1, -v, -w, /tmp/graph7270681993828823853.weights, -q, 0, -e, 0.001], workingDir=null]
[2021-05-08 22:23:34] [INFO ] Decomposing Gal with order
[2021-05-08 22:23:34] [INFO ] Rewriting arrays to variables to allow decomposition.
[2021-05-08 22:23:34] [INFO ] Removed a total of 1853 redundant transitions.
[2021-05-08 22:23:34] [INFO ] Flatten gal took : 226 ms
[2021-05-08 22:23:34] [INFO ] Fuse similar labels procedure discarded/fused a total of 165 labels/synchronizations in 8 ms.
[2021-05-08 22:23:34] [INFO ] Time to serialize gal into /tmp/LTL13742902901225517865.gal : 2 ms
[2021-05-08 22:23:34] [INFO ] Time to serialize properties into /tmp/LTL2048283056730664209.ltl : 1 ms
Invoking ITS tools like this :CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64, --gc-threshold, 2000000, -i, /tmp/LTL13742902901225517865.gal, -t, CGAL, -LTL, /tmp/LTL2048283056730664209.ltl, -c, -stutter-deadlock], workingDir=/home/mcc/execution]

its-ltl command run as :

/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64 --gc-threshold 2000000 -i /tmp/LTL13742902901225517865.gal -t CGAL -LTL /tmp/LTL2048283056730664209.ltl -c -stutter-deadlock
Read 1 LTL properties
Checking formula 0 : !((F(G("(((((((((((((((((((((((((((((((((((((((((i1.u1.CHOIX_1<1)||(u0.COMPTEUR_19<1))&&((i1.u1.CHOIX_1<1)||(u0.COMPTEUR_2<1)))&&((i1.u1.CHOIX_1<1)||(u0.COMPTEUR_1<1)))&&((i1.u1.CHOIX_1<1)||(u0.COMPTEUR_0<1)))&&((u3.CHOIX_0<1)||(u0.COMPTEUR_0<1)))&&((u3.CHOIX_0<1)||(u0.COMPTEUR_1<1)))&&((u3.CHOIX_0<1)||(u0.COMPTEUR_3<1)))&&((u3.CHOIX_0<1)||(u0.COMPTEUR_2<1)))&&((u3.CHOIX_0<1)||(u0.COMPTEUR_5<1)))&&((i1.u1.CHOIX_1<1)||(u0.COMPTEUR_18<1)))&&((u3.CHOIX_0<1)||(u0.COMPTEUR_4<1)))&&((i1.u1.CHOIX_1<1)||(u0.COMPTEUR_17<1)))&&((u3.CHOIX_0<1)||(u0.COMPTEUR_7<1)))&&((i1.u1.CHOIX_1<1)||(u0.COMPTEUR_16<1)))&&((u3.CHOIX_0<1)||(u0.COMPTEUR_6<1)))&&((i1.u1.CHOIX_1<1)||(u0.COMPTEUR_15<1)))&&((u3.CHOIX_0<1)||(u0.COMPTEUR_9<1)))&&((i1.u1.CHOIX_1<1)||(u0.COMPTEUR_14<1)))&&((u3.CHOIX_0<1)||(u0.COMPTEUR_8<1)))&&((i1.u1.CHOIX_1<1)||(u0.COMPTEUR_13<1)))&&((u3.CHOIX_0<1)||(u0.COMPTEUR_11<1)))&&((i1.u1.CHOIX_1<1)||(u0.COMPTEUR_12<1)))&&((u3.CHOIX_0<1)||(u0.COMPTEUR_10<1)))&&((i1.u1.CHOIX_1<1)||(u0.COMPTEUR_11<1)))&&((u3.CHOIX_0<1)||(u0.COMPTEUR_13<1)))&&((i1.u1.CHOIX_1<1)||(u0.COMPTEUR_10<1)))&&((u3.CHOIX_0<1)||(u0.COMPTEUR_12<1)))&&((i1.u1.CHOIX_1<1)||(u0.COMPTEUR_9<1)))&&((u3.CHOIX_0<1)||(u0.COMPTEUR_15<1)))&&((i1.u1.CHOIX_1<1)||(u0.COMPTEUR_8<1)))&&((u3.CHOIX_0<1)||(u0.COMPTEUR_14<1)))&&((i1.u1.CHOIX_1<1)||(u0.COMPTEUR_7<1)))&&((u3.CHOIX_0<1)||(u0.COMPTEUR_17<1)))&&((i1.u1.CHOIX_1<1)||(u0.COMPTEUR_6<1)))&&((u3.CHOIX_0<1)||(u0.COMPTEUR_16<1)))&&((i1.u1.CHOIX_1<1)||(u0.COMPTEUR_5<1)))&&((u3.CHOIX_0<1)||(u0.COMPTEUR_19<1)))&&((i1.u1.CHOIX_1<1)||(u0.COMPTEUR_4<1)))&&((u3.CHOIX_0<1)||(u0.COMPTEUR_18<1)))&&((i1.u1.CHOIX_1<1)||(u0.COMPTEUR_3<1)))"))))
Formula 0 simplified : !FG"(((((((((((((((((((((((((((((((((((((((((i1.u1.CHOIX_1<1)||(u0.COMPTEUR_19<1))&&((i1.u1.CHOIX_1<1)||(u0.COMPTEUR_2<1)))&&((i1.u1.CHOIX_1<1)||(u0.COMPTEUR_1<1)))&&((i1.u1.CHOIX_1<1)||(u0.COMPTEUR_0<1)))&&((u3.CHOIX_0<1)||(u0.COMPTEUR_0<1)))&&((u3.CHOIX_0<1)||(u0.COMPTEUR_1<1)))&&((u3.CHOIX_0<1)||(u0.COMPTEUR_3<1)))&&((u3.CHOIX_0<1)||(u0.COMPTEUR_2<1)))&&((u3.CHOIX_0<1)||(u0.COMPTEUR_5<1)))&&((i1.u1.CHOIX_1<1)||(u0.COMPTEUR_18<1)))&&((u3.CHOIX_0<1)||(u0.COMPTEUR_4<1)))&&((i1.u1.CHOIX_1<1)||(u0.COMPTEUR_17<1)))&&((u3.CHOIX_0<1)||(u0.COMPTEUR_7<1)))&&((i1.u1.CHOIX_1<1)||(u0.COMPTEUR_16<1)))&&((u3.CHOIX_0<1)||(u0.COMPTEUR_6<1)))&&((i1.u1.CHOIX_1<1)||(u0.COMPTEUR_15<1)))&&((u3.CHOIX_0<1)||(u0.COMPTEUR_9<1)))&&((i1.u1.CHOIX_1<1)||(u0.COMPTEUR_14<1)))&&((u3.CHOIX_0<1)||(u0.COMPTEUR_8<1)))&&((i1.u1.CHOIX_1<1)||(u0.COMPTEUR_13<1)))&&((u3.CHOIX_0<1)||(u0.COMPTEUR_11<1)))&&((i1.u1.CHOIX_1<1)||(u0.COMPTEUR_12<1)))&&((u3.CHOIX_0<1)||(u0.COMPTEUR_10<1)))&&((i1.u1.CHOIX_1<1)||(u0.COMPTEUR_11<1)))&&((u3.CHOIX_0<1)||(u0.COMPTEUR_13<1)))&&((i1.u1.CHOIX_1<1)||(u0.COMPTEUR_10<1)))&&((u3.CHOIX_0<1)||(u0.COMPTEUR_12<1)))&&((i1.u1.CHOIX_1<1)||(u0.COMPTEUR_9<1)))&&((u3.CHOIX_0<1)||(u0.COMPTEUR_15<1)))&&((i1.u1.CHOIX_1<1)||(u0.COMPTEUR_8<1)))&&((u3.CHOIX_0<1)||(u0.COMPTEUR_14<1)))&&((i1.u1.CHOIX_1<1)||(u0.COMPTEUR_7<1)))&&((u3.CHOIX_0<1)||(u0.COMPTEUR_17<1)))&&((i1.u1.CHOIX_1<1)||(u0.COMPTEUR_6<1)))&&((u3.CHOIX_0<1)||(u0.COMPTEUR_16<1)))&&((i1.u1.CHOIX_1<1)||(u0.COMPTEUR_5<1)))&&((u3.CHOIX_0<1)||(u0.COMPTEUR_19<1)))&&((i1.u1.CHOIX_1<1)||(u0.COMPTEUR_4<1)))&&((u3.CHOIX_0<1)||(u0.COMPTEUR_18<1)))&&((i1.u1.CHOIX_1<1)||(u0.COMPTEUR_3<1)))"
Detected timeout of ITS tools.
Built C files in :
/tmp/ltsmin3992570597532930867
[2021-05-08 22:23:49] [INFO ] Built C files in 10ms conformant to PINS (ltsmin variant)in folder :/tmp/ltsmin3992570597532930867
Running compilation step : CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/include/, -I., -std=c99, -fPIC, -O2, model.c], workingDir=/tmp/ltsmin3992570597532930867]
WARNING : LTS min runner thread failed on error :java.lang.RuntimeException: Compilation or link of executable timed out.java.util.concurrent.TimeoutException: Subprocess running CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/include/, -I., -std=c99, -fPIC, -O2, model.c], workingDir=/tmp/ltsmin3992570597532930867] killed by timeout after 1 SECONDS
java.lang.RuntimeException: Compilation or link of executable timed out.java.util.concurrent.TimeoutException: Subprocess running CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/include/, -I., -std=c99, -fPIC, -O2, model.c], workingDir=/tmp/ltsmin3992570597532930867] killed by timeout after 1 SECONDS
at fr.lip6.move.gal.application.LTSminRunner$1.run(LTSminRunner.java:79)
at java.base/java.lang.Thread.run(Thread.java:834)
Treatment of property BridgeAndVehicles-COL-V20P20N20-15 finished in 35406 ms.
Using solver Z3 to compute partial order matrices.
Built C files in :
/tmp/ltsmin18252253818174481676
[2021-05-08 22:23:50] [INFO ] Computing symmetric may disable matrix : 968 transitions.
[2021-05-08 22:23:50] [INFO ] Applying decomposition
[2021-05-08 22:23:50] [INFO ] Computation of Complete disable matrix. took 78 ms. Total solver calls (SAT/UNSAT): 0(0/0)
[2021-05-08 22:23:50] [INFO ] Flatten gal took : 62 ms
[2021-05-08 22:23:50] [INFO ] Computing symmetric may enable matrix : 968 transitions.
[2021-05-08 22:23:50] [INFO ] Computation of Complete enable matrix. took 10 ms. Total solver calls (SAT/UNSAT): 0(0/0)
Converted graph to binary with : CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.louvain.binaries_1.0.0.202104292328/bin/convert-linux64, -i, /tmp/graph3913432961554296058.txt, -o, /tmp/graph3913432961554296058.bin, -w, /tmp/graph3913432961554296058.weights], workingDir=null]
Built communities with : CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.louvain.binaries_1.0.0.202104292328/bin/louvain-linux64, /tmp/graph3913432961554296058.bin, -l, -1, -v, -w, /tmp/graph3913432961554296058.weights, -q, 0, -e, 0.001], workingDir=null]
[2021-05-08 22:23:50] [INFO ] Decomposing Gal with order
[2021-05-08 22:23:50] [INFO ] Rewriting arrays to variables to allow decomposition.
[2021-05-08 22:23:51] [INFO ] Computing Do-Not-Accords matrix : 968 transitions.
[2021-05-08 22:23:51] [INFO ] Removed a total of 1871 redundant transitions.
[2021-05-08 22:23:51] [INFO ] Computation of Completed DNA matrix. took 63 ms. Total solver calls (SAT/UNSAT): 0(0/0)
[2021-05-08 22:23:51] [INFO ] Flatten gal took : 347 ms
[2021-05-08 22:23:51] [INFO ] Built C files in 1036ms conformant to PINS (ltsmin variant)in folder :/tmp/ltsmin18252253818174481676
Running compilation step : CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/include/, -I., -std=c99, -fPIC, -O2, model.c], workingDir=/tmp/ltsmin18252253818174481676]
[2021-05-08 22:23:51] [INFO ] Fuse similar labels procedure discarded/fused a total of 273 labels/synchronizations in 6 ms.
[2021-05-08 22:23:51] [INFO ] Time to serialize gal into /tmp/LTLFireability17010529204850258159.gal : 2 ms
[2021-05-08 22:23:51] [INFO ] Time to serialize properties into /tmp/LTLFireability8710809560606537751.ltl : 15 ms
Invoking ITS tools like this :CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64, --gc-threshold, 2000000, -i, /tmp/LTLFireability17010529204850258159.gal, -t, CGAL, -LTL, /tmp/LTLFireability8710809560606537751.ltl, -c, -stutter-deadlock], workingDir=/home/mcc/execution]

its-ltl command run as :

/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64 --gc-threshold 2000000 -i /tmp/LTLFireability17010529204850258159.gal -t CGAL -LTL /tmp/LTLFireability8710809560606537751.ltl -c -stutter-deadlock
Read 6 LTL properties
Checking formula 0 : !((G(F("((((((((((((((((((((((i1.u5.NB_ATTENTE_B_3<1)||(i1.u3.ROUTE_B_0<1))&&((i1.u5.NB_ATTENTE_B_20<1)||(i1.u3.ROUTE_B_0<1)))&&((i1.u5.NB_ATTENTE_B_13<1)||(i1.u3.ROUTE_B_0<1)))&&((i1.u5.NB_ATTENTE_B_10<1)||(i1.u3.ROUTE_B_0<1)))&&((i1.u5.NB_ATTENTE_B_8<1)||(i1.u3.ROUTE_B_0<1)))&&((i1.u5.NB_ATTENTE_B_6<1)||(i1.u3.ROUTE_B_0<1)))&&((i1.u5.NB_ATTENTE_B_11<1)||(i1.u3.ROUTE_B_0<1)))&&((i1.u5.NB_ATTENTE_B_2<1)||(i1.u3.ROUTE_B_0<1)))&&((i1.u5.NB_ATTENTE_B_15<1)||(i1.u3.ROUTE_B_0<1)))&&((i1.u5.NB_ATTENTE_B_19<1)||(i1.u3.ROUTE_B_0<1)))&&((i2.u4.NB_ATTENTE_B_0<1)||(i1.u3.ROUTE_B_0<1)))&&((i1.u5.NB_ATTENTE_B_17<1)||(i1.u3.ROUTE_B_0<1)))&&((i1.u5.NB_ATTENTE_B_14<1)||(i1.u3.ROUTE_B_0<1)))&&((i1.u5.NB_ATTENTE_B_4<1)||(i1.u3.ROUTE_B_0<1)))&&((i1.u5.NB_ATTENTE_B_7<1)||(i1.u3.ROUTE_B_0<1)))&&((i1.u5.NB_ATTENTE_B_9<1)||(i1.u3.ROUTE_B_0<1)))&&((i1.u5.NB_ATTENTE_B_5<1)||(i1.u3.ROUTE_B_0<1)))&&((i1.u5.NB_ATTENTE_B_12<1)||(i1.u3.ROUTE_B_0<1)))&&((i1.u5.NB_ATTENTE_B_1<1)||(i1.u3.ROUTE_B_0<1)))&&((i1.u5.NB_ATTENTE_B_16<1)||(i1.u3.ROUTE_B_0<1)))&&((i1.u5.NB_ATTENTE_B_18<1)||(i1.u3.ROUTE_B_0<1)))"))))
Formula 0 simplified : !GF"((((((((((((((((((((((i1.u5.NB_ATTENTE_B_3<1)||(i1.u3.ROUTE_B_0<1))&&((i1.u5.NB_ATTENTE_B_20<1)||(i1.u3.ROUTE_B_0<1)))&&((i1.u5.NB_ATTENTE_B_13<1)||(i1.u3.ROUTE_B_0<1)))&&((i1.u5.NB_ATTENTE_B_10<1)||(i1.u3.ROUTE_B_0<1)))&&((i1.u5.NB_ATTENTE_B_8<1)||(i1.u3.ROUTE_B_0<1)))&&((i1.u5.NB_ATTENTE_B_6<1)||(i1.u3.ROUTE_B_0<1)))&&((i1.u5.NB_ATTENTE_B_11<1)||(i1.u3.ROUTE_B_0<1)))&&((i1.u5.NB_ATTENTE_B_2<1)||(i1.u3.ROUTE_B_0<1)))&&((i1.u5.NB_ATTENTE_B_15<1)||(i1.u3.ROUTE_B_0<1)))&&((i1.u5.NB_ATTENTE_B_19<1)||(i1.u3.ROUTE_B_0<1)))&&((i2.u4.NB_ATTENTE_B_0<1)||(i1.u3.ROUTE_B_0<1)))&&((i1.u5.NB_ATTENTE_B_17<1)||(i1.u3.ROUTE_B_0<1)))&&((i1.u5.NB_ATTENTE_B_14<1)||(i1.u3.ROUTE_B_0<1)))&&((i1.u5.NB_ATTENTE_B_4<1)||(i1.u3.ROUTE_B_0<1)))&&((i1.u5.NB_ATTENTE_B_7<1)||(i1.u3.ROUTE_B_0<1)))&&((i1.u5.NB_ATTENTE_B_9<1)||(i1.u3.ROUTE_B_0<1)))&&((i1.u5.NB_ATTENTE_B_5<1)||(i1.u3.ROUTE_B_0<1)))&&((i1.u5.NB_ATTENTE_B_12<1)||(i1.u3.ROUTE_B_0<1)))&&((i1.u5.NB_ATTENTE_B_1<1)||(i1.u3.ROUTE_B_0<1)))&&((i1.u5.NB_ATTENTE_B_16<1)||(i1.u3.ROUTE_B_0<1)))&&((i1.u5.NB_ATTENTE_B_18<1)||(i1.u3.ROUTE_B_0<1)))"
Compilation finished in 12166 ms.
Running link step : CommandLine [args=[gcc, -shared, -o, gal.so, model.o], workingDir=/tmp/ltsmin18252253818174481676]
Link finished in 91 ms.
Running LTSmin : CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/pins2lts-mc-linux64, ./gal.so, --threads=8, -p, --pins-guards, --when, --ltl, [](<>((LTLAPp0==true))), --buchi-type=spotba], workingDir=/tmp/ltsmin18252253818174481676]
WARNING : LTSmin timed out (>327 s) on command CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/pins2lts-mc-linux64, ./gal.so, --threads=8, -p, --pins-guards, --when, --ltl, [](<>((LTLAPp0==true))), --buchi-type=spotba], workingDir=/tmp/ltsmin18252253818174481676]
Running LTSmin : CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/pins2lts-mc-linux64, ./gal.so, --threads=8, -p, --pins-guards, --when, --ltl, [](<>((LTLAPp1==true))), --buchi-type=spotba], workingDir=/tmp/ltsmin18252253818174481676]
LTSmin run took 5716 ms.
FORMULA BridgeAndVehicles-COL-V20P20N20-04 FALSE TECHNIQUES PARTIAL_ORDER EXPLICIT LTSMIN SAT_SMT
Running LTSmin : CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/pins2lts-mc-linux64, ./gal.so, --threads=8, -p, --pins-guards, --when, --ltl, []((<>(!(LTLAPp2==true))&&<>([](((!(LTLAPp4==true) U ((<>(!(LTLAPp2==true))&&(LTLAPp5==true))||[](!(LTLAPp4==true))))&&(LTLAPp3==true)))))), --buchi-type=spotba], workingDir=/tmp/ltsmin18252253818174481676]
WARNING : LTSmin timed out (>327 s) on command CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/pins2lts-mc-linux64, ./gal.so, --threads=8, -p, --pins-guards, --when, --ltl, []((<>(!(LTLAPp2==true))&&<>([](((!(LTLAPp4==true) U ((<>(!(LTLAPp2==true))&&(LTLAPp5==true))||[](!(LTLAPp4==true))))&&(LTLAPp3==true)))))), --buchi-type=spotba], workingDir=/tmp/ltsmin18252253818174481676]
Running LTSmin : CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/pins2lts-mc-linux64, ./gal.so, --threads=8, -p, --pins-guards, --when, --ltl, <>(([](<>((LTLAPp6==true)))||(!(LTLAPp6==true)&&<>((LTLAPp6==true))))), --buchi-type=spotba], workingDir=/tmp/ltsmin18252253818174481676]
LTSmin run took 2334 ms.
FORMULA BridgeAndVehicles-COL-V20P20N20-08 TRUE TECHNIQUES PARTIAL_ORDER EXPLICIT LTSMIN SAT_SMT
Running LTSmin : CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/pins2lts-mc-linux64, ./gal.so, --threads=8, --when, --ltl, (<>((LTLAPp7==true))||X(((LTLAPp8==true) U ((LTLAPp9==true)||[]((LTLAPp8==true)))))), --buchi-type=spotba], workingDir=/tmp/ltsmin18252253818174481676]
LTSmin run took 7246 ms.
FORMULA BridgeAndVehicles-COL-V20P20N20-13 TRUE TECHNIQUES EXPLICIT LTSMIN SAT_SMT
Running LTSmin : CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/pins2lts-mc-linux64, ./gal.so, --threads=8, -p, --pins-guards, --when, --ltl, <>([]((LTLAPp6==true))), --buchi-type=spotba], workingDir=/tmp/ltsmin18252253818174481676]
WARNING : LTSmin timed out (>327 s) on command CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/pins2lts-mc-linux64, ./gal.so, --threads=8, -p, --pins-guards, --when, --ltl, <>([]((LTLAPp6==true))), --buchi-type=spotba], workingDir=/tmp/ltsmin18252253818174481676]
Retrying LTSmin with larger timeout 2616 s
Running LTSmin : CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.ltsmin.binaries_1.0.0.202104292328/bin/pins2lts-mc-linux64, ./gal.so, --threads=8, -p, --pins-guards, --when, --ltl, [](<>((LTLAPp0==true))), --buchi-type=spotba], workingDir=/tmp/ltsmin18252253818174481676]
Reverse transition relation is NOT exact ! Due to transitions enregistrement_AcA0, basculements0, basculements1, enregistrement_BcB0, altern_cpts0cpt20, altern_cpts1cpt20, timeout_As0cpt16cA0cB10, timeout_As0cpt20cA0cB10, i0.u0.liberation_A, i0.u0.liberation_B, i2.timeout_Bs1cpt20cA19cB0, i2.timeout_Bs1cpt16cA19cB0, Intersection with reachable at each step enabled. (destroyed/reverse/intersect/total) :2/8/12/22
Computing Next relation with stutter on 40 deadlock states
Detected timeout of ITS tools.
[2021-05-08 22:45:08] [INFO ] Applying decomposition
[2021-05-08 22:45:09] [INFO ] Flatten gal took : 146 ms
[2021-05-08 22:45:09] [INFO ] Decomposing Gal with order
[2021-05-08 22:45:09] [INFO ] Rewriting arrays to variables to allow decomposition.
[2021-05-08 22:45:09] [INFO ] Removed a total of 5350 redundant transitions.
[2021-05-08 22:45:09] [INFO ] Flatten gal took : 338 ms
[2021-05-08 22:45:09] [INFO ] Fuse similar labels procedure discarded/fused a total of 0 labels/synchronizations in 46 ms.
[2021-05-08 22:45:09] [INFO ] Time to serialize gal into /tmp/LTLFireability2227851720443193111.gal : 20 ms
[2021-05-08 22:45:09] [INFO ] Time to serialize properties into /tmp/LTLFireability6103130433801960529.ltl : 17 ms
Invoking ITS tools like this :CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64, --gc-threshold, 2000000, -i, /tmp/LTLFireability2227851720443193111.gal, -t, CGAL, -LTL, /tmp/LTLFireability6103130433801960529.ltl, -c, -stutter-deadlock], workingDir=/home/mcc/execution]

its-ltl command run as :

/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64 --gc-threshold 2000000 -i /tmp/LTLFireability2227851720443193111.gal -t CGAL -LTL /tmp/LTLFireability6103130433801960529.ltl -c -stutter-deadlock
Read 3 LTL properties
Checking formula 0 : !((G(F("((((((((((((((((((((((voitureB3.NB_ATTENTE_B_3<1)||(ROUTE_B.ROUTE_B_0<1))&&((voitureB20.NB_ATTENTE_B_20<1)||(ROUTE_B.ROUTE_B_0<1)))&&((voitureB13.NB_ATTENTE_B_13<1)||(ROUTE_B.ROUTE_B_0<1)))&&((voitureB10.NB_ATTENTE_B_10<1)||(ROUTE_B.ROUTE_B_0<1)))&&((voitureB8.NB_ATTENTE_B_8<1)||(ROUTE_B.ROUTE_B_0<1)))&&((voitureB6.NB_ATTENTE_B_6<1)||(ROUTE_B.ROUTE_B_0<1)))&&((voitureB11.NB_ATTENTE_B_11<1)||(ROUTE_B.ROUTE_B_0<1)))&&((voitureB2.NB_ATTENTE_B_2<1)||(ROUTE_B.ROUTE_B_0<1)))&&((voitureB15.NB_ATTENTE_B_15<1)||(ROUTE_B.ROUTE_B_0<1)))&&((voitureB19.NB_ATTENTE_B_19<1)||(ROUTE_B.ROUTE_B_0<1)))&&((voitureB0.NB_ATTENTE_B_0<1)||(ROUTE_B.ROUTE_B_0<1)))&&((voitureB17.NB_ATTENTE_B_17<1)||(ROUTE_B.ROUTE_B_0<1)))&&((voitureB14.NB_ATTENTE_B_14<1)||(ROUTE_B.ROUTE_B_0<1)))&&((voitureB4.NB_ATTENTE_B_4<1)||(ROUTE_B.ROUTE_B_0<1)))&&((voitureB7.NB_ATTENTE_B_7<1)||(ROUTE_B.ROUTE_B_0<1)))&&((voitureB9.NB_ATTENTE_B_9<1)||(ROUTE_B.ROUTE_B_0<1)))&&((voitureB5.NB_ATTENTE_B_5<1)||(ROUTE_B.ROUTE_B_0<1)))&&((voitureB12.NB_ATTENTE_B_12<1)||(ROUTE_B.ROUTE_B_0<1)))&&((voitureB1.NB_ATTENTE_B_1<1)||(ROUTE_B.ROUTE_B_0<1)))&&((voitureB16.NB_ATTENTE_B_16<1)||(ROUTE_B.ROUTE_B_0<1)))&&((voitureB18.NB_ATTENTE_B_18<1)||(ROUTE_B.ROUTE_B_0<1)))"))))
Formula 0 simplified : !GF"((((((((((((((((((((((voitureB3.NB_ATTENTE_B_3<1)||(ROUTE_B.ROUTE_B_0<1))&&((voitureB20.NB_ATTENTE_B_20<1)||(ROUTE_B.ROUTE_B_0<1)))&&((voitureB13.NB_ATTENTE_B_13<1)||(ROUTE_B.ROUTE_B_0<1)))&&((voitureB10.NB_ATTENTE_B_10<1)||(ROUTE_B.ROUTE_B_0<1)))&&((voitureB8.NB_ATTENTE_B_8<1)||(ROUTE_B.ROUTE_B_0<1)))&&((voitureB6.NB_ATTENTE_B_6<1)||(ROUTE_B.ROUTE_B_0<1)))&&((voitureB11.NB_ATTENTE_B_11<1)||(ROUTE_B.ROUTE_B_0<1)))&&((voitureB2.NB_ATTENTE_B_2<1)||(ROUTE_B.ROUTE_B_0<1)))&&((voitureB15.NB_ATTENTE_B_15<1)||(ROUTE_B.ROUTE_B_0<1)))&&((voitureB19.NB_ATTENTE_B_19<1)||(ROUTE_B.ROUTE_B_0<1)))&&((voitureB0.NB_ATTENTE_B_0<1)||(ROUTE_B.ROUTE_B_0<1)))&&((voitureB17.NB_ATTENTE_B_17<1)||(ROUTE_B.ROUTE_B_0<1)))&&((voitureB14.NB_ATTENTE_B_14<1)||(ROUTE_B.ROUTE_B_0<1)))&&((voitureB4.NB_ATTENTE_B_4<1)||(ROUTE_B.ROUTE_B_0<1)))&&((voitureB7.NB_ATTENTE_B_7<1)||(ROUTE_B.ROUTE_B_0<1)))&&((voitureB9.NB_ATTENTE_B_9<1)||(ROUTE_B.ROUTE_B_0<1)))&&((voitureB5.NB_ATTENTE_B_5<1)||(ROUTE_B.ROUTE_B_0<1)))&&((voitureB12.NB_ATTENTE_B_12<1)||(ROUTE_B.ROUTE_B_0<1)))&&((voitureB1.NB_ATTENTE_B_1<1)||(ROUTE_B.ROUTE_B_0<1)))&&((voitureB16.NB_ATTENTE_B_16<1)||(ROUTE_B.ROUTE_B_0<1)))&&((voitureB18.NB_ATTENTE_B_18<1)||(ROUTE_B.ROUTE_B_0<1)))"
Detected timeout of ITS tools.
[2021-05-08 23:06:27] [INFO ] Flatten gal took : 174 ms
[2021-05-08 23:06:27] [INFO ] Input system was already deterministic with 968 transitions.
[2021-05-08 23:06:27] [INFO ] Transformed 76 places.
[2021-05-08 23:06:27] [INFO ] Transformed 968 transitions.
Running greatSPN : CommandLine [args=[/home/mcc/BenchKit/bin//..//greatspn//bin/pinvar, /home/mcc/execution/gspn], workingDir=/home/mcc/execution]
Run of greatSPN captured in /home/mcc/execution/outPut.txt
Running greatSPN : CommandLine [args=[/home/mcc/BenchKit/bin//..//greatspn//bin/RGMEDD2, /home/mcc/execution/gspn, -META, -varord-only], workingDir=/home/mcc/execution]
Run of greatSPN captured in /home/mcc/execution/outPut.txt
Using order generated by GreatSPN with heuristic : META
[2021-05-08 23:06:28] [INFO ] Time to serialize gal into /tmp/LTLFireability1970698691457988940.gal : 4 ms
[2021-05-08 23:06:28] [INFO ] Time to serialize properties into /tmp/LTLFireability4160020017981393901.ltl : 6 ms
Invoking ITS tools like this :CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64, --gc-threshold, 2000000, -i, /tmp/LTLFireability1970698691457988940.gal, -t, CGAL, -LTL, /tmp/LTLFireability4160020017981393901.ltl, -c, -stutter-deadlock, --load-order, /home/mcc/execution/model.ord, --gen-order, FOLLOW], workingDir=/home/mcc/execution]

its-ltl command run as :

/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202104292328/bin/its-ltl-linux64 --gc-threshold 2000000 -i /tmp/LTLFireability1970698691457988940.gal -t CGAL -LTL /tmp/LTLFireability4160020017981393901.ltl -c -stutter-deadlock --load-order /home/mcc/execution/model.ord --gen-order FOLLOW
Read 3 LTL properties
Successfully loaded order from file /home/mcc/execution/model.ord
Checking formula 0 : !((G(F("((((((((((((((((((((((NB_ATTENTE_B_3<1)||(ROUTE_B_0<1))&&((NB_ATTENTE_B_20<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_13<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_10<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_8<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_6<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_11<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_2<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_15<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_19<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_0<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_17<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_14<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_4<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_7<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_9<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_5<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_12<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_1<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_16<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_18<1)||(ROUTE_B_0<1)))"))))
Formula 0 simplified : !GF"((((((((((((((((((((((NB_ATTENTE_B_3<1)||(ROUTE_B_0<1))&&((NB_ATTENTE_B_20<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_13<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_10<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_8<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_6<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_11<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_2<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_15<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_19<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_0<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_17<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_14<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_4<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_7<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_9<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_5<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_12<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_1<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_16<1)||(ROUTE_B_0<1)))&&((NB_ATTENTE_B_18<1)||(ROUTE_B_0<1)))"

BK_TIME_CONFINEMENT_REACHED

--------------------
content from stderr:

+ export BINDIR=/home/mcc/BenchKit/bin//../
+ BINDIR=/home/mcc/BenchKit/bin//../
++ pwd
+ export MODEL=/home/mcc/execution
+ MODEL=/home/mcc/execution
+ [[ LTLFireability = StateSpace ]]
+ /home/mcc/BenchKit/bin//..//runeclipse.sh /home/mcc/execution LTLFireability -its -ltsmin -greatspnpath /home/mcc/BenchKit/bin//..//greatspn/ -order META -manyOrder -smt -timeout 3600
+ ulimit -s 65536
+ [[ -z '' ]]
+ export LTSMIN_MEM_SIZE=8589934592
+ LTSMIN_MEM_SIZE=8589934592
++ cut -d . -f 9
++ ls /home/mcc/BenchKit/bin//..//itstools/plugins/fr.lip6.move.gal.application.pnmcc_1.0.0.202104292328.jar
+ VERSION=0
+ echo 'Running Version 0'
+ /home/mcc/BenchKit/bin//..//itstools/its-tools -data /home/mcc/execution/workspace -pnfolder /home/mcc/execution -examination LTLFireability -spotpath /home/mcc/BenchKit/bin//..//ltlfilt -z3path /home/mcc/BenchKit/bin//..//z3/bin/z3 -yices2path /home/mcc/BenchKit/bin//..//yices/bin/yices -its -ltsmin -greatspnpath /home/mcc/BenchKit/bin//..//greatspn/ -order META -manyOrder -smt -timeout 3600 -vmargs -Dosgi.locking=none -Declipse.stateSaveDelayInterval=-1 -Dosgi.configuration.area=/tmp/.eclipse -Xss128m -Xms40m -Xmx16000m

Sequence of Actions to be Executed by the VM

This is useful if one wants to reexecute the tool in the VM from the submitted image disk.

set -x
# this is for BenchKit: configuration of major elements for the test
export BK_INPUT="BridgeAndVehicles-COL-V20P20N20"
export BK_EXAMINATION="LTLFireability"
export BK_TOOL="itstools"
export BK_RESULT_DIR="/tmp/BK_RESULTS/OUTPUTS"
export BK_TIME_CONFINEMENT="3600"
export BK_MEMORY_CONFINEMENT="16384"
export BK_BIN_PATH="/home/mcc/BenchKit/bin/"

# this is specific to your benchmark or test

export BIN_DIR="$HOME/BenchKit/bin"

# remove the execution directoty if it exists (to avoid increse of .vmdk images)
if [ -d execution ] ; then
rm -rf execution
fi

# this is for BenchKit: explicit launching of the test
echo "====================================================================="
echo " Generated by BenchKit 2-4028"
echo " Executing tool itstools"
echo " Input is BridgeAndVehicles-COL-V20P20N20, examination is LTLFireability"
echo " Time confinement is $BK_TIME_CONFINEMENT seconds"
echo " Memory confinement is 16384 MBytes"
echo " Number of cores is 4"
echo " Run identifier is r026-tajo-162038143300053"
echo "====================================================================="
echo
echo "--------------------"
echo "preparation of the directory to be used:"

tar xzf /home/mcc/BenchKit/INPUTS/BridgeAndVehicles-COL-V20P20N20.tgz
mv BridgeAndVehicles-COL-V20P20N20 execution
cd execution
if [ "LTLFireability" = "ReachabilityDeadlock" ] || [ "LTLFireability" = "UpperBounds" ] || [ "LTLFireability" = "QuasiLiveness" ] || [ "LTLFireability" = "StableMarking" ] || [ "LTLFireability" = "Liveness" ] || [ "LTLFireability" = "OneSafe" ] || [ "LTLFireability" = "StateSpace" ]; then
rm -f GenericPropertiesVerdict.xml
fi
pwd
ls -lh

echo
echo "--------------------"
echo "content from stdout:"
echo
echo "=== Data for post analysis generated by BenchKit (invocation template)"
echo
if [ "LTLFireability" = "UpperBounds" ] ; then
echo "The expected result is a vector of positive values"
echo NUM_VECTOR
elif [ "LTLFireability" != "StateSpace" ] ; then
echo "The expected result is a vector of booleans"
echo BOOL_VECTOR
else
echo "no data necessary for post analysis"
fi
echo
if [ -f "LTLFireability.txt" ] ; then
echo "here is the order used to build the result vector(from text file)"
for x in $(grep Property LTLFireability.txt | cut -d ' ' -f 2 | sort -u) ; do
echo "FORMULA_NAME $x"
done
elif [ -f "LTLFireability.xml" ] ; then # for cunf (txt files deleted;-)
echo echo "here is the order used to build the result vector(from xml file)"
for x in $(grep '' LTLFireability.xml | cut -d '>' -f 2 | cut -d '<' -f 1 | sort -u) ; do
echo "FORMULA_NAME $x"
done
elif [ "LTLFireability" = "ReachabilityDeadlock" ] || [ "LTLFireability" = "QuasiLiveness" ] || [ "LTLFireability" = "StableMarking" ] || [ "LTLFireability" = "Liveness" ] || [ "LTLFireability" = "OneSafe" ] ; then
echo "FORMULA_NAME LTLFireability"
fi
echo
echo "=== Now, execution of the tool begins"
echo
echo -n "BK_START "
date -u +%s%3N
echo
timeout -s 9 $BK_TIME_CONFINEMENT bash -c "/home/mcc/BenchKit/BenchKit_head.sh 2> STDERR ; echo ; echo -n \"BK_STOP \" ; date -u +%s%3N"
if [ $? -eq 137 ] ; then
echo
echo "BK_TIME_CONFINEMENT_REACHED"
fi
echo
echo "--------------------"
echo "content from stderr:"
echo
cat STDERR ;