fond
Model Checking Contest 2020
10th edition, Paris, France, June 23, 2020
Execution of r156-oct2-158972914000346
Last Updated
Jun 28, 2020

About the Execution of ITS-Tools for SafeBus-COL-06

Execution Summary
Max Memory
Used (MB)
Time wait (ms) CPU Usage (ms) I/O Wait (ms) Computed Result Execution
Status
15782.930 2065898.00 2769058.00 99395.40 TFFFFFFFTFFFFF?? normal

Execution Chart

We display below the execution chart for this examination (boot time has been removed).

Trace from the execution

Formatting '/data/fko/mcc2020-input.r156-oct2-158972914000346.qcow2', fmt=qcow2 size=4294967296 backing_file=/data/fko/mcc2020-input.qcow2 cluster_size=65536 lazy_refcounts=off refcount_bits=16
Waiting for the VM to be ready (probing ssh)
................................................
=====================================================================
Generated by BenchKit 2-4028
Executing tool itstools
Input is SafeBus-COL-06, examination is LTLFireability
Time confinement is 3600 seconds
Memory confinement is 16384 MBytes
Number of cores is 4
Run identifier is r156-oct2-158972914000346
=====================================================================

--------------------
preparation of the directory to be used:
/home/mcc/execution
total 224K
-rw-r--r-- 1 mcc users 4.2K Apr 12 12:07 CTLCardinality.txt
-rw-r--r-- 1 mcc users 24K Apr 12 12:07 CTLCardinality.xml
-rw-r--r-- 1 mcc users 2.6K Apr 11 13:16 CTLFireability.txt
-rw-r--r-- 1 mcc users 17K Apr 11 13:16 CTLFireability.xml
-rw-r--r-- 1 mcc users 4.0K Mar 24 05:38 GenericPropertiesDefinition.xml
-rw-r--r-- 1 mcc users 6.0K Mar 24 05:38 GenericPropertiesVerdict.xml
-rw-r--r-- 1 mcc users 3.2K Apr 14 12:50 LTLCardinality.txt
-rw-r--r-- 1 mcc users 22K Apr 28 14:02 LTLCardinality.xml
-rw-r--r-- 1 mcc users 2.0K Apr 14 12:50 LTLFireability.txt
-rw-r--r-- 1 mcc users 16K Apr 28 14:02 LTLFireability.xml
-rw-r--r-- 1 mcc users 3.4K Apr 10 17:23 ReachabilityCardinality.txt
-rw-r--r-- 1 mcc users 17K Apr 10 17:23 ReachabilityCardinality.xml
-rw-r--r-- 1 mcc users 2.6K Apr 9 23:50 ReachabilityFireability.txt
-rw-r--r-- 1 mcc users 15K Apr 9 23:50 ReachabilityFireability.xml
-rw-r--r-- 1 mcc users 1.6K Apr 10 22:28 UpperBounds.txt
-rw-r--r-- 1 mcc users 3.6K Apr 10 22:28 UpperBounds.xml
-rw-r--r-- 1 mcc users 5 Mar 24 05:38 equiv_pt
-rw-r--r-- 1 mcc users 3 Mar 24 05:38 instance
-rw-r--r-- 1 mcc users 5 Mar 24 05:38 iscolored
-rw-r--r-- 1 mcc users 42K Mar 24 05:38 model.pnml

--------------------
content from stdout:

=== Data for post analysis generated by BenchKit (invocation template)

The expected result is a vector of booleans
BOOL_VECTOR

here is the order used to build the result vector(from text file)
FORMULA_NAME SafeBus-COL-06-00
FORMULA_NAME SafeBus-COL-06-01
FORMULA_NAME SafeBus-COL-06-02
FORMULA_NAME SafeBus-COL-06-03
FORMULA_NAME SafeBus-COL-06-04
FORMULA_NAME SafeBus-COL-06-05
FORMULA_NAME SafeBus-COL-06-06
FORMULA_NAME SafeBus-COL-06-07
FORMULA_NAME SafeBus-COL-06-08
FORMULA_NAME SafeBus-COL-06-09
FORMULA_NAME SafeBus-COL-06-10
FORMULA_NAME SafeBus-COL-06-11
FORMULA_NAME SafeBus-COL-06-12
FORMULA_NAME SafeBus-COL-06-13
FORMULA_NAME SafeBus-COL-06-14
FORMULA_NAME SafeBus-COL-06-15

=== Now, execution of the tool begins

BK_START 1589891324517

[2020-05-19 12:28:46] [INFO ] Running its-tools with arguments : [-pnfolder, /home/mcc/execution, -examination, LTLFireability, -z3path, /home/mcc/BenchKit//z3/bin/z3, -yices2path, /home/mcc/BenchKit//yices/bin/yices, -its, -ltsminpath, /home/mcc/BenchKit//lts_install_dir/, -greatspnpath, /home/mcc/BenchKit//greatspn/, -order, META, -manyOrder, -smt, -timeout, 3600]
[2020-05-19 12:28:46] [INFO ] Parsing pnml file : /home/mcc/execution/model.pnml
[2020-05-19 12:28:46] [INFO ] Detected file is not PT type :http://www.pnml.org/version-2009/grammar/symmetricnet
SLF4J: Failed to load class "org.slf4j.impl.StaticLoggerBinder".
SLF4J: Defaulting to no-operation (NOP) logger implementation
SLF4J: See http://www.slf4j.org/codes.html#StaticLoggerBinder for further details.
[2020-05-19 12:28:47] [INFO ] Load time of PNML (colored model parsed with PNMLFW) : 608 ms
[2020-05-19 12:28:47] [INFO ] sort/places :
Dom->AMC,wait_ack,
Dot->cable_free,ACK,T_out,R_tout,S_tout,FMCb,
It->Cpt2,Cpt1,msgl,cable_used,FMC,wait_cable,listen,RMC,PMC,MSG,wait_msg,loop_em,

[2020-05-19 12:28:47] [INFO ] Detected 1 constant HL places corresponding to 6 PT places.
[2020-05-19 12:28:47] [INFO ] Imported 20 HL places and 14 HL transitions for a total of 150 PT places and 2029.0 transition bindings in 16 ms.
[2020-05-19 12:28:47] [INFO ] Computed order based on color domains.
[2020-05-19 12:28:47] [INFO ] Unfolded HLPN to a Petri net with 150 places and 463 transitions in 61 ms.
[2020-05-19 12:28:47] [INFO ] Unfolded HLPN properties in 0 ms.
[2020-05-19 12:28:47] [INFO ] Reduced 24 identical enabling conditions.
[2020-05-19 12:28:47] [INFO ] Reduced 24 identical enabling conditions.
[2020-05-19 12:28:47] [INFO ] Reduced 24 identical enabling conditions.
Deduced a syphon composed of 6 places in 2 ms
Reduce places removed 12 places and 12 transitions.
Parsed 16 properties from file /home/mcc/execution/LTLFireability.xml in 109 ms.
Working with output stream class java.io.PrintStream
Incomplete random walk after 100000 steps, including 0 resets, run finished after 406 ms. (steps per millisecond=246 ) properties seen :[1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 0, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 0, 1, 1, 1, 1, 1, 1, 0, 1, 1, 0, 1, 1, 0, 1, 1, 1, 1, 1, 0, 1, 1, 0, 1, 1, 0, 1, 0, 1, 0, 0, 1, 1, 1, 1, 0, 1, 1, 1, 1, 1, 1, 1, 1, 0, 1, 0, 1, 1, 1, 1, 1, 1, 1, 1, 0, 1, 1, 1, 1, 1, 0, 1, 1, 1, 1, 0, 1, 1, 1, 1, 1, 0, 1, 1, 1, 1, 1, 1, 1, 1, 1, 0, 1, 0, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 0, 0, 1, 1, 1, 1, 1, 1, 0, 1, 1, 1, 1, 0, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 0, 1, 0, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 0, 1, 1, 1, 1, 1, 1, 0, 0, 1, 1, 1, 0, 1, 1, 0, 1, 1, 1, 1, 1, 1, 1, 0, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 0, 1, 1, 1, 1, 1, 1, 1, 1, 0, 1, 1, 1, 1, 0, 1, 1, 0, 1, 1, 1, 1, 1, 1, 1]
[2020-05-19 12:28:48] [INFO ] Flow matrix only has 242 transitions (discarded 209 similar events)
// Phase 1: matrix 242 rows 138 cols
[2020-05-19 12:28:48] [INFO ] Computed 29 place invariants in 11 ms
[2020-05-19 12:28:48] [INFO ] [Real]Absence check using 13 positive place invariants in 25 ms returned sat
[2020-05-19 12:28:48] [INFO ] [Real]Absence check using 13 positive and 16 generalized place invariants in 4 ms returned unsat
[2020-05-19 12:28:48] [INFO ] [Real]Absence check using 13 positive place invariants in 5 ms returned sat
[2020-05-19 12:28:48] [INFO ] [Real]Absence check using 13 positive and 16 generalized place invariants in 10 ms returned unsat
[2020-05-19 12:28:48] [INFO ] [Real]Absence check using 13 positive place invariants in 16 ms returned sat
[2020-05-19 12:28:48] [INFO ] [Real]Absence check using 13 positive and 16 generalized place invariants in 3 ms returned unsat
[2020-05-19 12:28:48] [INFO ] [Real]Absence check using 13 positive place invariants in 6 ms returned sat
[2020-05-19 12:28:48] [INFO ] [Real]Absence check using 13 positive and 16 generalized place invariants in 3 ms returned unsat
[2020-05-19 12:28:48] [INFO ] [Real]Absence check using 13 positive place invariants in 9 ms returned sat
[2020-05-19 12:28:48] [INFO ] [Real]Absence check using 13 positive and 16 generalized place invariants in 5 ms returned unsat
[2020-05-19 12:28:48] [INFO ] [Real]Absence check using 13 positive place invariants in 16 ms returned sat
[2020-05-19 12:28:48] [INFO ] [Real]Absence check using 13 positive and 16 generalized place invariants in 1 ms returned unsat
[2020-05-19 12:28:48] [INFO ] [Real]Absence check using 13 positive place invariants in 7 ms returned sat
[2020-05-19 12:28:48] [INFO ] [Real]Absence check using 13 positive and 16 generalized place invariants in 2 ms returned unsat
[2020-05-19 12:28:48] [INFO ] [Real]Absence check using 13 positive place invariants in 5 ms returned sat
[2020-05-19 12:28:48] [INFO ] [Real]Absence check using 13 positive and 16 generalized place invariants in 2 ms returned unsat
[2020-05-19 12:28:48] [INFO ] [Real]Absence check using 13 positive place invariants in 19 ms returned sat
[2020-05-19 12:28:48] [INFO ] [Real]Absence check using 13 positive and 16 generalized place invariants in 2 ms returned unsat
[2020-05-19 12:28:48] [INFO ] [Real]Absence check using 13 positive place invariants in 15 ms returned sat
[2020-05-19 12:28:48] [INFO ] [Real]Absence check using 13 positive and 16 generalized place invariants in 5 ms returned unsat
[2020-05-19 12:28:48] [INFO ] [Real]Absence check using 13 positive place invariants in 6 ms returned sat
[2020-05-19 12:28:48] [INFO ] [Real]Absence check using 13 positive and 16 generalized place invariants in 3 ms returned unsat
[2020-05-19 12:28:48] [INFO ] [Real]Absence check using 13 positive place invariants in 6 ms returned sat
[2020-05-19 12:28:48] [INFO ] [Real]Absence check using 13 positive and 16 generalized place invariants in 4 ms returned unsat
[2020-05-19 12:28:48] [INFO ] [Real]Absence check using 13 positive place invariants in 15 ms returned sat
[2020-05-19 12:28:48] [INFO ] [Real]Absence check using 13 positive and 16 generalized place invariants in 4 ms returned unsat
[2020-05-19 12:28:48] [INFO ] [Real]Absence check using 13 positive place invariants in 16 ms returned sat
[2020-05-19 12:28:48] [INFO ] [Real]Absence check using 13 positive and 16 generalized place invariants in 5 ms returned unsat
[2020-05-19 12:28:48] [INFO ] [Real]Absence check using 13 positive place invariants in 5 ms returned sat
[2020-05-19 12:28:48] [INFO ] [Real]Absence check using 13 positive and 16 generalized place invariants in 2 ms returned unsat
[2020-05-19 12:28:49] [INFO ] [Real]Absence check using 13 positive place invariants in 5 ms returned sat
[2020-05-19 12:28:49] [INFO ] [Real]Absence check using 13 positive and 16 generalized place invariants in 2 ms returned unsat
[2020-05-19 12:28:49] [INFO ] [Real]Absence check using 13 positive place invariants in 24 ms returned sat
[2020-05-19 12:28:49] [INFO ] [Real]Absence check using 13 positive and 16 generalized place invariants in 2 ms returned unsat
[2020-05-19 12:28:49] [INFO ] [Real]Absence check using 13 positive place invariants in 7 ms returned sat
[2020-05-19 12:28:49] [INFO ] [Real]Absence check using 13 positive and 16 generalized place invariants in 5 ms returned unsat
[2020-05-19 12:28:49] [INFO ] [Real]Absence check using 13 positive place invariants in 5 ms returned sat
[2020-05-19 12:28:49] [INFO ] [Real]Absence check using 13 positive and 16 generalized place invariants in 3 ms returned unsat
[2020-05-19 12:28:49] [INFO ] [Real]Absence check using 13 positive place invariants in 81 ms returned sat
[2020-05-19 12:28:49] [INFO ] [Real]Absence check using 13 positive and 16 generalized place invariants in 21 ms returned unsat
[2020-05-19 12:28:49] [INFO ] [Real]Absence check using 13 positive place invariants in 5 ms returned sat
[2020-05-19 12:28:49] [INFO ] [Real]Absence check using 13 positive and 16 generalized place invariants in 1 ms returned unsat
[2020-05-19 12:28:49] [INFO ] [Real]Absence check using 13 positive place invariants in 106 ms returned sat
[2020-05-19 12:28:49] [INFO ] [Real]Absence check using 13 positive and 16 generalized place invariants in 82 ms returned unsat
[2020-05-19 12:28:49] [INFO ] [Real]Absence check using 13 positive place invariants in 15 ms returned sat
[2020-05-19 12:28:49] [INFO ] [Real]Absence check using 13 positive and 16 generalized place invariants in 5 ms returned unsat
[2020-05-19 12:28:49] [INFO ] [Real]Absence check using 13 positive place invariants in 108 ms returned sat
[2020-05-19 12:28:49] [INFO ] [Real]Absence check using 13 positive and 16 generalized place invariants in 105 ms returned unsat
[2020-05-19 12:28:49] [INFO ] [Real]Absence check using 13 positive place invariants in 92 ms returned sat
[2020-05-19 12:28:49] [INFO ] [Real]Absence check using 13 positive and 16 generalized place invariants in 77 ms returned unsat
[2020-05-19 12:28:50] [INFO ] [Real]Absence check using 13 positive place invariants in 105 ms returned sat
[2020-05-19 12:28:50] [INFO ] [Real]Absence check using 13 positive and 16 generalized place invariants in 11 ms returned unsat
[2020-05-19 12:28:50] [INFO ] [Real]Absence check using 13 positive place invariants in 92 ms returned sat
[2020-05-19 12:28:50] [INFO ] [Real]Absence check using 13 positive and 16 generalized place invariants in 106 ms returned unsat
[2020-05-19 12:28:50] [INFO ] [Real]Absence check using 13 positive place invariants in 5 ms returned sat
[2020-05-19 12:28:50] [INFO ] [Real]Absence check using 13 positive and 16 generalized place invariants in 72 ms returned unsat
[2020-05-19 12:28:50] [INFO ] [Real]Absence check using 13 positive place invariants in 6 ms returned sat
[2020-05-19 12:28:50] [INFO ] [Real]Absence check using 13 positive and 16 generalized place invariants in 2 ms returned unsat
[2020-05-19 12:28:50] [INFO ] [Real]Absence check using 13 positive place invariants in 5 ms returned sat
[2020-05-19 12:28:50] [INFO ] [Real]Absence check using 13 positive and 16 generalized place invariants in 2 ms returned unsat
[2020-05-19 12:28:50] [INFO ] [Real]Absence check using 13 positive place invariants in 4 ms returned sat
[2020-05-19 12:28:50] [INFO ] [Real]Absence check using 13 positive and 16 generalized place invariants in 2 ms returned unsat
[2020-05-19 12:28:50] [INFO ] [Real]Absence check using 13 positive place invariants in 5 ms returned sat
[2020-05-19 12:28:50] [INFO ] [Real]Absence check using 13 positive and 16 generalized place invariants in 3 ms returned unsat
[2020-05-19 12:28:50] [INFO ] [Real]Absence check using 13 positive place invariants in 5 ms returned sat
[2020-05-19 12:28:50] [INFO ] [Real]Absence check using 13 positive and 16 generalized place invariants in 10 ms returned unsat
[2020-05-19 12:28:50] [INFO ] [Real]Absence check using 13 positive place invariants in 5 ms returned sat
[2020-05-19 12:28:50] [INFO ] [Real]Absence check using 13 positive and 16 generalized place invariants in 3 ms returned unsat
[2020-05-19 12:28:50] [INFO ] [Real]Absence check using 13 positive place invariants in 96 ms returned sat
[2020-05-19 12:28:50] [INFO ] [Real]Absence check using 13 positive and 16 generalized place invariants in 86 ms returned unsat
[2020-05-19 12:28:50] [INFO ] [Real]Absence check using 13 positive place invariants in 5 ms returned sat
[2020-05-19 12:28:50] [INFO ] [Real]Absence check using 13 positive and 16 generalized place invariants in 2 ms returned unsat
Successfully simplified 36 atomic propositions for a total of 36 simplifications.
[2020-05-19 12:28:50] [INFO ] Initial state reduction rules for CTL removed 4 formulas.
[2020-05-19 12:28:51] [INFO ] Flatten gal took : 112 ms
FORMULA SafeBus-COL-06-10 FALSE TECHNIQUES TOPOLOGICAL INITIAL_STATE
FORMULA SafeBus-COL-06-02 FALSE TECHNIQUES TOPOLOGICAL INITIAL_STATE
FORMULA SafeBus-COL-06-01 FALSE TECHNIQUES TOPOLOGICAL INITIAL_STATE
FORMULA SafeBus-COL-06-00 TRUE TECHNIQUES TOPOLOGICAL INITIAL_STATE
[2020-05-19 12:28:51] [INFO ] Flatten gal took : 53 ms
Using solver Z3 to compute partial order matrices.
Built C files in :
/home/mcc/execution
[2020-05-19 12:28:51] [INFO ] Applying decomposition
[2020-05-19 12:28:51] [INFO ] Flatten gal took : 47 ms
[2020-05-19 12:28:51] [INFO ] Input system was already deterministic with 451 transitions.
Converted graph to binary with : CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.louvain.binaries_1.0.0.202005100927/bin/convert-linux64, -i, /tmp/graph4556973084659273650.txt, -o, /tmp/graph4556973084659273650.bin, -w, /tmp/graph4556973084659273650.weights], workingDir=null]
Built communities with : CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.louvain.binaries_1.0.0.202005100927/bin/louvain-linux64, /tmp/graph4556973084659273650.bin, -l, -1, -v, -w, /tmp/graph4556973084659273650.weights, -q, 0, -e, 0.001], workingDir=null]
[2020-05-19 12:28:51] [INFO ] Decomposing Gal with order
[2020-05-19 12:28:51] [INFO ] Rewriting arrays to variables to allow decomposition.
Presburger conditions satisfied. Using coverability to approximate state space in K-Induction.
Normalized transition count is 242 out of 451 initially.
// Phase 1: matrix 242 rows 138 cols
[2020-05-19 12:28:51] [INFO ] Computed 29 place invariants in 5 ms
inv : cable_used_0 + FMC_1 + FMC_2 + FMC_3 + FMC_4 + FMC_5 + wait_ack_6 + wait_ack_8 + wait_ack_9 + wait_ack_10 + wait_ack_11 + wait_ack_12 + wait_ack_13 + wait_ack_15 + wait_ack_16 + wait_ack_17 + wait_ack_18 + wait_ack_19 + wait_ack_20 + wait_ack_22 + wait_ack_23 + wait_ack_24 + wait_ack_25 + wait_ack_26 + wait_ack_27 + wait_ack_29 + wait_ack_30 + wait_ack_31 + wait_ack_32 + wait_ack_33 + wait_ack_34 - ACK_0 - PMC_0 - MSG_0 - MSG_1 - MSG_2 - MSG_3 - MSG_4 - MSG_5 - T_out_0 + FMCb_0 = 1
inv : AMC_12 + AMC_13 + AMC_14 + AMC_15 + AMC_16 + AMC_17 + wait_ack_12 + wait_ack_13 + wait_ack_15 + wait_ack_16 + wait_ack_17 + listen_2 + RMC_2 + PMC_2 + wait_msg_2 + loop_em_2 = 1
inv : Cpt2_4 - Cpt1_4 + AMC_3 - AMC_4 + AMC_9 - AMC_10 + AMC_15 - AMC_16 + AMC_21 - AMC_22 + AMC_27 - AMC_28 + AMC_33 - AMC_34 = 0
inv : wait_ack_24 + wait_ack_25 + wait_ack_26 + wait_ack_27 + wait_ack_29 + wait_cable_4 + listen_4 + wait_msg_4 + loop_em_4 = 1
inv : Cpt1_0 + Cpt1_1 + Cpt1_2 + Cpt1_3 + Cpt1_4 + Cpt1_5 = 1
inv : wait_ack_12 + wait_ack_13 + wait_ack_15 + wait_ack_16 + wait_ack_17 + wait_cable_2 + listen_2 + wait_msg_2 + loop_em_2 = 1
inv : AMC_18 + AMC_19 + AMC_20 + AMC_21 + AMC_22 + AMC_23 + wait_ack_18 + wait_ack_19 + wait_ack_20 + wait_ack_22 + wait_ack_23 + listen_3 + RMC_3 + PMC_3 + wait_msg_3 + loop_em_3 = 1
inv : FMC_0 + FMC_1 + FMC_2 + FMC_3 + FMC_4 + FMC_5 + FMCb_0 = 1
inv : wait_ack_6 + wait_ack_8 + wait_ack_9 + wait_ack_10 + wait_ack_11 + wait_cable_1 + listen_1 + wait_msg_1 + loop_em_1 = 1
inv : wait_ack_30 + wait_ack_31 + wait_ack_32 + wait_ack_33 + wait_ack_34 + wait_cable_5 + listen_5 + wait_msg_5 + loop_em_5 = 1
inv : Cpt2_0 + Cpt1_1 + Cpt1_2 + Cpt1_3 + Cpt1_4 + Cpt1_5 - AMC_0 + AMC_5 - AMC_6 + AMC_11 - AMC_12 + AMC_17 - AMC_18 + AMC_23 - AMC_24 + AMC_29 - AMC_30 + AMC_35 = 1
inv : -wait_ack_6 - wait_ack_8 - wait_ack_9 - wait_ack_10 - wait_ack_11 - wait_ack_12 - wait_ack_13 - wait_ack_15 - wait_ack_16 - wait_ack_17 - wait_ack_18 - wait_ack_19 - wait_ack_20 - wait_ack_22 - wait_ack_23 - wait_ack_24 - wait_ack_25 - wait_ack_26 - wait_ack_27 - wait_ack_29 - wait_ack_30 - wait_ack_31 - wait_ack_32 - wait_ack_33 - wait_ack_34 + wait_cable_0 + listen_0 + ACK_0 + MSG_0 + MSG_1 + MSG_2 + MSG_3 + MSG_4 + MSG_5 + T_out_0 + wait_msg_0 + loop_em_0 = 1
inv : wait_ack_1 + wait_ack_2 + wait_ack_3 + wait_ack_4 + wait_ack_5 + wait_ack_6 + wait_ack_8 + wait_ack_9 + wait_ack_10 + wait_ack_11 + wait_ack_12 + wait_ack_13 + wait_ack_15 + wait_ack_16 + wait_ack_17 + wait_ack_18 + wait_ack_19 + wait_ack_20 + wait_ack_22 + wait_ack_23 + wait_ack_24 + wait_ack_25 + wait_ack_26 + wait_ack_27 + wait_ack_29 + wait_ack_30 + wait_ack_31 + wait_ack_32 + wait_ack_33 + wait_ack_34 - ACK_0 - MSG_0 - MSG_1 - MSG_2 - MSG_3 - MSG_4 - MSG_5 - T_out_0 = 0
inv : cable_used_5 - FMC_5 - wait_ack_30 - wait_ack_31 - wait_ack_32 - wait_ack_33 - wait_ack_34 - PMC_5 = 0
inv : AMC_0 + AMC_1 + AMC_2 + AMC_3 + AMC_4 + AMC_5 - wait_ack_6 - wait_ack_8 - wait_ack_9 - wait_ack_10 - wait_ack_11 - wait_ack_12 - wait_ack_13 - wait_ack_15 - wait_ack_16 - wait_ack_17 - wait_ack_18 - wait_ack_19 - wait_ack_20 - wait_ack_22 - wait_ack_23 - wait_ack_24 - wait_ack_25 - wait_ack_26 - wait_ack_27 - wait_ack_29 - wait_ack_30 - wait_ack_31 - wait_ack_32 - wait_ack_33 - wait_ack_34 + listen_0 + RMC_0 + ACK_0 + PMC_0 + MSG_0 + MSG_1 + MSG_2 + MSG_3 + MSG_4 + MSG_5 + T_out_0 + wait_msg_0 + loop_em_0 = 1
inv : AMC_6 + AMC_7 + AMC_8 + AMC_9 + AMC_10 + AMC_11 + wait_ack_6 + wait_ack_8 + wait_ack_9 + wait_ack_10 + wait_ack_11 + listen_1 + RMC_1 + PMC_1 + wait_msg_1 + loop_em_1 = 1
inv : Cpt2_5 - Cpt1_5 + AMC_4 - AMC_5 + AMC_10 - AMC_11 + AMC_16 - AMC_17 + AMC_22 - AMC_23 + AMC_28 - AMC_29 + AMC_34 - AMC_35 = 0
inv : cable_used_2 - FMC_2 - wait_ack_12 - wait_ack_13 - wait_ack_15 - wait_ack_16 - wait_ack_17 - PMC_2 = 0
inv : wait_ack_18 + wait_ack_19 + wait_ack_20 + wait_ack_22 + wait_ack_23 + wait_cable_3 + listen_3 + wait_msg_3 + loop_em_3 = 1
inv : R_tout_0 + S_tout_0 = 1
inv : Cpt2_2 - Cpt1_2 + AMC_1 - AMC_2 + AMC_7 - AMC_8 + AMC_13 - AMC_14 + AMC_19 - AMC_20 + AMC_25 - AMC_26 + AMC_31 - AMC_32 = 0
inv : cable_used_4 - FMC_4 - wait_ack_24 - wait_ack_25 - wait_ack_26 - wait_ack_27 - wait_ack_29 - PMC_4 = 0
inv : Cpt2_3 - Cpt1_3 + AMC_2 - AMC_3 + AMC_8 - AMC_9 + AMC_14 - AMC_15 + AMC_20 - AMC_21 + AMC_26 - AMC_27 + AMC_32 - AMC_33 = 0
inv : cable_used_1 - FMC_1 - wait_ack_6 - wait_ack_8 - wait_ack_9 - wait_ack_10 - wait_ack_11 - PMC_1 = 0
inv : cable_used_3 - FMC_3 - wait_ack_18 - wait_ack_19 - wait_ack_20 - wait_ack_22 - wait_ack_23 - PMC_3 = 0
inv : AMC_24 + AMC_25 + AMC_26 + AMC_27 + AMC_28 + AMC_29 + wait_ack_24 + wait_ack_25 + wait_ack_26 + wait_ack_27 + wait_ack_29 + listen_4 + RMC_4 + PMC_4 + wait_msg_4 + loop_em_4 = 1
inv : Cpt2_1 - Cpt1_1 + AMC_0 - AMC_1 + AMC_6 - AMC_7 + AMC_12 - AMC_13 + AMC_18 - AMC_19 + AMC_24 - AMC_25 + AMC_30 - AMC_31 = 0
inv : cable_free_0 + ACK_0 + PMC_0 + PMC_1 + PMC_2 + PMC_3 + PMC_4 + PMC_5 + MSG_0 + MSG_1 + MSG_2 + MSG_3 + MSG_4 + MSG_5 + T_out_0 - FMCb_0 = 0
inv : AMC_30 + AMC_31 + AMC_32 + AMC_33 + AMC_34 + AMC_35 + wait_ack_30 + wait_ack_31 + wait_ack_32 + wait_ack_33 + wait_ack_34 + listen_5 + RMC_5 + PMC_5 + wait_msg_5 + loop_em_5 = 1
Total of 29 invariants.
[2020-05-19 12:28:51] [INFO ] Computed 29 place invariants in 17 ms
[2020-05-19 12:28:51] [INFO ] Removed a total of 467 redundant transitions.
[2020-05-19 12:28:51] [INFO ] Flatten gal took : 176 ms
[2020-05-19 12:28:51] [INFO ] Fuse similar labels procedure discarded/fused a total of 282 labels/synchronizations in 25 ms.
[2020-05-19 12:28:51] [INFO ] Time to serialize gal into /home/mcc/execution/LTLFireability.pnml.gal : 5 ms
[2020-05-19 12:28:51] [INFO ] Time to serialize properties into /home/mcc/execution/LTLFireability.ltl : 19 ms
Invoking ITS tools like this :CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202005100927/bin/its-ltl-linux64, --gc-threshold, 2000000, -i, /home/mcc/execution/LTLFireability.pnml.gal, -t, CGAL, -LTL, /home/mcc/execution/LTLFireability.ltl, -c, -stutter-deadlock], workingDir=/home/mcc/execution]

its-ltl command run as :

/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202005100927/bin/its-ltl-linux64 --gc-threshold 2000000 -i /home/mcc/execution/LTLFireability.pnml.gal -t CGAL -LTL /home/mcc/execution/LTLFireability.ltl -c -stutter-deadlock
Read 12 LTL properties
Checking formula 0 : !(((!(G("(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((i2.u11.Cpt2_1>=1)&&(i1.u9.cable_free_0>=1))&&(i2.u11.AMC_31>=1))&&(i2.u11.FMCb_0>=1))||((((i2.u11.Cpt2_2>=1)&&(i1.u9.cable_free_0>=1))&&(i2.u11.AMC_26>=1))&&(i2.u11.FMCb_0>=1)))||((((i2.u0.Cpt2_5>=1)&&(i1.u9.cable_free_0>=1))&&(i2.u0.AMC_35>=1))&&(i2.u11.FMCb_0>=1)))||((((i2.u11.Cpt2_3>=1)&&(i1.u9.cable_free_0>=1))&&(i2.u11.AMC_21>=1))&&(i2.u11.FMCb_0>=1)))||((((i2.u11.Cpt2_1>=1)&&(i1.u9.cable_free_0>=1))&&(i2.u11.AMC_7>=1))&&(i2.u11.FMCb_0>=1)))||((((i2.u11.Cpt2_2>=1)&&(i1.u9.cable_free_0>=1))&&(i2.u11.AMC_2>=1))&&(i2.u11.FMCb_0>=1)))||((((i2.u0.Cpt2_5>=1)&&(i1.u9.cable_free_0>=1))&&(i2.u0.AMC_11>=1))&&(i2.u11.FMCb_0>=1)))||((((i2.u0.Cpt2_5>=1)&&(i1.u9.cable_free_0>=1))&&(i2.u0.AMC_5>=1))&&(i2.u11.FMCb_0>=1)))||((((i2.u11.Cpt2_4>=1)&&(i1.u9.cable_free_0>=1))&&(i2.u0.AMC_10>=1))&&(i2.u11.FMCb_0>=1)))||((((i2.u11.Cpt2_4>=1)&&(i1.u9.cable_free_0>=1))&&(i2.u0.AMC_16>=1))&&(i2.u11.FMCb_0>=1)))||((((i2.u11.Cpt2_2>=1)&&(i1.u9.cable_free_0>=1))&&(i2.u11.AMC_32>=1))&&(i2.u11.FMCb_0>=1)))||((((i2.u11.Cpt2_1>=1)&&(i1.u9.cable_free_0>=1))&&(i2.u11.AMC_25>=1))&&(i2.u11.FMCb_0>=1)))||((((i2.u0.Cpt2_0>=1)&&(i1.u9.cable_free_0>=1))&&(i2.u11.AMC_30>=1))&&(i2.u11.FMCb_0>=1)))||((((i2.u11.Cpt2_3>=1)&&(i1.u9.cable_free_0>=1))&&(i2.u11.AMC_15>=1))&&(i2.u11.FMCb_0>=1)))||((((i2.u11.Cpt2_3>=1)&&(i1.u9.cable_free_0>=1))&&(i2.u11.AMC_3>=1))&&(i2.u11.FMCb_0>=1)))||((((i2.u0.Cpt2_0>=1)&&(i1.u9.cable_free_0>=1))&&(i2.u11.AMC_12>=1))&&(i2.u11.FMCb_0>=1)))||((((i2.u0.Cpt2_5>=1)&&(i1.u9.cable_free_0>=1))&&(i2.u0.AMC_23>=1))&&(i2.u11.FMCb_0>=1)))||((((i2.u11.Cpt2_2>=1)&&(i1.u9.cable_free_0>=1))&&(i2.u11.AMC_20>=1))&&(i2.u11.FMCb_0>=1)))||((((i2.u0.Cpt2_0>=1)&&(i1.u9.cable_free_0>=1))&&(i2.u11.AMC_24>=1))&&(i2.u11.FMCb_0>=1)))||((((i2.u11.Cpt2_3>=1)&&(i1.u9.cable_free_0>=1))&&(i2.u11.AMC_33>=1))&&(i2.u11.FMCb_0>=1)))||((((i2.u11.Cpt2_1>=1)&&(i1.u9.cable_free_0>=1))&&(i2.u11.AMC_19>=1))&&(i2.u11.FMCb_0>=1)))||((((i2.u11.Cpt2_4>=1)&&(i1.u9.cable_free_0>=1))&&(i2.u0.AMC_28>=1))&&(i2.u11.FMCb_0>=1)))||((((i2.u11.Cpt2_2>=1)&&(i1.u9.cable_free_0>=1))&&(i2.u11.AMC_14>=1))&&(i2.u11.FMCb_0>=1)))||((((i2.u0.Cpt2_0>=1)&&(i1.u9.cable_free_0>=1))&&(i2.u11.AMC_0>=1))&&(i2.u11.FMCb_0>=1)))||((((i2.u11.Cpt2_2>=1)&&(i1.u9.cable_free_0>=1))&&(i2.u11.AMC_8>=1))&&(i2.u11.FMCb_0>=1)))||((((i2.u11.Cpt2_1>=1)&&(i1.u9.cable_free_0>=1))&&(i2.u11.AMC_13>=1))&&(i2.u11.FMCb_0>=1)))||((((i2.u11.Cpt2_3>=1)&&(i1.u9.cable_free_0>=1))&&(i2.u11.AMC_27>=1))&&(i2.u11.FMCb_0>=1)))||((((i2.u11.Cpt2_4>=1)&&(i1.u9.cable_free_0>=1))&&(i2.u0.AMC_34>=1))&&(i2.u11.FMCb_0>=1)))||((((i2.u11.Cpt2_4>=1)&&(i1.u9.cable_free_0>=1))&&(i2.u0.AMC_22>=1))&&(i2.u11.FMCb_0>=1)))||((((i2.u0.Cpt2_0>=1)&&(i1.u9.cable_free_0>=1))&&(i2.u11.AMC_18>=1))&&(i2.u11.FMCb_0>=1)))||((((i2.u0.Cpt2_5>=1)&&(i1.u9.cable_free_0>=1))&&(i2.u0.AMC_29>=1))&&(i2.u11.FMCb_0>=1)))||((((i2.u11.Cpt2_4>=1)&&(i1.u9.cable_free_0>=1))&&(i2.u0.AMC_4>=1))&&(i2.u11.FMCb_0>=1)))||((((i2.u0.Cpt2_5>=1)&&(i1.u9.cable_free_0>=1))&&(i2.u0.AMC_17>=1))&&(i2.u11.FMCb_0>=1)))||((((i2.u11.Cpt2_1>=1)&&(i1.u9.cable_free_0>=1))&&(i2.u11.AMC_1>=1))&&(i2.u11.FMCb_0>=1)))||((((i2.u0.Cpt2_0>=1)&&(i1.u9.cable_free_0>=1))&&(i2.u11.AMC_6>=1))&&(i2.u11.FMCb_0>=1)))||((((i2.u11.Cpt2_3>=1)&&(i1.u9.cable_free_0>=1))&&(i2.u11.AMC_9>=1))&&(i2.u11.FMCb_0>=1)))||((i1.u9.wait_ack_15>=1)&&(i1.u9.T_out_0>=1)))||((i1.u9.wait_ack_5>=1)&&(i1.u9.T_out_0>=1)))||((i1.u9.wait_ack_25>=1)&&(i1.u9.T_out_0>=1)))||((i1.u9.wait_ack_29>=1)&&(i1.u9.T_out_0>=1)))||((i1.u9.wait_ack_10>=1)&&(i1.u9.T_out_0>=1)))||((i1.u9.wait_ack_30>=1)&&(i1.u9.T_out_0>=1)))||((i1.u9.wait_ack_34>=1)&&(i1.u9.T_out_0>=1)))||((i1.u9.wait_ack_19>=1)&&(i1.u9.T_out_0>=1)))||((i1.u9.wait_ack_6>=1)&&(i1.u9.T_out_0>=1)))||((i1.u9.wait_ack_31>=1)&&(i1.u9.T_out_0>=1)))||((i1.u9.wait_ack_9>=1)&&(i1.u9.T_out_0>=1)))||((i1.u9.wait_ack_26>=1)&&(i1.u9.T_out_0>=1)))||((i1.u9.wait_ack_18>=1)&&(i1.u9.T_out_0>=1)))||((i1.u9.wait_ack_1>=1)&&(i1.u9.T_out_0>=1)))||((i1.u9.wait_ack_22>=1)&&(i1.u9.T_out_0>=1)))||((i1.u9.wait_ack_32>=1)&&(i1.u9.T_out_0>=1)))||((i1.u9.wait_ack_12>=1)&&(i1.u9.T_out_0>=1)))||((i1.u9.wait_ack_27>=1)&&(i1.u9.T_out_0>=1)))||((i1.u9.wait_ack_13>=1)&&(i1.u9.T_out_0>=1)))||((i1.u9.wait_ack_2>=1)&&(i1.u9.T_out_0>=1)))||((i1.u9.wait_ack_8>=1)&&(i1.u9.T_out_0>=1)))||((i1.u9.wait_ack_23>=1)&&(i1.u9.T_out_0>=1)))||((i1.u9.wait_ack_17>=1)&&(i1.u9.T_out_0>=1)))||((i1.u9.wait_ack_16>=1)&&(i1.u9.T_out_0>=1)))||((i1.u9.wait_ack_33>=1)&&(i1.u9.T_out_0>=1)))||((i1.u9.wait_ack_4>=1)&&(i1.u9.T_out_0>=1)))||((i1.u9.wait_ack_11>=1)&&(i1.u9.T_out_0>=1)))||((i1.u9.wait_ack_24>=1)&&(i1.u9.T_out_0>=1)))||((i1.u9.wait_ack_20>=1)&&(i1.u9.T_out_0>=1)))||((i1.u9.wait_ack_3>=1)&&(i1.u9.T_out_0>=1)))")))&&(X("(((((((i1.u9.MSG_2>=1)&&(i1.u9.wait_msg_2>=1))||((i1.u9.MSG_3>=1)&&(i0.u5.wait_msg_3>=1)))||((i1.u9.MSG_0>=1)&&(i0.u4.wait_msg_0>=1)))||((i1.u9.MSG_1>=1)&&(i0.u12.wait_msg_1>=1)))||((i1.u9.MSG_4>=1)&&(i0.u6.wait_msg_4>=1)))||((i1.u9.MSG_5>=1)&&(i0.u7.wait_msg_5>=1)))"))))
Formula 0 simplified : !(!G"(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((i2.u11.Cpt2_1>=1)&&(i1.u9.cable_free_0>=1))&&(i2.u11.AMC_31>=1))&&(i2.u11.FMCb_0>=1))||((((i2.u11.Cpt2_2>=1)&&(i1.u9.cable_free_0>=1))&&(i2.u11.AMC_26>=1))&&(i2.u11.FMCb_0>=1)))||((((i2.u0.Cpt2_5>=1)&&(i1.u9.cable_free_0>=1))&&(i2.u0.AMC_35>=1))&&(i2.u11.FMCb_0>=1)))||((((i2.u11.Cpt2_3>=1)&&(i1.u9.cable_free_0>=1))&&(i2.u11.AMC_21>=1))&&(i2.u11.FMCb_0>=1)))||((((i2.u11.Cpt2_1>=1)&&(i1.u9.cable_free_0>=1))&&(i2.u11.AMC_7>=1))&&(i2.u11.FMCb_0>=1)))||((((i2.u11.Cpt2_2>=1)&&(i1.u9.cable_free_0>=1))&&(i2.u11.AMC_2>=1))&&(i2.u11.FMCb_0>=1)))||((((i2.u0.Cpt2_5>=1)&&(i1.u9.cable_free_0>=1))&&(i2.u0.AMC_11>=1))&&(i2.u11.FMCb_0>=1)))||((((i2.u0.Cpt2_5>=1)&&(i1.u9.cable_free_0>=1))&&(i2.u0.AMC_5>=1))&&(i2.u11.FMCb_0>=1)))||((((i2.u11.Cpt2_4>=1)&&(i1.u9.cable_free_0>=1))&&(i2.u0.AMC_10>=1))&&(i2.u11.FMCb_0>=1)))||((((i2.u11.Cpt2_4>=1)&&(i1.u9.cable_free_0>=1))&&(i2.u0.AMC_16>=1))&&(i2.u11.FMCb_0>=1)))||((((i2.u11.Cpt2_2>=1)&&(i1.u9.cable_free_0>=1))&&(i2.u11.AMC_32>=1))&&(i2.u11.FMCb_0>=1)))||((((i2.u11.Cpt2_1>=1)&&(i1.u9.cable_free_0>=1))&&(i2.u11.AMC_25>=1))&&(i2.u11.FMCb_0>=1)))||((((i2.u0.Cpt2_0>=1)&&(i1.u9.cable_free_0>=1))&&(i2.u11.AMC_30>=1))&&(i2.u11.FMCb_0>=1)))||((((i2.u11.Cpt2_3>=1)&&(i1.u9.cable_free_0>=1))&&(i2.u11.AMC_15>=1))&&(i2.u11.FMCb_0>=1)))||((((i2.u11.Cpt2_3>=1)&&(i1.u9.cable_free_0>=1))&&(i2.u11.AMC_3>=1))&&(i2.u11.FMCb_0>=1)))||((((i2.u0.Cpt2_0>=1)&&(i1.u9.cable_free_0>=1))&&(i2.u11.AMC_12>=1))&&(i2.u11.FMCb_0>=1)))||((((i2.u0.Cpt2_5>=1)&&(i1.u9.cable_free_0>=1))&&(i2.u0.AMC_23>=1))&&(i2.u11.FMCb_0>=1)))||((((i2.u11.Cpt2_2>=1)&&(i1.u9.cable_free_0>=1))&&(i2.u11.AMC_20>=1))&&(i2.u11.FMCb_0>=1)))||((((i2.u0.Cpt2_0>=1)&&(i1.u9.cable_free_0>=1))&&(i2.u11.AMC_24>=1))&&(i2.u11.FMCb_0>=1)))||((((i2.u11.Cpt2_3>=1)&&(i1.u9.cable_free_0>=1))&&(i2.u11.AMC_33>=1))&&(i2.u11.FMCb_0>=1)))||((((i2.u11.Cpt2_1>=1)&&(i1.u9.cable_free_0>=1))&&(i2.u11.AMC_19>=1))&&(i2.u11.FMCb_0>=1)))||((((i2.u11.Cpt2_4>=1)&&(i1.u9.cable_free_0>=1))&&(i2.u0.AMC_28>=1))&&(i2.u11.FMCb_0>=1)))||((((i2.u11.Cpt2_2>=1)&&(i1.u9.cable_free_0>=1))&&(i2.u11.AMC_14>=1))&&(i2.u11.FMCb_0>=1)))||((((i2.u0.Cpt2_0>=1)&&(i1.u9.cable_free_0>=1))&&(i2.u11.AMC_0>=1))&&(i2.u11.FMCb_0>=1)))||((((i2.u11.Cpt2_2>=1)&&(i1.u9.cable_free_0>=1))&&(i2.u11.AMC_8>=1))&&(i2.u11.FMCb_0>=1)))||((((i2.u11.Cpt2_1>=1)&&(i1.u9.cable_free_0>=1))&&(i2.u11.AMC_13>=1))&&(i2.u11.FMCb_0>=1)))||((((i2.u11.Cpt2_3>=1)&&(i1.u9.cable_free_0>=1))&&(i2.u11.AMC_27>=1))&&(i2.u11.FMCb_0>=1)))||((((i2.u11.Cpt2_4>=1)&&(i1.u9.cable_free_0>=1))&&(i2.u0.AMC_34>=1))&&(i2.u11.FMCb_0>=1)))||((((i2.u11.Cpt2_4>=1)&&(i1.u9.cable_free_0>=1))&&(i2.u0.AMC_22>=1))&&(i2.u11.FMCb_0>=1)))||((((i2.u0.Cpt2_0>=1)&&(i1.u9.cable_free_0>=1))&&(i2.u11.AMC_18>=1))&&(i2.u11.FMCb_0>=1)))||((((i2.u0.Cpt2_5>=1)&&(i1.u9.cable_free_0>=1))&&(i2.u0.AMC_29>=1))&&(i2.u11.FMCb_0>=1)))||((((i2.u11.Cpt2_4>=1)&&(i1.u9.cable_free_0>=1))&&(i2.u0.AMC_4>=1))&&(i2.u11.FMCb_0>=1)))||((((i2.u0.Cpt2_5>=1)&&(i1.u9.cable_free_0>=1))&&(i2.u0.AMC_17>=1))&&(i2.u11.FMCb_0>=1)))||((((i2.u11.Cpt2_1>=1)&&(i1.u9.cable_free_0>=1))&&(i2.u11.AMC_1>=1))&&(i2.u11.FMCb_0>=1)))||((((i2.u0.Cpt2_0>=1)&&(i1.u9.cable_free_0>=1))&&(i2.u11.AMC_6>=1))&&(i2.u11.FMCb_0>=1)))||((((i2.u11.Cpt2_3>=1)&&(i1.u9.cable_free_0>=1))&&(i2.u11.AMC_9>=1))&&(i2.u11.FMCb_0>=1)))||((i1.u9.wait_ack_15>=1)&&(i1.u9.T_out_0>=1)))||((i1.u9.wait_ack_5>=1)&&(i1.u9.T_out_0>=1)))||((i1.u9.wait_ack_25>=1)&&(i1.u9.T_out_0>=1)))||((i1.u9.wait_ack_29>=1)&&(i1.u9.T_out_0>=1)))||((i1.u9.wait_ack_10>=1)&&(i1.u9.T_out_0>=1)))||((i1.u9.wait_ack_30>=1)&&(i1.u9.T_out_0>=1)))||((i1.u9.wait_ack_34>=1)&&(i1.u9.T_out_0>=1)))||((i1.u9.wait_ack_19>=1)&&(i1.u9.T_out_0>=1)))||((i1.u9.wait_ack_6>=1)&&(i1.u9.T_out_0>=1)))||((i1.u9.wait_ack_31>=1)&&(i1.u9.T_out_0>=1)))||((i1.u9.wait_ack_9>=1)&&(i1.u9.T_out_0>=1)))||((i1.u9.wait_ack_26>=1)&&(i1.u9.T_out_0>=1)))||((i1.u9.wait_ack_18>=1)&&(i1.u9.T_out_0>=1)))||((i1.u9.wait_ack_1>=1)&&(i1.u9.T_out_0>=1)))||((i1.u9.wait_ack_22>=1)&&(i1.u9.T_out_0>=1)))||((i1.u9.wait_ack_32>=1)&&(i1.u9.T_out_0>=1)))||((i1.u9.wait_ack_12>=1)&&(i1.u9.T_out_0>=1)))||((i1.u9.wait_ack_27>=1)&&(i1.u9.T_out_0>=1)))||((i1.u9.wait_ack_13>=1)&&(i1.u9.T_out_0>=1)))||((i1.u9.wait_ack_2>=1)&&(i1.u9.T_out_0>=1)))||((i1.u9.wait_ack_8>=1)&&(i1.u9.T_out_0>=1)))||((i1.u9.wait_ack_23>=1)&&(i1.u9.T_out_0>=1)))||((i1.u9.wait_ack_17>=1)&&(i1.u9.T_out_0>=1)))||((i1.u9.wait_ack_16>=1)&&(i1.u9.T_out_0>=1)))||((i1.u9.wait_ack_33>=1)&&(i1.u9.T_out_0>=1)))||((i1.u9.wait_ack_4>=1)&&(i1.u9.T_out_0>=1)))||((i1.u9.wait_ack_11>=1)&&(i1.u9.T_out_0>=1)))||((i1.u9.wait_ack_24>=1)&&(i1.u9.T_out_0>=1)))||((i1.u9.wait_ack_20>=1)&&(i1.u9.T_out_0>=1)))||((i1.u9.wait_ack_3>=1)&&(i1.u9.T_out_0>=1)))" & X"(((((((i1.u9.MSG_2>=1)&&(i1.u9.wait_msg_2>=1))||((i1.u9.MSG_3>=1)&&(i0.u5.wait_msg_3>=1)))||((i1.u9.MSG_0>=1)&&(i0.u4.wait_msg_0>=1)))||((i1.u9.MSG_1>=1)&&(i0.u12.wait_msg_1>=1)))||((i1.u9.MSG_4>=1)&&(i0.u6.wait_msg_4>=1)))||((i1.u9.MSG_5>=1)&&(i0.u7.wait_msg_5>=1)))")
built 4 ordering constraints for composite.
built 34 ordering constraints for composite.
built 47 ordering constraints for composite.
built 87 ordering constraints for composite.
[2020-05-19 12:28:52] [INFO ] Proved 138 variables to be positive in 513 ms
[2020-05-19 12:28:52] [INFO ] Computing symmetric may disable matrix : 451 transitions.
[2020-05-19 12:28:52] [INFO ] Computation of disable matrix completed :0/451 took 0 ms. Total solver calls (SAT/UNSAT): 0(0/0)
[2020-05-19 12:28:52] [INFO ] Computation of Complete disable matrix. took 60 ms. Total solver calls (SAT/UNSAT): 0(0/0)
[2020-05-19 12:28:52] [INFO ] Computing symmetric may enable matrix : 451 transitions.
[2020-05-19 12:28:52] [INFO ] Computation of Complete enable matrix. took 53 ms. Total solver calls (SAT/UNSAT): 0(0/0)
[2020-05-19 12:30:32] [INFO ] Computing symmetric co enabling matrix : 451 transitions.
[2020-05-19 12:30:35] [INFO ] Computation of co-enabling matrix(0/451) took 3218 ms. Total solver calls (SAT/UNSAT): 385(365/20)
[2020-05-19 12:30:39] [INFO ] Computation of co-enabling matrix(2/451) took 7514 ms. Total solver calls (SAT/UNSAT): 1176(751/425)
[2020-05-19 12:30:50] [INFO ] Computation of co-enabling matrix(4/451) took 18641 ms. Total solver calls (SAT/UNSAT): 1963(1137/826)
[2020-05-19 12:31:00] [INFO ] Computation of co-enabling matrix(5/451) took 28243 ms. Total solver calls (SAT/UNSAT): 2355(1330/1025)
[2020-05-19 12:31:08] [INFO ] Computation of co-enabling matrix(6/451) took 36155 ms. Total solver calls (SAT/UNSAT): 2733(1638/1095)
[2020-05-19 12:31:12] [INFO ] Computation of co-enabling matrix(8/451) took 40704 ms. Total solver calls (SAT/UNSAT): 3512(2022/1490)
[2020-05-19 12:31:17] [INFO ] Computation of co-enabling matrix(10/451) took 44816 ms. Total solver calls (SAT/UNSAT): 4287(2406/1881)
[2020-05-19 12:31:26] [INFO ] Computation of co-enabling matrix(11/451) took 54711 ms. Total solver calls (SAT/UNSAT): 4660(2710/1950)
[2020-05-19 12:31:31] [INFO ] Computation of co-enabling matrix(13/451) took 59507 ms. Total solver calls (SAT/UNSAT): 5429(3092/2337)
[2020-05-19 12:31:34] [INFO ] Computation of co-enabling matrix(15/451) took 62742 ms. Total solver calls (SAT/UNSAT): 6194(3474/2720)
[2020-05-19 12:31:39] [INFO ] Computation of co-enabling matrix(17/451) took 66811 ms. Total solver calls (SAT/UNSAT): 6942(3964/2978)
[2020-05-19 12:31:45] [INFO ] Computation of co-enabling matrix(19/451) took 73075 ms. Total solver calls (SAT/UNSAT): 7699(4344/3355)
[2020-05-19 12:31:48] [INFO ] Computation of co-enabling matrix(20/451) took 76591 ms. Total solver calls (SAT/UNSAT): 8076(4534/3542)
[2020-05-19 12:31:52] [INFO ] Computation of co-enabling matrix(21/451) took 80192 ms. Total solver calls (SAT/UNSAT): 8439(4830/3609)
[2020-05-19 12:31:57] [INFO ] Computation of co-enabling matrix(23/451) took 85198 ms. Total solver calls (SAT/UNSAT): 9188(5208/3980)
[2020-05-19 12:32:02] [INFO ] Computation of co-enabling matrix(24/451) took 90447 ms. Total solver calls (SAT/UNSAT): 9561(5397/4164)
[2020-05-19 12:32:12] [INFO ] Computation of co-enabling matrix(25/451) took 99844 ms. Total solver calls (SAT/UNSAT): 9933(5586/4347)
[2020-05-19 12:32:19] [INFO ] Computation of co-enabling matrix(26/451) took 107496 ms. Total solver calls (SAT/UNSAT): 10291(5878/4413)
[2020-05-19 12:32:28] [INFO ] Computation of co-enabling matrix(27/451) took 116101 ms. Total solver calls (SAT/UNSAT): 10661(6066/4595)
[2020-05-19 12:32:34] [INFO ] Computation of co-enabling matrix(29/451) took 122355 ms. Total solver calls (SAT/UNSAT): 11398(6442/4956)
[2020-05-19 12:32:37] [INFO ] Computation of co-enabling matrix(30/451) took 125443 ms. Total solver calls (SAT/UNSAT): 11765(6630/5135)
[2020-05-19 12:32:42] [INFO ] Computation of co-enabling matrix(34/451) took 129886 ms. Total solver calls (SAT/UNSAT): 13375(7347/6028)
[2020-05-19 12:32:45] [INFO ] Computation of co-enabling matrix(38/451) took 133215 ms. Total solver calls (SAT/UNSAT): 14909(8030/6879)
[2020-05-19 12:32:49] [INFO ] Computation of co-enabling matrix(40/451) took 136955 ms. Total solver calls (SAT/UNSAT): 15640(8447/7193)
[2020-05-19 12:32:52] [INFO ] Computation of co-enabling matrix(42/451) took 140153 ms. Total solver calls (SAT/UNSAT): 16367(8860/7507)
[2020-05-19 12:32:57] [INFO ] Computation of co-enabling matrix(45/451) took 144756 ms. Total solver calls (SAT/UNSAT): 17450(9279/8171)
[2020-05-19 12:33:00] [INFO ] Computation of co-enabling matrix(47/451) took 148368 ms. Total solver calls (SAT/UNSAT): 18167(9694/8473)
[2020-05-19 12:33:03] [INFO ] Computation of co-enabling matrix(49/451) took 151668 ms. Total solver calls (SAT/UNSAT): 18880(9900/8980)
[2020-05-19 12:33:09] [INFO ] Computation of co-enabling matrix(51/451) took 157265 ms. Total solver calls (SAT/UNSAT): 19589(10319/9270)
[2020-05-19 12:33:14] [INFO ] Computation of co-enabling matrix(53/451) took 161984 ms. Total solver calls (SAT/UNSAT): 20294(10734/9560)
[2020-05-19 12:33:17] [INFO ] Computation of co-enabling matrix(56/451) took 165122 ms. Total solver calls (SAT/UNSAT): 21344(11150/10194)
[2020-05-19 12:33:20] [INFO ] Computation of co-enabling matrix(58/451) took 168381 ms. Total solver calls (SAT/UNSAT): 22039(11567/10472)
[2020-05-19 12:33:23] [INFO ] Computation of co-enabling matrix(62/451) took 171645 ms. Total solver calls (SAT/UNSAT): 23477(12220/11257)
[2020-05-19 12:33:28] [INFO ] Computation of co-enabling matrix(65/451) took 175765 ms. Total solver calls (SAT/UNSAT): 24590(12934/11656)
[2020-05-19 12:33:33] [INFO ] Computation of co-enabling matrix(66/451) took 181187 ms. Total solver calls (SAT/UNSAT): 24959(13170/11789)
[2020-05-19 12:33:38] [INFO ] Computation of co-enabling matrix(67/451) took 186153 ms. Total solver calls (SAT/UNSAT): 25327(13395/11932)
[2020-05-19 12:33:42] [INFO ] Computation of co-enabling matrix(73/451) took 190375 ms. Total solver calls (SAT/UNSAT): 27484(14420/13064)
[2020-05-19 12:33:45] [INFO ] Computation of co-enabling matrix(75/451) took 193436 ms. Total solver calls (SAT/UNSAT): 28145(14599/13546)
[2020-05-19 12:33:50] [INFO ] Computation of co-enabling matrix(77/451) took 197936 ms. Total solver calls (SAT/UNSAT): 28802(14954/13848)
[2020-05-19 12:33:53] [INFO ] Computation of co-enabling matrix(79/451) took 201234 ms. Total solver calls (SAT/UNSAT): 29455(15325/14130)
[2020-05-19 12:33:56] [INFO ] Computation of co-enabling matrix(82/451) took 204688 ms. Total solver calls (SAT/UNSAT): 30427(15682/14745)
[2020-05-19 12:34:06] [INFO ] Computation of co-enabling matrix(85/451) took 214335 ms. Total solver calls (SAT/UNSAT): 31390(16230/15160)
[2020-05-19 12:34:10] [INFO ] Computation of co-enabling matrix(88/451) took 218490 ms. Total solver calls (SAT/UNSAT): 32344(16587/15757)
[2020-05-19 12:34:14] [INFO ] Computation of co-enabling matrix(90/451) took 222549 ms. Total solver calls (SAT/UNSAT): 32975(16940/16035)
[2020-05-19 12:34:19] [INFO ] Computation of co-enabling matrix(93/451) took 227279 ms. Total solver calls (SAT/UNSAT): 33914(17314/16600)
[2020-05-19 12:34:22] [INFO ] Computation of co-enabling matrix(95/451) took 230583 ms. Total solver calls (SAT/UNSAT): 34535(17669/16866)
[2020-05-19 12:34:26] [INFO ] Computation of co-enabling matrix(99/451) took 234122 ms. Total solver calls (SAT/UNSAT): 35855(18279/17576)
[2020-05-19 12:34:29] [INFO ] Computation of co-enabling matrix(103/451) took 237223 ms. Total solver calls (SAT/UNSAT): 37189(19089/18100)
[2020-05-19 12:34:33] [INFO ] Computation of co-enabling matrix(105/451) took 240785 ms. Total solver calls (SAT/UNSAT): 37850(19269/18581)
[2020-05-19 12:34:37] [INFO ] Computation of co-enabling matrix(109/451) took 245523 ms. Total solver calls (SAT/UNSAT): 39130(19959/19171)
[2020-05-19 12:34:41] [INFO ] Computation of co-enabling matrix(112/451) took 249134 ms. Total solver calls (SAT/UNSAT): 40012(20257/19755)
[2020-05-19 12:34:45] [INFO ] Computation of co-enabling matrix(114/451) took 253018 ms. Total solver calls (SAT/UNSAT): 40595(20550/20045)
[2020-05-19 12:34:49] [INFO ] Computation of co-enabling matrix(117/451) took 256893 ms. Total solver calls (SAT/UNSAT): 41462(20859/20603)
[2020-05-19 12:34:52] [INFO ] Computation of co-enabling matrix(118/451) took 260413 ms. Total solver calls (SAT/UNSAT): 41749(21007/20742)
[2020-05-19 12:34:57] [INFO ] Computation of co-enabling matrix(121/451) took 265067 ms. Total solver calls (SAT/UNSAT): 42604(21459/21145)
[2020-05-19 12:35:04] [INFO ] Computation of co-enabling matrix(122/451) took 271847 ms. Total solver calls (SAT/UNSAT): 42887(21609/21278)
[2020-05-19 12:35:12] [INFO ] Computation of co-enabling matrix(124/451) took 280668 ms. Total solver calls (SAT/UNSAT): 43450(21757/21693)
[2020-05-19 12:35:20] [INFO ] Computation of co-enabling matrix(125/451) took 288215 ms. Total solver calls (SAT/UNSAT): 43730(21904/21826)
[2020-05-19 12:35:28] [INFO ] Computation of co-enabling matrix(126/451) took 296727 ms. Total solver calls (SAT/UNSAT): 44009(22050/21959)
[2020-05-19 12:35:34] [INFO ] Computation of co-enabling matrix(127/451) took 302348 ms. Total solver calls (SAT/UNSAT): 44287(22209/22078)
[2020-05-19 12:35:40] [INFO ] Computation of co-enabling matrix(130/451) took 308306 ms. Total solver calls (SAT/UNSAT): 45115(22507/22608)
[2020-05-19 12:35:44] [INFO ] Computation of co-enabling matrix(132/451) took 312659 ms. Total solver calls (SAT/UNSAT): 45662(22800/22862)
[2020-05-19 12:35:48] [INFO ] Computation of co-enabling matrix(137/451) took 315761 ms. Total solver calls (SAT/UNSAT): 47162(23524/23638)
[2020-05-19 12:35:51] [INFO ] Computation of co-enabling matrix(141/451) took 319085 ms. Total solver calls (SAT/UNSAT): 48344(24152/24192)
[2020-05-19 12:35:55] [INFO ] Computation of co-enabling matrix(147/451) took 323202 ms. Total solver calls (SAT/UNSAT): 49997(24807/25190)
[2020-05-19 12:35:59] [INFO ] Computation of co-enabling matrix(151/451) took 326844 ms. Total solver calls (SAT/UNSAT): 51019(25163/25856)
[2020-05-19 12:36:02] [INFO ] Computation of co-enabling matrix(154/451) took 330450 ms. Total solver calls (SAT/UNSAT): 51775(25402/26373)
[2020-05-19 12:36:06] [INFO ] Computation of co-enabling matrix(155/451) took 333839 ms. Total solver calls (SAT/UNSAT): 52025(25519/26506)
[2020-05-19 12:36:09] [INFO ] Computation of co-enabling matrix(157/451) took 336998 ms. Total solver calls (SAT/UNSAT): 52522(25758/26764)
[2020-05-19 12:36:15] [INFO ] Computation of co-enabling matrix(159/451) took 343379 ms. Total solver calls (SAT/UNSAT): 53015(25997/27018)
[2020-05-19 12:36:19] [INFO ] Computation of co-enabling matrix(162/451) took 347324 ms. Total solver calls (SAT/UNSAT): 53747(26230/27517)
[2020-05-19 12:36:23] [INFO ] Computation of co-enabling matrix(165/451) took 351375 ms. Total solver calls (SAT/UNSAT): 54470(26592/27878)
[2020-05-19 12:36:26] [INFO ] Computation of co-enabling matrix(168/451) took 354609 ms. Total solver calls (SAT/UNSAT): 55184(26825/28359)
[2020-05-19 12:36:30] [INFO ] Computation of co-enabling matrix(176/451) took 357805 ms. Total solver calls (SAT/UNSAT): 57284(27807/29477)
[2020-05-19 12:36:33] [INFO ] Computation of co-enabling matrix(182/451) took 361008 ms. Total solver calls (SAT/UNSAT): 58757(28337/30420)
[2020-05-19 12:36:36] [INFO ] Computation of co-enabling matrix(187/451) took 364470 ms. Total solver calls (SAT/UNSAT): 59857(28687/31170)
[2020-05-19 12:36:39] [INFO ] Computation of co-enabling matrix(190/451) took 367669 ms. Total solver calls (SAT/UNSAT): 60505(28954/31551)
[2020-05-19 12:36:43] [INFO ] Computation of co-enabling matrix(194/451) took 370763 ms. Total solver calls (SAT/UNSAT): 61355(29217/32138)
[2020-05-19 12:36:46] [INFO ] Computation of co-enabling matrix(196/451) took 374120 ms. Total solver calls (SAT/UNSAT): 61774(29394/32380)
[2020-05-19 12:36:49] [INFO ] Computation of co-enabling matrix(201/451) took 377558 ms. Total solver calls (SAT/UNSAT): 62804(29746/33058)
[2020-05-19 12:36:53] [INFO ] Computation of co-enabling matrix(202/451) took 381504 ms. Total solver calls (SAT/UNSAT): 63007(29834/33173)
[2020-05-19 12:36:59] [INFO ] Computation of co-enabling matrix(207/451) took 387468 ms. Total solver calls (SAT/UNSAT): 64097(30276/33821)
[2020-05-19 12:37:03] [INFO ] Computation of co-enabling matrix(213/451) took 390838 ms. Total solver calls (SAT/UNSAT): 65444(30770/34674)
[2020-05-19 12:37:06] [INFO ] Computation of co-enabling matrix(223/451) took 393910 ms. Total solver calls (SAT/UNSAT): 67399(31281/36118)
[2020-05-19 12:37:09] [INFO ] Computation of co-enabling matrix(229/451) took 396976 ms. Total solver calls (SAT/UNSAT): 68470(31566/36904)
[2020-05-19 12:37:12] [INFO ] Computation of co-enabling matrix(236/451) took 400385 ms. Total solver calls (SAT/UNSAT): 69674(31911/37763)
[2020-05-19 12:37:15] [INFO ] Computation of co-enabling matrix(242/451) took 403502 ms. Total solver calls (SAT/UNSAT): 70727(32256/38471)
[2020-05-19 12:37:18] [INFO ] Computation of co-enabling matrix(254/451) took 406544 ms. Total solver calls (SAT/UNSAT): 72432(32819/39613)
[2020-05-19 12:37:22] [INFO ] Computation of co-enabling matrix(260/451) took 409781 ms. Total solver calls (SAT/UNSAT): 73377(33167/40210)
[2020-05-19 12:37:25] [INFO ] Computation of co-enabling matrix(269/451) took 412892 ms. Total solver calls (SAT/UNSAT): 74697(33593/41104)
[2020-05-19 12:37:28] [INFO ] Computation of co-enabling matrix(273/451) took 416683 ms. Total solver calls (SAT/UNSAT): 75291(33787/41504)
[2020-05-19 12:37:32] [INFO ] Computation of co-enabling matrix(279/451) took 420133 ms. Total solver calls (SAT/UNSAT): 76122(34021/42101)
[2020-05-19 12:37:35] [INFO ] Computation of co-enabling matrix(286/451) took 423320 ms. Total solver calls (SAT/UNSAT): 77036(34239/42797)
[2020-05-19 12:37:38] [INFO ] Computation of co-enabling matrix(291/451) took 426552 ms. Total solver calls (SAT/UNSAT): 77609(34491/43118)
[2020-05-19 12:37:42] [INFO ] Computation of co-enabling matrix(294/451) took 430411 ms. Total solver calls (SAT/UNSAT): 77921(34746/43175)
[2020-05-19 12:37:45] [INFO ] Computation of co-enabling matrix(307/451) took 433606 ms. Total solver calls (SAT/UNSAT): 79156(34889/44267)
[2020-05-19 12:37:49] [INFO ] Computation of co-enabling matrix(317/451) took 436772 ms. Total solver calls (SAT/UNSAT): 79985(34993/44992)
[2020-05-19 12:37:52] [INFO ] Computation of co-enabling matrix(330/451) took 440019 ms. Total solver calls (SAT/UNSAT): 80830(35319/45511)
[2020-05-19 12:37:55] [INFO ] Computation of co-enabling matrix(349/451) took 443091 ms. Total solver calls (SAT/UNSAT): 81602(35864/45738)
[2020-05-19 12:37:58] [INFO ] Computation of co-enabling matrix(396/451) took 446098 ms. Total solver calls (SAT/UNSAT): 83863(36323/47540)
[2020-05-19 12:38:01] [INFO ] Computation of co-enabling matrix(435/451) took 449112 ms. Total solver calls (SAT/UNSAT): 84637(36553/48084)
[2020-05-19 12:38:01] [INFO ] Computation of Finished co-enabling matrix. took 449596 ms. Total solver calls (SAT/UNSAT): 84742(36565/48177)
[2020-05-19 12:38:01] [INFO ] Computing Do-Not-Accords matrix : 451 transitions.
[2020-05-19 12:38:05] [INFO ] Computation of Completed DNA matrix. took 4094 ms. Total solver calls (SAT/UNSAT): 5313(0/5313)
[2020-05-19 12:38:06] [INFO ] Built C files in 554872ms conformant to PINS in folder :/home/mcc/execution
Running compilation step : CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit//lts_install_dir//include, -I., -std=c99, -fPIC, -O2, model.c], workingDir=/home/mcc/execution]
Compilation finished in 4983 ms.
Running link step : CommandLine [args=[gcc, -shared, -o, gal.so, model.o], workingDir=/home/mcc/execution]
Link finished in 46 ms.
Running LTSmin : CommandLine [args=[/home/mcc/BenchKit//lts_install_dir//bin/pins2lts-mc, ./gal.so, --threads=8, --when, --ltl, ( !( []((LTLAP0==true))) ) && ( X((LTLAP1==true)) ), --buchi-type=spotba], workingDir=/home/mcc/execution]
/home/mcc/BenchKit//lts_install_dir//bin/pins2lts-mc: error while loading shared libraries: libltdl.so.7: cannot open shared object file: No such file or directory
WARNING : LTS min runner thread failed on error :java.lang.RuntimeException: Unexpected exception when executing ltsmin :CommandLine [args=[/home/mcc/BenchKit//lts_install_dir//bin/pins2lts-mc, ./gal.so, --threads=8, --when, --ltl, ( !( []((LTLAP0==true))) ) && ( X((LTLAP1==true)) ), --buchi-type=spotba], workingDir=/home/mcc/execution]
127
java.lang.RuntimeException: Unexpected exception when executing ltsmin :CommandLine [args=[/home/mcc/BenchKit//lts_install_dir//bin/pins2lts-mc, ./gal.so, --threads=8, --when, --ltl, ( !( []((LTLAP0==true))) ) && ( X((LTLAP1==true)) ), --buchi-type=spotba], workingDir=/home/mcc/execution]
127
at fr.lip6.move.gal.application.LTSminRunner.checkProperty(LTSminRunner.java:170)
at fr.lip6.move.gal.application.LTSminRunner.access$10(LTSminRunner.java:124)
at fr.lip6.move.gal.application.LTSminRunner$1.run(LTSminRunner.java:93)
at java.base/java.lang.Thread.run(Thread.java:834)
Detected timeout of ITS tools.
[2020-05-19 12:48:55] [INFO ] Applying decomposition
[2020-05-19 12:48:56] [INFO ] Flatten gal took : 669 ms
[2020-05-19 12:48:56] [INFO ] Decomposing Gal with order
[2020-05-19 12:48:56] [INFO ] Rewriting arrays to variables to allow decomposition.
[2020-05-19 12:48:57] [INFO ] Removed a total of 1629 redundant transitions.
[2020-05-19 12:48:57] [INFO ] Flatten gal took : 564 ms
[2020-05-19 12:48:57] [INFO ] Fuse similar labels procedure discarded/fused a total of 48 labels/synchronizations in 99 ms.
[2020-05-19 12:48:57] [INFO ] Time to serialize gal into /home/mcc/execution/LTLFireability.pnml.gal : 179 ms
[2020-05-19 12:48:57] [INFO ] Time to serialize properties into /home/mcc/execution/LTLFireability.ltl : 78 ms
Invoking ITS tools like this :CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202005100927/bin/its-ltl-linux64, --gc-threshold, 2000000, -i, /home/mcc/execution/LTLFireability.pnml.gal, -t, CGAL, -LTL, /home/mcc/execution/LTLFireability.ltl, -c, -stutter-deadlock], workingDir=/home/mcc/execution]

its-ltl command run as :

/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202005100927/bin/its-ltl-linux64 --gc-threshold 2000000 -i /home/mcc/execution/LTLFireability.pnml.gal -t CGAL -LTL /home/mcc/execution/LTLFireability.ltl -c -stutter-deadlock
Read 12 LTL properties
Checking formula 0 : !(((!(G("(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((It1.Cpt2_1>=1)&&(cable_free.cable_free_0>=1))&&(Dom31.AMC_31>=1))&&(FMCb.FMCb_0>=1))||((((It2.Cpt2_2>=1)&&(cable_free.cable_free_0>=1))&&(Dom26.AMC_26>=1))&&(FMCb.FMCb_0>=1)))||((((It5.Cpt2_5>=1)&&(cable_free.cable_free_0>=1))&&(Dom35.AMC_35>=1))&&(FMCb.FMCb_0>=1)))||((((It3.Cpt2_3>=1)&&(cable_free.cable_free_0>=1))&&(Dom21.AMC_21>=1))&&(FMCb.FMCb_0>=1)))||((((It1.Cpt2_1>=1)&&(cable_free.cable_free_0>=1))&&(Dom7.AMC_7>=1))&&(FMCb.FMCb_0>=1)))||((((It2.Cpt2_2>=1)&&(cable_free.cable_free_0>=1))&&(Dom2.AMC_2>=1))&&(FMCb.FMCb_0>=1)))||((((It5.Cpt2_5>=1)&&(cable_free.cable_free_0>=1))&&(Dom11.AMC_11>=1))&&(FMCb.FMCb_0>=1)))||((((It5.Cpt2_5>=1)&&(cable_free.cable_free_0>=1))&&(Dom5.AMC_5>=1))&&(FMCb.FMCb_0>=1)))||((((It4.Cpt2_4>=1)&&(cable_free.cable_free_0>=1))&&(Dom10.AMC_10>=1))&&(FMCb.FMCb_0>=1)))||((((It4.Cpt2_4>=1)&&(cable_free.cable_free_0>=1))&&(Dom16.AMC_16>=1))&&(FMCb.FMCb_0>=1)))||((((It2.Cpt2_2>=1)&&(cable_free.cable_free_0>=1))&&(Dom32.AMC_32>=1))&&(FMCb.FMCb_0>=1)))||((((It1.Cpt2_1>=1)&&(cable_free.cable_free_0>=1))&&(Dom25.AMC_25>=1))&&(FMCb.FMCb_0>=1)))||((((It0.Cpt2_0>=1)&&(cable_free.cable_free_0>=1))&&(Dom30.AMC_30>=1))&&(FMCb.FMCb_0>=1)))||((((It3.Cpt2_3>=1)&&(cable_free.cable_free_0>=1))&&(Dom15.AMC_15>=1))&&(FMCb.FMCb_0>=1)))||((((It3.Cpt2_3>=1)&&(cable_free.cable_free_0>=1))&&(Dom3.AMC_3>=1))&&(FMCb.FMCb_0>=1)))||((((It0.Cpt2_0>=1)&&(cable_free.cable_free_0>=1))&&(Dom12.AMC_12>=1))&&(FMCb.FMCb_0>=1)))||((((It5.Cpt2_5>=1)&&(cable_free.cable_free_0>=1))&&(Dom23.AMC_23>=1))&&(FMCb.FMCb_0>=1)))||((((It2.Cpt2_2>=1)&&(cable_free.cable_free_0>=1))&&(Dom20.AMC_20>=1))&&(FMCb.FMCb_0>=1)))||((((It0.Cpt2_0>=1)&&(cable_free.cable_free_0>=1))&&(Dom24.AMC_24>=1))&&(FMCb.FMCb_0>=1)))||((((It3.Cpt2_3>=1)&&(cable_free.cable_free_0>=1))&&(Dom33.AMC_33>=1))&&(FMCb.FMCb_0>=1)))||((((It1.Cpt2_1>=1)&&(cable_free.cable_free_0>=1))&&(Dom19.AMC_19>=1))&&(FMCb.FMCb_0>=1)))||((((It4.Cpt2_4>=1)&&(cable_free.cable_free_0>=1))&&(Dom28.AMC_28>=1))&&(FMCb.FMCb_0>=1)))||((((It2.Cpt2_2>=1)&&(cable_free.cable_free_0>=1))&&(Dom14.AMC_14>=1))&&(FMCb.FMCb_0>=1)))||((((It0.Cpt2_0>=1)&&(cable_free.cable_free_0>=1))&&(Dom0.AMC_0>=1))&&(FMCb.FMCb_0>=1)))||((((It2.Cpt2_2>=1)&&(cable_free.cable_free_0>=1))&&(Dom8.AMC_8>=1))&&(FMCb.FMCb_0>=1)))||((((It1.Cpt2_1>=1)&&(cable_free.cable_free_0>=1))&&(Dom13.AMC_13>=1))&&(FMCb.FMCb_0>=1)))||((((It3.Cpt2_3>=1)&&(cable_free.cable_free_0>=1))&&(Dom27.AMC_27>=1))&&(FMCb.FMCb_0>=1)))||((((It4.Cpt2_4>=1)&&(cable_free.cable_free_0>=1))&&(Dom34.AMC_34>=1))&&(FMCb.FMCb_0>=1)))||((((It4.Cpt2_4>=1)&&(cable_free.cable_free_0>=1))&&(Dom22.AMC_22>=1))&&(FMCb.FMCb_0>=1)))||((((It0.Cpt2_0>=1)&&(cable_free.cable_free_0>=1))&&(Dom18.AMC_18>=1))&&(FMCb.FMCb_0>=1)))||((((It5.Cpt2_5>=1)&&(cable_free.cable_free_0>=1))&&(Dom29.AMC_29>=1))&&(FMCb.FMCb_0>=1)))||((((It4.Cpt2_4>=1)&&(cable_free.cable_free_0>=1))&&(Dom4.AMC_4>=1))&&(FMCb.FMCb_0>=1)))||((((It5.Cpt2_5>=1)&&(cable_free.cable_free_0>=1))&&(Dom17.AMC_17>=1))&&(FMCb.FMCb_0>=1)))||((((It1.Cpt2_1>=1)&&(cable_free.cable_free_0>=1))&&(Dom1.AMC_1>=1))&&(FMCb.FMCb_0>=1)))||((((It0.Cpt2_0>=1)&&(cable_free.cable_free_0>=1))&&(Dom6.AMC_6>=1))&&(FMCb.FMCb_0>=1)))||((((It3.Cpt2_3>=1)&&(cable_free.cable_free_0>=1))&&(Dom9.AMC_9>=1))&&(FMCb.FMCb_0>=1)))||((Dom15.wait_ack_15>=1)&&(T_out.T_out_0>=1)))||((Dom5.wait_ack_5>=1)&&(T_out.T_out_0>=1)))||((Dom25.wait_ack_25>=1)&&(T_out.T_out_0>=1)))||((Dom29.wait_ack_29>=1)&&(T_out.T_out_0>=1)))||((Dom10.wait_ack_10>=1)&&(T_out.T_out_0>=1)))||((Dom30.wait_ack_30>=1)&&(T_out.T_out_0>=1)))||((Dom34.wait_ack_34>=1)&&(T_out.T_out_0>=1)))||((Dom19.wait_ack_19>=1)&&(T_out.T_out_0>=1)))||((Dom6.wait_ack_6>=1)&&(T_out.T_out_0>=1)))||((Dom31.wait_ack_31>=1)&&(T_out.T_out_0>=1)))||((Dom9.wait_ack_9>=1)&&(T_out.T_out_0>=1)))||((Dom26.wait_ack_26>=1)&&(T_out.T_out_0>=1)))||((Dom18.wait_ack_18>=1)&&(T_out.T_out_0>=1)))||((Dom1.wait_ack_1>=1)&&(T_out.T_out_0>=1)))||((Dom22.wait_ack_22>=1)&&(T_out.T_out_0>=1)))||((Dom32.wait_ack_32>=1)&&(T_out.T_out_0>=1)))||((Dom12.wait_ack_12>=1)&&(T_out.T_out_0>=1)))||((Dom27.wait_ack_27>=1)&&(T_out.T_out_0>=1)))||((Dom13.wait_ack_13>=1)&&(T_out.T_out_0>=1)))||((Dom2.wait_ack_2>=1)&&(T_out.T_out_0>=1)))||((Dom8.wait_ack_8>=1)&&(T_out.T_out_0>=1)))||((Dom23.wait_ack_23>=1)&&(T_out.T_out_0>=1)))||((Dom17.wait_ack_17>=1)&&(T_out.T_out_0>=1)))||((Dom16.wait_ack_16>=1)&&(T_out.T_out_0>=1)))||((Dom33.wait_ack_33>=1)&&(T_out.T_out_0>=1)))||((Dom4.wait_ack_4>=1)&&(T_out.T_out_0>=1)))||((Dom11.wait_ack_11>=1)&&(T_out.T_out_0>=1)))||((Dom24.wait_ack_24>=1)&&(T_out.T_out_0>=1)))||((Dom20.wait_ack_20>=1)&&(T_out.T_out_0>=1)))||((Dom3.wait_ack_3>=1)&&(T_out.T_out_0>=1)))")))&&(X("(((((((It2.MSG_2>=1)&&(It2.wait_msg_2>=1))||((It3.MSG_3>=1)&&(It3.wait_msg_3>=1)))||((It0.MSG_0>=1)&&(It0.wait_msg_0>=1)))||((It1.MSG_1>=1)&&(It1.wait_msg_1>=1)))||((It4.MSG_4>=1)&&(It4.wait_msg_4>=1)))||((It5.MSG_5>=1)&&(It5.wait_msg_5>=1)))"))))
Formula 0 simplified : !(!G"(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((It1.Cpt2_1>=1)&&(cable_free.cable_free_0>=1))&&(Dom31.AMC_31>=1))&&(FMCb.FMCb_0>=1))||((((It2.Cpt2_2>=1)&&(cable_free.cable_free_0>=1))&&(Dom26.AMC_26>=1))&&(FMCb.FMCb_0>=1)))||((((It5.Cpt2_5>=1)&&(cable_free.cable_free_0>=1))&&(Dom35.AMC_35>=1))&&(FMCb.FMCb_0>=1)))||((((It3.Cpt2_3>=1)&&(cable_free.cable_free_0>=1))&&(Dom21.AMC_21>=1))&&(FMCb.FMCb_0>=1)))||((((It1.Cpt2_1>=1)&&(cable_free.cable_free_0>=1))&&(Dom7.AMC_7>=1))&&(FMCb.FMCb_0>=1)))||((((It2.Cpt2_2>=1)&&(cable_free.cable_free_0>=1))&&(Dom2.AMC_2>=1))&&(FMCb.FMCb_0>=1)))||((((It5.Cpt2_5>=1)&&(cable_free.cable_free_0>=1))&&(Dom11.AMC_11>=1))&&(FMCb.FMCb_0>=1)))||((((It5.Cpt2_5>=1)&&(cable_free.cable_free_0>=1))&&(Dom5.AMC_5>=1))&&(FMCb.FMCb_0>=1)))||((((It4.Cpt2_4>=1)&&(cable_free.cable_free_0>=1))&&(Dom10.AMC_10>=1))&&(FMCb.FMCb_0>=1)))||((((It4.Cpt2_4>=1)&&(cable_free.cable_free_0>=1))&&(Dom16.AMC_16>=1))&&(FMCb.FMCb_0>=1)))||((((It2.Cpt2_2>=1)&&(cable_free.cable_free_0>=1))&&(Dom32.AMC_32>=1))&&(FMCb.FMCb_0>=1)))||((((It1.Cpt2_1>=1)&&(cable_free.cable_free_0>=1))&&(Dom25.AMC_25>=1))&&(FMCb.FMCb_0>=1)))||((((It0.Cpt2_0>=1)&&(cable_free.cable_free_0>=1))&&(Dom30.AMC_30>=1))&&(FMCb.FMCb_0>=1)))||((((It3.Cpt2_3>=1)&&(cable_free.cable_free_0>=1))&&(Dom15.AMC_15>=1))&&(FMCb.FMCb_0>=1)))||((((It3.Cpt2_3>=1)&&(cable_free.cable_free_0>=1))&&(Dom3.AMC_3>=1))&&(FMCb.FMCb_0>=1)))||((((It0.Cpt2_0>=1)&&(cable_free.cable_free_0>=1))&&(Dom12.AMC_12>=1))&&(FMCb.FMCb_0>=1)))||((((It5.Cpt2_5>=1)&&(cable_free.cable_free_0>=1))&&(Dom23.AMC_23>=1))&&(FMCb.FMCb_0>=1)))||((((It2.Cpt2_2>=1)&&(cable_free.cable_free_0>=1))&&(Dom20.AMC_20>=1))&&(FMCb.FMCb_0>=1)))||((((It0.Cpt2_0>=1)&&(cable_free.cable_free_0>=1))&&(Dom24.AMC_24>=1))&&(FMCb.FMCb_0>=1)))||((((It3.Cpt2_3>=1)&&(cable_free.cable_free_0>=1))&&(Dom33.AMC_33>=1))&&(FMCb.FMCb_0>=1)))||((((It1.Cpt2_1>=1)&&(cable_free.cable_free_0>=1))&&(Dom19.AMC_19>=1))&&(FMCb.FMCb_0>=1)))||((((It4.Cpt2_4>=1)&&(cable_free.cable_free_0>=1))&&(Dom28.AMC_28>=1))&&(FMCb.FMCb_0>=1)))||((((It2.Cpt2_2>=1)&&(cable_free.cable_free_0>=1))&&(Dom14.AMC_14>=1))&&(FMCb.FMCb_0>=1)))||((((It0.Cpt2_0>=1)&&(cable_free.cable_free_0>=1))&&(Dom0.AMC_0>=1))&&(FMCb.FMCb_0>=1)))||((((It2.Cpt2_2>=1)&&(cable_free.cable_free_0>=1))&&(Dom8.AMC_8>=1))&&(FMCb.FMCb_0>=1)))||((((It1.Cpt2_1>=1)&&(cable_free.cable_free_0>=1))&&(Dom13.AMC_13>=1))&&(FMCb.FMCb_0>=1)))||((((It3.Cpt2_3>=1)&&(cable_free.cable_free_0>=1))&&(Dom27.AMC_27>=1))&&(FMCb.FMCb_0>=1)))||((((It4.Cpt2_4>=1)&&(cable_free.cable_free_0>=1))&&(Dom34.AMC_34>=1))&&(FMCb.FMCb_0>=1)))||((((It4.Cpt2_4>=1)&&(cable_free.cable_free_0>=1))&&(Dom22.AMC_22>=1))&&(FMCb.FMCb_0>=1)))||((((It0.Cpt2_0>=1)&&(cable_free.cable_free_0>=1))&&(Dom18.AMC_18>=1))&&(FMCb.FMCb_0>=1)))||((((It5.Cpt2_5>=1)&&(cable_free.cable_free_0>=1))&&(Dom29.AMC_29>=1))&&(FMCb.FMCb_0>=1)))||((((It4.Cpt2_4>=1)&&(cable_free.cable_free_0>=1))&&(Dom4.AMC_4>=1))&&(FMCb.FMCb_0>=1)))||((((It5.Cpt2_5>=1)&&(cable_free.cable_free_0>=1))&&(Dom17.AMC_17>=1))&&(FMCb.FMCb_0>=1)))||((((It1.Cpt2_1>=1)&&(cable_free.cable_free_0>=1))&&(Dom1.AMC_1>=1))&&(FMCb.FMCb_0>=1)))||((((It0.Cpt2_0>=1)&&(cable_free.cable_free_0>=1))&&(Dom6.AMC_6>=1))&&(FMCb.FMCb_0>=1)))||((((It3.Cpt2_3>=1)&&(cable_free.cable_free_0>=1))&&(Dom9.AMC_9>=1))&&(FMCb.FMCb_0>=1)))||((Dom15.wait_ack_15>=1)&&(T_out.T_out_0>=1)))||((Dom5.wait_ack_5>=1)&&(T_out.T_out_0>=1)))||((Dom25.wait_ack_25>=1)&&(T_out.T_out_0>=1)))||((Dom29.wait_ack_29>=1)&&(T_out.T_out_0>=1)))||((Dom10.wait_ack_10>=1)&&(T_out.T_out_0>=1)))||((Dom30.wait_ack_30>=1)&&(T_out.T_out_0>=1)))||((Dom34.wait_ack_34>=1)&&(T_out.T_out_0>=1)))||((Dom19.wait_ack_19>=1)&&(T_out.T_out_0>=1)))||((Dom6.wait_ack_6>=1)&&(T_out.T_out_0>=1)))||((Dom31.wait_ack_31>=1)&&(T_out.T_out_0>=1)))||((Dom9.wait_ack_9>=1)&&(T_out.T_out_0>=1)))||((Dom26.wait_ack_26>=1)&&(T_out.T_out_0>=1)))||((Dom18.wait_ack_18>=1)&&(T_out.T_out_0>=1)))||((Dom1.wait_ack_1>=1)&&(T_out.T_out_0>=1)))||((Dom22.wait_ack_22>=1)&&(T_out.T_out_0>=1)))||((Dom32.wait_ack_32>=1)&&(T_out.T_out_0>=1)))||((Dom12.wait_ack_12>=1)&&(T_out.T_out_0>=1)))||((Dom27.wait_ack_27>=1)&&(T_out.T_out_0>=1)))||((Dom13.wait_ack_13>=1)&&(T_out.T_out_0>=1)))||((Dom2.wait_ack_2>=1)&&(T_out.T_out_0>=1)))||((Dom8.wait_ack_8>=1)&&(T_out.T_out_0>=1)))||((Dom23.wait_ack_23>=1)&&(T_out.T_out_0>=1)))||((Dom17.wait_ack_17>=1)&&(T_out.T_out_0>=1)))||((Dom16.wait_ack_16>=1)&&(T_out.T_out_0>=1)))||((Dom33.wait_ack_33>=1)&&(T_out.T_out_0>=1)))||((Dom4.wait_ack_4>=1)&&(T_out.T_out_0>=1)))||((Dom11.wait_ack_11>=1)&&(T_out.T_out_0>=1)))||((Dom24.wait_ack_24>=1)&&(T_out.T_out_0>=1)))||((Dom20.wait_ack_20>=1)&&(T_out.T_out_0>=1)))||((Dom3.wait_ack_3>=1)&&(T_out.T_out_0>=1)))" & X"(((((((It2.MSG_2>=1)&&(It2.wait_msg_2>=1))||((It3.MSG_3>=1)&&(It3.wait_msg_3>=1)))||((It0.MSG_0>=1)&&(It0.wait_msg_0>=1)))||((It1.MSG_1>=1)&&(It1.wait_msg_1>=1)))||((It4.MSG_4>=1)&&(It4.wait_msg_4>=1)))||((It5.MSG_5>=1)&&(It5.wait_msg_5>=1)))")
built 337 ordering constraints for composite.
Reverse transition relation is NOT exact ! Due to transitions I_reemitr0i1, I_reemitr0i2, I_reemitr0i3, I_reemitr0i4, I_reemitr0i5, I_reemitr1i0, I_reemitr1i2, I_reemitr1i3, I_reemitr1i4, I_reemitr1i5, I_reemitr2i0, I_reemitr2i1, I_reemitr2i3, I_reemitr2i4, I_reemitr2i5, I_reemitr3i0, I_reemitr3i1, I_reemitr3i2, I_reemitr3i4, I_reemitr3i5, I_reemitr4i0, I_reemitr4i1, I_reemitr4i2, I_reemitr4i3, I_reemitr4i5, I_reemitr5i0, I_reemitr5i1, I_reemitr5i2, I_reemitr5i3, I_reemitr5i4, C_freei0, C_freei1, C_freei2, C_freei3, C_freei4, C_freei5, I_rec1r2, I_rec1r5, I_ask1i0x0, I_ask1i0x1, I_ask1i0x2, I_ask1i0x3, I_ask1i0x4, I_ask1i0x5, I_ask1i1x0, I_ask1i1x1, I_ask1i2x0, I_ask1i2x1, I_ask1i2x3, I_ask1i2x4, I_ask1i2x5, I_ask1i3x2, I_ask1i3x3, I_ask1i4x3, I_ask1i4x4, I_ask1i5x0, I_ask1i5x1, I_ask1i5x2, I_ask1i5x3, I_ask1i5x4, I_ask1i5x5, loss_mr0, loss_mr1, loss_mr2, loss_mr3, loss_mr4, loss_mr5, I_rec2r0, I_rec2r1, I_rec2r3, I_rec2r4, I_ask2i1x2, I_ask2i1x3, I_ask2i1x4, I_ask2i1x5, I_ask2i2x2, I_ask2i3x0, I_ask2i3x1, I_ask2i3x4, I_ask2i3x5, I_ask2i4x0, I_ask2i4x1, I_ask2i4x2, I_ask2i4x5, Intersection with reachable at each step enabled. (destroyed/reverse/intersect/total) :36/289/84/409
4 unique states visited
4 strongly connected components in search stack
4 transitions explored
4 items max in DFS search stack
12136 ticks for the emptiness check
Model ,|S| ,Time ,Mem(kb) ,fin. SDD ,fin. DDD ,peak SDD ,peak DDD ,SDD Hom ,SDD cache peak ,DDD Hom ,DDD cachepeak ,SHom cache
STATS,0,121.401,2381924,1,0,3.87088e+06,20951,3478,3.11164e+06,210,137542,5775811
an accepting run exists (use option '-e' to print it)
Formula 0 is FALSE accepting run found.
FORMULA SafeBus-COL-06-03 FALSE TECHNIQUES DECISION_DIAGRAMS TOPOLOGICAL USE_NUPN
Checking formula 1 : !((F("(((((((It2.FMC_2>=1)&&(S_tout.S_tout_0>=1))||((It3.FMC_3>=1)&&(S_tout.S_tout_0>=1)))||((It0.FMC_0>=1)&&(S_tout.S_tout_0>=1)))||((It1.FMC_1>=1)&&(S_tout.S_tout_0>=1)))||((It5.FMC_5>=1)&&(S_tout.S_tout_0>=1)))||((It4.FMC_4>=1)&&(S_tout.S_tout_0>=1)))")))
Formula 1 simplified : !F"(((((((It2.FMC_2>=1)&&(S_tout.S_tout_0>=1))||((It3.FMC_3>=1)&&(S_tout.S_tout_0>=1)))||((It0.FMC_0>=1)&&(S_tout.S_tout_0>=1)))||((It1.FMC_1>=1)&&(S_tout.S_tout_0>=1)))||((It5.FMC_5>=1)&&(S_tout.S_tout_0>=1)))||((It4.FMC_4>=1)&&(S_tout.S_tout_0>=1)))"
2 unique states visited
2 strongly connected components in search stack
2 transitions explored
2 items max in DFS search stack
9691 ticks for the emptiness check
Model ,|S| ,Time ,Mem(kb) ,fin. SDD ,fin. DDD ,peak SDD ,peak DDD ,SDD Hom ,SDD cache peak ,DDD Hom ,DDD cachepeak ,SHom cache
STATS,0,218.312,2739712,1,0,5.38087e+06,20951,3205,4.40839e+06,207,137542,20982446
an accepting run exists (use option '-e' to print it)
Formula 1 is FALSE accepting run found.
FORMULA SafeBus-COL-06-04 FALSE TECHNIQUES DECISION_DIAGRAMS TOPOLOGICAL USE_NUPN
Checking formula 2 : !((G((X("(((((((It2.wait_cable_2>=1)&&(It2.RMC_2>=1))||((It0.wait_cable_0>=1)&&(It0.RMC_0>=1)))||((It4.wait_cable_4>=1)&&(It4.RMC_4>=1)))||((It1.wait_cable_1>=1)&&(It1.RMC_1>=1)))||((It3.wait_cable_3>=1)&&(It3.RMC_3>=1)))||((It5.wait_cable_5>=1)&&(It5.RMC_5>=1)))"))U(X(((G("(((((((((((((((((((((((((((((((Dom15.wait_ack_15<1)||(T_out.T_out_0<1))&&((Dom5.wait_ack_5<1)||(T_out.T_out_0<1)))&&((Dom25.wait_ack_25<1)||(T_out.T_out_0<1)))&&((Dom29.wait_ack_29<1)||(T_out.T_out_0<1)))&&((Dom10.wait_ack_10<1)||(T_out.T_out_0<1)))&&((Dom30.wait_ack_30<1)||(T_out.T_out_0<1)))&&((Dom34.wait_ack_34<1)||(T_out.T_out_0<1)))&&((Dom19.wait_ack_19<1)||(T_out.T_out_0<1)))&&((Dom6.wait_ack_6<1)||(T_out.T_out_0<1)))&&((Dom31.wait_ack_31<1)||(T_out.T_out_0<1)))&&((Dom9.wait_ack_9<1)||(T_out.T_out_0<1)))&&((Dom26.wait_ack_26<1)||(T_out.T_out_0<1)))&&((Dom18.wait_ack_18<1)||(T_out.T_out_0<1)))&&((Dom1.wait_ack_1<1)||(T_out.T_out_0<1)))&&((Dom22.wait_ack_22<1)||(T_out.T_out_0<1)))&&((Dom32.wait_ack_32<1)||(T_out.T_out_0<1)))&&((Dom12.wait_ack_12<1)||(T_out.T_out_0<1)))&&((Dom27.wait_ack_27<1)||(T_out.T_out_0<1)))&&((Dom13.wait_ack_13<1)||(T_out.T_out_0<1)))&&((Dom2.wait_ack_2<1)||(T_out.T_out_0<1)))&&((Dom8.wait_ack_8<1)||(T_out.T_out_0<1)))&&((Dom23.wait_ack_23<1)||(T_out.T_out_0<1)))&&((Dom17.wait_ack_17<1)||(T_out.T_out_0<1)))&&((Dom16.wait_ack_16<1)||(T_out.T_out_0<1)))&&((Dom33.wait_ack_33<1)||(T_out.T_out_0<1)))&&((Dom4.wait_ack_4<1)||(T_out.T_out_0<1)))&&((Dom11.wait_ack_11<1)||(T_out.T_out_0<1)))&&((Dom24.wait_ack_24<1)||(T_out.T_out_0<1)))&&((Dom20.wait_ack_20<1)||(T_out.T_out_0<1)))&&((Dom3.wait_ack_3<1)||(T_out.T_out_0<1)))"))&&(G(F("(((((((((((((((((((((((((((((((((((((It1.Cpt1_1<1)||(It5.listen_5<1))&&((It1.Cpt1_1<1)||(It4.listen_4<1)))&&((It5.Cpt1_5<1)||(It1.listen_1<1)))&&((It5.Cpt1_5<1)||(It0.listen_0<1)))&&((It5.Cpt1_5<1)||(It3.listen_3<1)))&&((It5.Cpt1_5<1)||(It2.listen_2<1)))&&((It1.Cpt1_1<1)||(It1.listen_1<1)))&&((It5.Cpt1_5<1)||(It5.listen_5<1)))&&((It1.Cpt1_1<1)||(It0.listen_0<1)))&&((It5.Cpt1_5<1)||(It4.listen_4<1)))&&((It1.Cpt1_1<1)||(It3.listen_3<1)))&&((It1.Cpt1_1<1)||(It2.listen_2<1)))&&((It0.Cpt1_0<1)||(It5.listen_5<1)))&&((It4.Cpt1_4<1)||(It0.listen_0<1)))&&((It0.Cpt1_0<1)||(It0.listen_0<1)))&&((It4.Cpt1_4<1)||(It4.listen_4<1)))&&((It4.Cpt1_4<1)||(It3.listen_3<1)))&&((It4.Cpt1_4<1)||(It2.listen_2<1)))&&((It4.Cpt1_4<1)||(It1.listen_1<1)))&&((It0.Cpt1_0<1)||(It4.listen_4<1)))&&((It0.Cpt1_0<1)||(It3.listen_3<1)))&&((It0.Cpt1_0<1)||(It2.listen_2<1)))&&((It0.Cpt1_0<1)||(It1.listen_1<1)))&&((It4.Cpt1_4<1)||(It5.listen_5<1)))&&((It3.Cpt1_3<1)||(It1.listen_1<1)))&&((It3.Cpt1_3<1)||(It0.listen_0<1)))&&((It3.Cpt1_3<1)||(It3.listen_3<1)))&&((It3.Cpt1_3<1)||(It2.listen_2<1)))&&((It3.Cpt1_3<1)||(It5.listen_5<1)))&&((It3.Cpt1_3<1)||(It4.listen_4<1)))&&((It2.Cpt1_2<1)||(It2.listen_2<1)))&&((It2.Cpt1_2<1)||(It1.listen_1<1)))&&((It2.Cpt1_2<1)||(It0.listen_0<1)))&&((It2.Cpt1_2<1)||(It5.listen_5<1)))&&((It2.Cpt1_2<1)||(It4.listen_4<1)))&&((It2.Cpt1_2<1)||(It3.listen_3<1)))"))))&&("(((((((It2.wait_cable_2>=1)&&(It2.RMC_2>=1))||((It0.wait_cable_0>=1)&&(It0.RMC_0>=1)))||((It4.wait_cable_4>=1)&&(It4.RMC_4>=1)))||((It1.wait_cable_1>=1)&&(It1.RMC_1>=1)))||((It3.wait_cable_3>=1)&&(It3.RMC_3>=1)))||((It5.wait_cable_5>=1)&&(It5.RMC_5>=1)))"))))))
Formula 2 simplified : !G(X"(((((((It2.wait_cable_2>=1)&&(It2.RMC_2>=1))||((It0.wait_cable_0>=1)&&(It0.RMC_0>=1)))||((It4.wait_cable_4>=1)&&(It4.RMC_4>=1)))||((It1.wait_cable_1>=1)&&(It1.RMC_1>=1)))||((It3.wait_cable_3>=1)&&(It3.RMC_3>=1)))||((It5.wait_cable_5>=1)&&(It5.RMC_5>=1)))" U X("(((((((It2.wait_cable_2>=1)&&(It2.RMC_2>=1))||((It0.wait_cable_0>=1)&&(It0.RMC_0>=1)))||((It4.wait_cable_4>=1)&&(It4.RMC_4>=1)))||((It1.wait_cable_1>=1)&&(It1.RMC_1>=1)))||((It3.wait_cable_3>=1)&&(It3.RMC_3>=1)))||((It5.wait_cable_5>=1)&&(It5.RMC_5>=1)))" & G"(((((((((((((((((((((((((((((((Dom15.wait_ack_15<1)||(T_out.T_out_0<1))&&((Dom5.wait_ack_5<1)||(T_out.T_out_0<1)))&&((Dom25.wait_ack_25<1)||(T_out.T_out_0<1)))&&((Dom29.wait_ack_29<1)||(T_out.T_out_0<1)))&&((Dom10.wait_ack_10<1)||(T_out.T_out_0<1)))&&((Dom30.wait_ack_30<1)||(T_out.T_out_0<1)))&&((Dom34.wait_ack_34<1)||(T_out.T_out_0<1)))&&((Dom19.wait_ack_19<1)||(T_out.T_out_0<1)))&&((Dom6.wait_ack_6<1)||(T_out.T_out_0<1)))&&((Dom31.wait_ack_31<1)||(T_out.T_out_0<1)))&&((Dom9.wait_ack_9<1)||(T_out.T_out_0<1)))&&((Dom26.wait_ack_26<1)||(T_out.T_out_0<1)))&&((Dom18.wait_ack_18<1)||(T_out.T_out_0<1)))&&((Dom1.wait_ack_1<1)||(T_out.T_out_0<1)))&&((Dom22.wait_ack_22<1)||(T_out.T_out_0<1)))&&((Dom32.wait_ack_32<1)||(T_out.T_out_0<1)))&&((Dom12.wait_ack_12<1)||(T_out.T_out_0<1)))&&((Dom27.wait_ack_27<1)||(T_out.T_out_0<1)))&&((Dom13.wait_ack_13<1)||(T_out.T_out_0<1)))&&((Dom2.wait_ack_2<1)||(T_out.T_out_0<1)))&&((Dom8.wait_ack_8<1)||(T_out.T_out_0<1)))&&((Dom23.wait_ack_23<1)||(T_out.T_out_0<1)))&&((Dom17.wait_ack_17<1)||(T_out.T_out_0<1)))&&((Dom16.wait_ack_16<1)||(T_out.T_out_0<1)))&&((Dom33.wait_ack_33<1)||(T_out.T_out_0<1)))&&((Dom4.wait_ack_4<1)||(T_out.T_out_0<1)))&&((Dom11.wait_ack_11<1)||(T_out.T_out_0<1)))&&((Dom24.wait_ack_24<1)||(T_out.T_out_0<1)))&&((Dom20.wait_ack_20<1)||(T_out.T_out_0<1)))&&((Dom3.wait_ack_3<1)||(T_out.T_out_0<1)))" & GF"(((((((((((((((((((((((((((((((((((((It1.Cpt1_1<1)||(It5.listen_5<1))&&((It1.Cpt1_1<1)||(It4.listen_4<1)))&&((It5.Cpt1_5<1)||(It1.listen_1<1)))&&((It5.Cpt1_5<1)||(It0.listen_0<1)))&&((It5.Cpt1_5<1)||(It3.listen_3<1)))&&((It5.Cpt1_5<1)||(It2.listen_2<1)))&&((It1.Cpt1_1<1)||(It1.listen_1<1)))&&((It5.Cpt1_5<1)||(It5.listen_5<1)))&&((It1.Cpt1_1<1)||(It0.listen_0<1)))&&((It5.Cpt1_5<1)||(It4.listen_4<1)))&&((It1.Cpt1_1<1)||(It3.listen_3<1)))&&((It1.Cpt1_1<1)||(It2.listen_2<1)))&&((It0.Cpt1_0<1)||(It5.listen_5<1)))&&((It4.Cpt1_4<1)||(It0.listen_0<1)))&&((It0.Cpt1_0<1)||(It0.listen_0<1)))&&((It4.Cpt1_4<1)||(It4.listen_4<1)))&&((It4.Cpt1_4<1)||(It3.listen_3<1)))&&((It4.Cpt1_4<1)||(It2.listen_2<1)))&&((It4.Cpt1_4<1)||(It1.listen_1<1)))&&((It0.Cpt1_0<1)||(It4.listen_4<1)))&&((It0.Cpt1_0<1)||(It3.listen_3<1)))&&((It0.Cpt1_0<1)||(It2.listen_2<1)))&&((It0.Cpt1_0<1)||(It1.listen_1<1)))&&((It4.Cpt1_4<1)||(It5.listen_5<1)))&&((It3.Cpt1_3<1)||(It1.listen_1<1)))&&((It3.Cpt1_3<1)||(It0.listen_0<1)))&&((It3.Cpt1_3<1)||(It3.listen_3<1)))&&((It3.Cpt1_3<1)||(It2.listen_2<1)))&&((It3.Cpt1_3<1)||(It5.listen_5<1)))&&((It3.Cpt1_3<1)||(It4.listen_4<1)))&&((It2.Cpt1_2<1)||(It2.listen_2<1)))&&((It2.Cpt1_2<1)||(It1.listen_1<1)))&&((It2.Cpt1_2<1)||(It0.listen_0<1)))&&((It2.Cpt1_2<1)||(It5.listen_5<1)))&&((It2.Cpt1_2<1)||(It4.listen_4<1)))&&((It2.Cpt1_2<1)||(It3.listen_3<1)))"))
4 unique states visited
4 strongly connected components in search stack
5 transitions explored
4 items max in DFS search stack
5390 ticks for the emptiness check
Model ,|S| ,Time ,Mem(kb) ,fin. SDD ,fin. DDD ,peak SDD ,peak DDD ,SDD Hom ,SDD cache peak ,DDD Hom ,DDD cachepeak ,SHom cache
STATS,0,272.212,3292596,1,0,6.40224e+06,20951,3397,5.56141e+06,211,137542,8404221
an accepting run exists (use option '-e' to print it)
Formula 2 is FALSE accepting run found.
FORMULA SafeBus-COL-06-05 FALSE TECHNIQUES DECISION_DIAGRAMS TOPOLOGICAL USE_NUPN
Checking formula 3 : !(((G(F(!(F("(((((((((((((((((((((((((((((((Dom15.wait_ack_15>=1)&&(T_out.T_out_0>=1))||((Dom5.wait_ack_5>=1)&&(T_out.T_out_0>=1)))||((Dom25.wait_ack_25>=1)&&(T_out.T_out_0>=1)))||((Dom29.wait_ack_29>=1)&&(T_out.T_out_0>=1)))||((Dom10.wait_ack_10>=1)&&(T_out.T_out_0>=1)))||((Dom30.wait_ack_30>=1)&&(T_out.T_out_0>=1)))||((Dom34.wait_ack_34>=1)&&(T_out.T_out_0>=1)))||((Dom19.wait_ack_19>=1)&&(T_out.T_out_0>=1)))||((Dom6.wait_ack_6>=1)&&(T_out.T_out_0>=1)))||((Dom31.wait_ack_31>=1)&&(T_out.T_out_0>=1)))||((Dom9.wait_ack_9>=1)&&(T_out.T_out_0>=1)))||((Dom26.wait_ack_26>=1)&&(T_out.T_out_0>=1)))||((Dom18.wait_ack_18>=1)&&(T_out.T_out_0>=1)))||((Dom1.wait_ack_1>=1)&&(T_out.T_out_0>=1)))||((Dom22.wait_ack_22>=1)&&(T_out.T_out_0>=1)))||((Dom32.wait_ack_32>=1)&&(T_out.T_out_0>=1)))||((Dom12.wait_ack_12>=1)&&(T_out.T_out_0>=1)))||((Dom27.wait_ack_27>=1)&&(T_out.T_out_0>=1)))||((Dom13.wait_ack_13>=1)&&(T_out.T_out_0>=1)))||((Dom2.wait_ack_2>=1)&&(T_out.T_out_0>=1)))||((Dom8.wait_ack_8>=1)&&(T_out.T_out_0>=1)))||((Dom23.wait_ack_23>=1)&&(T_out.T_out_0>=1)))||((Dom17.wait_ack_17>=1)&&(T_out.T_out_0>=1)))||((Dom16.wait_ack_16>=1)&&(T_out.T_out_0>=1)))||((Dom33.wait_ack_33>=1)&&(T_out.T_out_0>=1)))||((Dom4.wait_ack_4>=1)&&(T_out.T_out_0>=1)))||((Dom11.wait_ack_11>=1)&&(T_out.T_out_0>=1)))||((Dom24.wait_ack_24>=1)&&(T_out.T_out_0>=1)))||((Dom20.wait_ack_20>=1)&&(T_out.T_out_0>=1)))||((Dom3.wait_ack_3>=1)&&(T_out.T_out_0>=1)))")))))||(X("(((((((It2.MSG_2>=1)&&(It2.wait_msg_2>=1))||((It3.MSG_3>=1)&&(It3.wait_msg_3>=1)))||((It0.MSG_0>=1)&&(It0.wait_msg_0>=1)))||((It1.MSG_1>=1)&&(It1.wait_msg_1>=1)))||((It4.MSG_4>=1)&&(It4.wait_msg_4>=1)))||((It5.MSG_5>=1)&&(It5.wait_msg_5>=1)))"))))
Formula 3 simplified : !(GF!F"(((((((((((((((((((((((((((((((Dom15.wait_ack_15>=1)&&(T_out.T_out_0>=1))||((Dom5.wait_ack_5>=1)&&(T_out.T_out_0>=1)))||((Dom25.wait_ack_25>=1)&&(T_out.T_out_0>=1)))||((Dom29.wait_ack_29>=1)&&(T_out.T_out_0>=1)))||((Dom10.wait_ack_10>=1)&&(T_out.T_out_0>=1)))||((Dom30.wait_ack_30>=1)&&(T_out.T_out_0>=1)))||((Dom34.wait_ack_34>=1)&&(T_out.T_out_0>=1)))||((Dom19.wait_ack_19>=1)&&(T_out.T_out_0>=1)))||((Dom6.wait_ack_6>=1)&&(T_out.T_out_0>=1)))||((Dom31.wait_ack_31>=1)&&(T_out.T_out_0>=1)))||((Dom9.wait_ack_9>=1)&&(T_out.T_out_0>=1)))||((Dom26.wait_ack_26>=1)&&(T_out.T_out_0>=1)))||((Dom18.wait_ack_18>=1)&&(T_out.T_out_0>=1)))||((Dom1.wait_ack_1>=1)&&(T_out.T_out_0>=1)))||((Dom22.wait_ack_22>=1)&&(T_out.T_out_0>=1)))||((Dom32.wait_ack_32>=1)&&(T_out.T_out_0>=1)))||((Dom12.wait_ack_12>=1)&&(T_out.T_out_0>=1)))||((Dom27.wait_ack_27>=1)&&(T_out.T_out_0>=1)))||((Dom13.wait_ack_13>=1)&&(T_out.T_out_0>=1)))||((Dom2.wait_ack_2>=1)&&(T_out.T_out_0>=1)))||((Dom8.wait_ack_8>=1)&&(T_out.T_out_0>=1)))||((Dom23.wait_ack_23>=1)&&(T_out.T_out_0>=1)))||((Dom17.wait_ack_17>=1)&&(T_out.T_out_0>=1)))||((Dom16.wait_ack_16>=1)&&(T_out.T_out_0>=1)))||((Dom33.wait_ack_33>=1)&&(T_out.T_out_0>=1)))||((Dom4.wait_ack_4>=1)&&(T_out.T_out_0>=1)))||((Dom11.wait_ack_11>=1)&&(T_out.T_out_0>=1)))||((Dom24.wait_ack_24>=1)&&(T_out.T_out_0>=1)))||((Dom20.wait_ack_20>=1)&&(T_out.T_out_0>=1)))||((Dom3.wait_ack_3>=1)&&(T_out.T_out_0>=1)))" | X"(((((((It2.MSG_2>=1)&&(It2.wait_msg_2>=1))||((It3.MSG_3>=1)&&(It3.wait_msg_3>=1)))||((It0.MSG_0>=1)&&(It0.wait_msg_0>=1)))||((It1.MSG_1>=1)&&(It1.wait_msg_1>=1)))||((It4.MSG_4>=1)&&(It4.wait_msg_4>=1)))||((It5.MSG_5>=1)&&(It5.wait_msg_5>=1)))")
4 unique states visited
4 strongly connected components in search stack
4 transitions explored
4 items max in DFS search stack
9246 ticks for the emptiness check
Model ,|S| ,Time ,Mem(kb) ,fin. SDD ,fin. DDD ,peak SDD ,peak DDD ,SDD Hom ,SDD cache peak ,DDD Hom ,DDD cachepeak ,SHom cache
STATS,0,364.675,3630448,1,0,6.9846e+06,20951,3270,6.35652e+06,208,137542,12388206
an accepting run exists (use option '-e' to print it)
Formula 3 is FALSE accepting run found.
FORMULA SafeBus-COL-06-06 FALSE TECHNIQUES DECISION_DIAGRAMS TOPOLOGICAL USE_NUPN
Checking formula 4 : !(((("((((((((((((((((((((((((((((((((((((((((((((ACK.ACK_0<1)||(R_tout.R_tout_0<1))&&((It4.MSG_4<1)||(R_tout.R_tout_0<1)))&&((It0.MSG_0<1)||(R_tout.R_tout_0<1)))&&((It5.MSG_5<1)||(R_tout.R_tout_0<1)))&&((It2.MSG_2<1)||(R_tout.R_tout_0<1)))&&((It3.MSG_3<1)||(R_tout.R_tout_0<1)))&&((It1.MSG_1<1)||(R_tout.R_tout_0<1)))&&((It3.Cpt1_3<1)||(It5.loop_em_5<1)))&&((It3.Cpt1_3<1)||(It3.loop_em_3<1)))&&((It3.Cpt1_3<1)||(It4.loop_em_4<1)))&&((It3.Cpt1_3<1)||(It1.loop_em_1<1)))&&((It3.Cpt1_3<1)||(It2.loop_em_2<1)))&&((It3.Cpt1_3<1)||(It0.loop_em_0<1)))&&((It2.Cpt1_2<1)||(It0.loop_em_0<1)))&&((It2.Cpt1_2<1)||(It1.loop_em_1<1)))&&((It2.Cpt1_2<1)||(It2.loop_em_2<1)))&&((It5.Cpt1_5<1)||(It5.loop_em_5<1)))&&((It2.Cpt1_2<1)||(It3.loop_em_3<1)))&&((It2.Cpt1_2<1)||(It4.loop_em_4<1)))&&((It2.Cpt1_2<1)||(It5.loop_em_5<1)))&&((It1.Cpt1_1<1)||(It3.loop_em_3<1)))&&((It1.Cpt1_1<1)||(It4.loop_em_4<1)))&&((It1.Cpt1_1<1)||(It1.loop_em_1<1)))&&((It1.Cpt1_1<1)||(It2.loop_em_2<1)))&&((It1.Cpt1_1<1)||(It5.loop_em_5<1)))&&((It5.Cpt1_5<1)||(It0.loop_em_0<1)))&&((It5.Cpt1_5<1)||(It3.loop_em_3<1)))&&((It1.Cpt1_1<1)||(It0.loop_em_0<1)))&&((It5.Cpt1_5<1)||(It4.loop_em_4<1)))&&((It5.Cpt1_5<1)||(It1.loop_em_1<1)))&&((It5.Cpt1_5<1)||(It2.loop_em_2<1)))&&((It0.Cpt1_0<1)||(It2.loop_em_2<1)))&&((It0.Cpt1_0<1)||(It3.loop_em_3<1)))&&((It0.Cpt1_0<1)||(It4.loop_em_4<1)))&&((It0.Cpt1_0<1)||(It5.loop_em_5<1)))&&((It4.Cpt1_4<1)||(It2.loop_em_2<1)))&&((It4.Cpt1_4<1)||(It3.loop_em_3<1)))&&((It4.Cpt1_4<1)||(It4.loop_em_4<1)))&&((It4.Cpt1_4<1)||(It5.loop_em_5<1)))&&((It0.Cpt1_0<1)||(It1.loop_em_1<1)))&&((It0.Cpt1_0<1)||(It0.loop_em_0<1)))&&((It4.Cpt1_4<1)||(It0.loop_em_0<1)))&&((It4.Cpt1_4<1)||(It1.loop_em_1<1)))")U(G("(((((((((((((((((((((((((((((((((((((It3.Cpt1_3>=1)&&(It5.loop_em_5>=1))||((It3.Cpt1_3>=1)&&(It3.loop_em_3>=1)))||((It3.Cpt1_3>=1)&&(It4.loop_em_4>=1)))||((It3.Cpt1_3>=1)&&(It1.loop_em_1>=1)))||((It3.Cpt1_3>=1)&&(It2.loop_em_2>=1)))||((It3.Cpt1_3>=1)&&(It0.loop_em_0>=1)))||((It2.Cpt1_2>=1)&&(It0.loop_em_0>=1)))||((It2.Cpt1_2>=1)&&(It1.loop_em_1>=1)))||((It2.Cpt1_2>=1)&&(It2.loop_em_2>=1)))||((It5.Cpt1_5>=1)&&(It5.loop_em_5>=1)))||((It2.Cpt1_2>=1)&&(It3.loop_em_3>=1)))||((It2.Cpt1_2>=1)&&(It4.loop_em_4>=1)))||((It2.Cpt1_2>=1)&&(It5.loop_em_5>=1)))||((It1.Cpt1_1>=1)&&(It3.loop_em_3>=1)))||((It1.Cpt1_1>=1)&&(It4.loop_em_4>=1)))||((It1.Cpt1_1>=1)&&(It1.loop_em_1>=1)))||((It1.Cpt1_1>=1)&&(It2.loop_em_2>=1)))||((It1.Cpt1_1>=1)&&(It5.loop_em_5>=1)))||((It5.Cpt1_5>=1)&&(It0.loop_em_0>=1)))||((It5.Cpt1_5>=1)&&(It3.loop_em_3>=1)))||((It1.Cpt1_1>=1)&&(It0.loop_em_0>=1)))||((It5.Cpt1_5>=1)&&(It4.loop_em_4>=1)))||((It5.Cpt1_5>=1)&&(It1.loop_em_1>=1)))||((It5.Cpt1_5>=1)&&(It2.loop_em_2>=1)))||((It0.Cpt1_0>=1)&&(It2.loop_em_2>=1)))||((It0.Cpt1_0>=1)&&(It3.loop_em_3>=1)))||((It0.Cpt1_0>=1)&&(It4.loop_em_4>=1)))||((It0.Cpt1_0>=1)&&(It5.loop_em_5>=1)))||((It4.Cpt1_4>=1)&&(It2.loop_em_2>=1)))||((It4.Cpt1_4>=1)&&(It3.loop_em_3>=1)))||((It4.Cpt1_4>=1)&&(It4.loop_em_4>=1)))||((It4.Cpt1_4>=1)&&(It5.loop_em_5>=1)))||((It0.Cpt1_0>=1)&&(It1.loop_em_1>=1)))||((It0.Cpt1_0>=1)&&(It0.loop_em_0>=1)))||((It4.Cpt1_4>=1)&&(It0.loop_em_0>=1)))||((It4.Cpt1_4>=1)&&(It1.loop_em_1>=1)))")))&&(G("((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((ACK.ACK_0<1)||(R_tout.R_tout_0<1))||((((It0.Cpt2_0>=1)&&(It5.cable_used_5>=1))&&(Dom24.AMC_24>=1))&&(FMCb.FMCb_0>=1)))||((((It2.Cpt2_2>=1)&&(It2.cable_used_2>=1))&&(Dom32.AMC_32>=1))&&(FMCb.FMCb_0>=1)))||((((It1.Cpt2_1>=1)&&(It1.cable_used_1>=1))&&(Dom1.AMC_1>=1))&&(FMCb.FMCb_0>=1)))||((((It3.Cpt2_3>=1)&&(It0.cable_used_0>=1))&&(Dom3.AMC_3>=1))&&(FMCb.FMCb_0>=1)))||((((It0.Cpt2_0>=1)&&(It3.cable_used_3>=1))&&(Dom30.AMC_30>=1))&&(FMCb.FMCb_0>=1)))||((((It4.Cpt2_4>=1)&&(It3.cable_used_3>=1))&&(Dom10.AMC_10>=1))&&(FMCb.FMCb_0>=1)))||((((It4.Cpt2_4>=1)&&(It1.cable_used_1>=1))&&(Dom34.AMC_34>=1))&&(FMCb.FMCb_0>=1)))||((((It2.Cpt2_2>=1)&&(It4.cable_used_4>=1))&&(Dom8.AMC_8>=1))&&(FMCb.FMCb_0>=1)))||((((It1.Cpt2_1>=1)&&(It1.cable_used_1>=1))&&(Dom19.AMC_19>=1))&&(FMCb.FMCb_0>=1)))||((((It4.Cpt2_4>=1)&&(It5.cable_used_5>=1))&&(Dom4.AMC_4>=1))&&(FMCb.FMCb_0>=1)))||((((It3.Cpt2_3>=1)&&(It0.cable_used_0>=1))&&(Dom21.AMC_21>=1))&&(FMCb.FMCb_0>=1)))||((((It5.Cpt2_5>=1)&&(It1.cable_used_1>=1))&&(Dom17.AMC_17>=1))&&(FMCb.FMCb_0>=1)))||((((It5.Cpt2_5>=1)&&(It4.cable_used_4>=1))&&(Dom23.AMC_23>=1))&&(FMCb.FMCb_0>=1)))||((((It3.Cpt2_3>=1)&&(It4.cable_used_4>=1))&&(Dom9.AMC_9>=1))&&(FMCb.FMCb_0>=1)))||((((It5.Cpt2_5>=1)&&(It3.cable_used_3>=1))&&(Dom11.AMC_11>=1))&&(FMCb.FMCb_0>=1)))||((((It0.Cpt2_0>=1)&&(It0.cable_used_0>=1))&&(Dom6.AMC_6>=1))&&(FMCb.FMCb_0>=1)))||((((It1.Cpt2_1>=1)&&(It3.cable_used_3>=1))&&(Dom13.AMC_13>=1))&&(FMCb.FMCb_0>=1)))||((((It3.Cpt2_3>=1)&&(It3.cable_used_3>=1))&&(Dom27.AMC_27>=1))&&(FMCb.FMCb_0>=1)))||((((It5.Cpt2_5>=1)&&(It2.cable_used_2>=1))&&(Dom29.AMC_29>=1))&&(FMCb.FMCb_0>=1)))||((((It3.Cpt2_3>=1)&&(It2.cable_used_2>=1))&&(Dom15.AMC_15>=1))&&(FMCb.FMCb_0>=1)))||((((It1.Cpt2_1>=1)&&(It2.cable_used_2>=1))&&(Dom31.AMC_31>=1))&&(FMCb.FMCb_0>=1)))||((((It3.Cpt2_3>=1)&&(It1.cable_used_1>=1))&&(Dom33.AMC_33>=1))&&(FMCb.FMCb_0>=1)))||((((It5.Cpt2_5>=1)&&(It5.cable_used_5>=1))&&(Dom5.AMC_5>=1))&&(FMCb.FMCb_0>=1)))||((((It5.Cpt2_5>=1)&&(It0.cable_used_0>=1))&&(Dom35.AMC_35>=1))&&(FMCb.FMCb_0>=1)))||((((It1.Cpt2_1>=1)&&(It5.cable_used_5>=1))&&(Dom7.AMC_7>=1))&&(FMCb.FMCb_0>=1)))||((((It1.Cpt2_1>=1)&&(It4.cable_used_4>=1))&&(Dom7.AMC_7>=1))&&(FMCb.FMCb_0>=1)))||((((It1.Cpt2_1>=1)&&(It2.cable_used_2>=1))&&(Dom13.AMC_13>=1))&&(FMCb.FMCb_0>=1)))||((((It5.Cpt2_5>=1)&&(It0.cable_used_0>=1))&&(Dom17.AMC_17>=1))&&(FMCb.FMCb_0>=1)))||((((It1.Cpt2_1>=1)&&(It0.cable_used_0>=1))&&(Dom19.AMC_19>=1))&&(FMCb.FMCb_0>=1)))||((((It3.Cpt2_3>=1)&&(It4.cable_used_4>=1))&&(Dom27.AMC_27>=1))&&(FMCb.FMCb_0>=1)))||((((It5.Cpt2_5>=1)&&(It4.cable_used_4>=1))&&(Dom5.AMC_5>=1))&&(FMCb.FMCb_0>=1)))||((((It2.Cpt2_2>=1)&&(It1.cable_used_1>=1))&&(Dom20.AMC_20>=1))&&(FMCb.FMCb_0>=1)))||((((It1.Cpt2_1>=1)&&(It4.cable_used_4>=1))&&(Dom25.AMC_25>=1))&&(FMCb.FMCb_0>=1)))||((((It2.Cpt2_2>=1)&&(It5.cable_used_5>=1))&&(Dom8.AMC_8>=1))&&(FMCb.FMCb_0>=1)))||((((It2.Cpt2_2>=1)&&(It3.cable_used_3>=1))&&(Dom26.AMC_26>=1))&&(FMCb.FMCb_0>=1)))||((((It5.Cpt2_5>=1)&&(It2.cable_used_2>=1))&&(Dom11.AMC_11>=1))&&(FMCb.FMCb_0>=1)))||((((It2.Cpt2_2>=1)&&(It3.cable_used_3>=1))&&(Dom14.AMC_14>=1))&&(FMCb.FMCb_0>=1)))||((((It2.Cpt2_2>=1)&&(It1.cable_used_1>=1))&&(Dom32.AMC_32>=1))&&(FMCb.FMCb_0>=1)))||((((It1.Cpt2_1>=1)&&(It0.cable_used_0>=1))&&(Dom1.AMC_1>=1))&&(FMCb.FMCb_0>=1)))||((((It2.Cpt2_2>=1)&&(It1.cable_used_1>=1))&&(Dom2.AMC_2>=1))&&(FMCb.FMCb_0>=1)))||((((It3.Cpt2_3>=1)&&(It2.cable_used_2>=1))&&(Dom33.AMC_33>=1))&&(FMCb.FMCb_0>=1)))||((((It5.Cpt2_5>=1)&&(It5.cable_used_5>=1))&&(Dom35.AMC_35>=1))&&(FMCb.FMCb_0>=1)))||((((It3.Cpt2_3>=1)&&(It1.cable_used_1>=1))&&(Dom3.AMC_3>=1))&&(FMCb.FMCb_0>=1)))||((((It3.Cpt2_3>=1)&&(It1.cable_used_1>=1))&&(Dom21.AMC_21>=1))&&(FMCb.FMCb_0>=1)))||((((It2.Cpt2_2>=1)&&(It2.cable_used_2>=1))&&(Dom14.AMC_14>=1))&&(FMCb.FMCb_0>=1)))||((((It3.Cpt2_3>=1)&&(It3.cable_used_3>=1))&&(Dom15.AMC_15>=1))&&(FMCb.FMCb_0>=1)))||((((It2.Cpt2_2>=1)&&(It0.cable_used_0>=1))&&(Dom2.AMC_2>=1))&&(FMCb.FMCb_0>=1)))||((((It2.Cpt2_2>=1)&&(It0.cable_used_0>=1))&&(Dom20.AMC_20>=1))&&(FMCb.FMCb_0>=1)))||((((It5.Cpt2_5>=1)&&(It3.cable_used_3>=1))&&(Dom23.AMC_23>=1))&&(FMCb.FMCb_0>=1)))||((((It2.Cpt2_2>=1)&&(It4.cable_used_4>=1))&&(Dom26.AMC_26>=1))&&(FMCb.FMCb_0>=1)))||((((It5.Cpt2_5>=1)&&(It4.cable_used_4>=1))&&(Dom11.AMC_11>=1))&&(FMCb.FMCb_0>=1)))||((((It3.Cpt2_3>=1)&&(It2.cable_used_2>=1))&&(Dom27.AMC_27>=1))&&(FMCb.FMCb_0>=1)))||((((It5.Cpt2_5>=1)&&(It1.cable_used_1>=1))&&(Dom29.AMC_29>=1))&&(FMCb.FMCb_0>=1)))||((((It3.Cpt2_3>=1)&&(It5.cable_used_5>=1))&&(Dom9.AMC_9>=1))&&(FMCb.FMCb_0>=1)))||((((It0.Cpt2_0>=1)&&(It0.cable_used_0>=1))&&(Dom0.AMC_0>=1))&&(FMCb.FMCb_0>=1)))||((((It1.Cpt2_1>=1)&&(It1.cable_used_1>=1))&&(Dom31.AMC_31>=1))&&(FMCb.FMCb_0>=1)))||((((It5.Cpt2_5>=1)&&(It3.cable_used_3>=1))&&(Dom5.AMC_5>=1))&&(FMCb.FMCb_0>=1)))||((((It2.Cpt2_2>=1)&&(It1.cable_used_1>=1))&&(Dom14.AMC_14>=1))&&(FMCb.FMCb_0>=1)))||((((It4.Cpt2_4>=1)&&(It0.cable_used_0>=1))&&(Dom16.AMC_16>=1))&&(FMCb.FMCb_0>=1)))||((((It0.Cpt2_0>=1)&&(It0.cable_used_0>=1))&&(Dom18.AMC_18>=1))&&(FMCb.FMCb_0>=1)))||((((It2.Cpt2_2>=1)&&(It5.cable_used_5>=1))&&(Dom26.AMC_26>=1))&&(FMCb.FMCb_0>=1)))||((((It4.Cpt2_4>=1)&&(It2.cable_used_2>=1))&&(Dom34.AMC_34>=1))&&(FMCb.FMCb_0>=1)))||((((It2.Cpt2_2>=1)&&(It2.cable_used_2>=1))&&(Dom20.AMC_20>=1))&&(FMCb.FMCb_0>=1)))||((((It0.Cpt2_0>=1)&&(It4.cable_used_4>=1))&&(Dom24.AMC_24>=1))&&(FMCb.FMCb_0>=1)))||((((It4.Cpt2_4>=1)&&(It3.cable_used_3>=1))&&(Dom16.AMC_16>=1))&&(FMCb.FMCb_0>=1)))||((((It0.Cpt2_0>=1)&&(It3.cable_used_3>=1))&&(Dom18.AMC_18>=1))&&(FMCb.FMCb_0>=1)))||((((It0.Cpt2_0>=1)&&(It4.cable_used_4>=1))&&(Dom6.AMC_6>=1))&&(FMCb.FMCb_0>=1)))||((((It4.Cpt2_4>=1)&&(It4.cable_used_4>=1))&&(Dom22.AMC_22>=1))&&(FMCb.FMCb_0>=1)))||((((It2.Cpt2_2>=1)&&(It5.cable_used_5>=1))&&(Dom20.AMC_20>=1))&&(FMCb.FMCb_0>=1)))||((((It2.Cpt2_2>=1)&&(It2.cable_used_2>=1))&&(Dom2.AMC_2>=1))&&(FMCb.FMCb_0>=1)))||((((It2.Cpt2_2>=1)&&(It0.cable_used_0>=1))&&(Dom32.AMC_32>=1))&&(FMCb.FMCb_0>=1)))||((((It0.Cpt2_0>=1)&&(It3.cable_used_3>=1))&&(Dom0.AMC_0>=1))&&(FMCb.FMCb_0>=1)))||((((It2.Cpt2_2>=1)&&(It5.cable_used_5>=1))&&(Dom2.AMC_2>=1))&&(FMCb.FMCb_0>=1)))||((((It4.Cpt2_4>=1)&&(It4.cable_used_4>=1))&&(Dom4.AMC_4>=1))&&(FMCb.FMCb_0>=1)))||((((It3.Cpt2_3>=1)&&(It5.cable_used_5>=1))&&(Dom33.AMC_33>=1))&&(FMCb.FMCb_0>=1)))||((((It2.Cpt2_2>=1)&&(It4.cable_used_4>=1))&&(Dom2.AMC_2>=1))&&(FMCb.FMCb_0>=1)))||((((It0.Cpt2_0>=1)&&(It2.cable_used_2>=1))&&(Dom18.AMC_18>=1))&&(FMCb.FMCb_0>=1)))||((((It1.Cpt2_1>=1)&&(It1.cable_used_1>=1))&&(Dom25.AMC_25>=1))&&(FMCb.FMCb_0>=1)))||((((It5.Cpt2_5>=1)&&(It3.cable_used_3>=1))&&(Dom29.AMC_29>=1))&&(FMCb.FMCb_0>=1)))||((((It2.Cpt2_2>=1)&&(It0.cable_used_0>=1))&&(Dom14.AMC_14>=1))&&(FMCb.FMCb_0>=1)))||((((It1.Cpt2_1>=1)&&(It1.cable_used_1>=1))&&(Dom7.AMC_7>=1))&&(FMCb.FMCb_0>=1)))||((((It2.Cpt2_2>=1)&&(It4.cable_used_4>=1))&&(Dom20.AMC_20>=1))&&(FMCb.FMCb_0>=1)))||((((It5.Cpt2_5>=1)&&(It1.cable_used_1>=1))&&(Dom23.AMC_23>=1))&&(FMCb.FMCb_0>=1)))||((((It4.Cpt2_4>=1)&&(It0.cable_used_0>=1))&&(Dom34.AMC_34>=1))&&(FMCb.FMCb_0>=1)))||((((It0.Cpt2_0>=1)&&(It2.cable_used_2>=1))&&(Dom0.AMC_0>=1))&&(FMCb.FMCb_0>=1)))||((((It4.Cpt2_4>=1)&&(It2.cable_used_2>=1))&&(Dom16.AMC_16>=1))&&(FMCb.FMCb_0>=1)))||((((It5.Cpt2_5>=1)&&(It1.cable_used_1>=1))&&(Dom5.AMC_5>=1))&&(FMCb.FMCb_0>=1)))||((((It3.Cpt2_3>=1)&&(It3.cable_used_3>=1))&&(Dom9.AMC_9>=1))&&(FMCb.FMCb_0>=1)))||((((It1.Cpt2_1>=1)&&(It5.cable_used_5>=1))&&(Dom13.AMC_13>=1))&&(FMCb.FMCb_0>=1)))||((((It3.Cpt2_3>=1)&&(It1.cable_used_1>=1))&&(Dom27.AMC_27>=1))&&(FMCb.FMCb_0>=1)))||((((It1.Cpt2_1>=1)&&(It3.cable_used_3>=1))&&(Dom31.AMC_31>=1))&&(FMCb.FMCb_0>=1)))||((((It5.Cpt2_5>=1)&&(It0.cable_used_0>=1))&&(Dom5.AMC_5>=1))&&(FMCb.FMCb_0>=1)))||((((It0.Cpt2_0>=1)&&(It1.cable_used_1>=1))&&(Dom18.AMC_18>=1))&&(FMCb.FMCb_0>=1)))||((((It1.Cpt2_1>=1)&&(It0.cable_used_0>=1))&&(Dom25.AMC_25>=1))&&(FMCb.FMCb_0>=1)))||((((It3.Cpt2_3>=1)&&(It2.cable_used_2>=1))&&(Dom9.AMC_9>=1))&&(FMCb.FMCb_0>=1)))||((((It5.Cpt2_5>=1)&&(It5.cable_used_5>=1))&&(Dom11.AMC_11>=1))&&(FMCb.FMCb_0>=1)))||((((It0.Cpt2_0>=1)&&(It5.cable_used_5>=1))&&(Dom6.AMC_6>=1))&&(FMCb.FMCb_0>=1)))||((((It2.Cpt2_2>=1)&&(It3.cable_used_3>=1))&&(Dom2.AMC_2>=1))&&(FMCb.FMCb_0>=1)))||((((It4.Cpt2_4>=1)&&(It1.cable_used_1>=1))&&(Dom16.AMC_16>=1))&&(FMCb.FMCb_0>=1)))||((((It0.Cpt2_0>=1)&&(It1.cable_used_1>=1))&&(Dom0.AMC_0>=1))&&(FMCb.FMCb_0>=1)))||((((It1.Cpt2_1>=1)&&(It4.cable_used_4>=1))&&(Dom13.AMC_13>=1))&&(FMCb.FMCb_0>=1)))||((((It5.Cpt2_5>=1)&&(It4.cable_used_4>=1))&&(Dom29.AMC_29>=1))&&(FMCb.FMCb_0>=1)))||((((It4.Cpt2_4>=1)&&(It5.cable_used_5>=1))&&(Dom22.AMC_22>=1))&&(FMCb.FMCb_0>=1)))||((((It2.Cpt2_2>=1)&&(It3.cable_used_3>=1))&&(Dom20.AMC_20>=1))&&(FMCb.FMCb_0>=1)))||((((It5.Cpt2_5>=1)&&(It0.cable_used_0>=1))&&(Dom23.AMC_23>=1))&&(FMCb.FMCb_0>=1)))||((((It1.Cpt2_1>=1)&&(It4.cable_used_4>=1))&&(Dom31.AMC_31>=1))&&(FMCb.FMCb_0>=1)))||((((It1.Cpt2_1>=1)&&(It0.cable_used_0>=1))&&(Dom7.AMC_7>=1))&&(FMCb.FMCb_0>=1)))||((((It4.Cpt2_4>=1)&&(It4.cable_used_4>=1))&&(Dom16.AMC_16>=1))&&(FMCb.FMCb_0>=1)))||((((It1.Cpt2_1>=1)&&(It0.cable_used_0>=1))&&(Dom13.AMC_13>=1))&&(FMCb.FMCb_0>=1)))||((((It4.Cpt2_4>=1)&&(It0.cable_used_0>=1))&&(Dom28.AMC_28>=1))&&(FMCb.FMCb_0>=1)))||((((It1.Cpt2_1>=1)&&(It2.cable_used_2>=1))&&(Dom7.AMC_7>=1))&&(FMCb.FMCb_0>=1)))||((((It2.Cpt2_2>=1)&&(It5.cable_used_5>=1))&&(Dom14.AMC_14>=1))&&(FMCb.FMCb_0>=1)))||((((It5.Cpt2_5>=1)&&(It5.cable_used_5>=1))&&(Dom29.AMC_29>=1))&&(FMCb.FMCb_0>=1)))||((((It1.Cpt2_1>=1)&&(It2.cable_used_2>=1))&&(Dom25.AMC_25>=1))&&(FMCb.FMCb_0>=1)))||((((It1.Cpt2_1>=1)&&(It5.cable_used_5>=1))&&(Dom31.AMC_31>=1))&&(FMCb.FMCb_0>=1)))||((((It3.Cpt2_3>=1)&&(It3.cable_used_3>=1))&&(Dom21.AMC_21>=1))&&(FMCb.FMCb_0>=1)))||((((It5.Cpt2_5>=1)&&(It2.cable_used_2>=1))&&(Dom23.AMC_23>=1))&&(FMCb.FMCb_0>=1)))||((((It3.Cpt2_3>=1)&&(It4.cable_used_4>=1))&&(Dom33.AMC_33>=1))&&(FMCb.FMCb_0>=1)))||((((It5.Cpt2_5>=1)&&(It0.cable_used_0>=1))&&(Dom11.AMC_11>=1))&&(FMCb.FMCb_0>=1)))||((((It1.Cpt2_1>=1)&&(It4.cable_used_4>=1))&&(Dom19.AMC_19>=1))&&(FMCb.FMCb_0>=1)))||((((It5.Cpt2_5>=1)&&(It3.cable_used_3>=1))&&(Dom35.AMC_35>=1))&&(FMCb.FMCb_0>=1)))||((((It5.Cpt2_5>=1)&&(It4.cable_used_4>=1))&&(Dom17.AMC_17>=1))&&(FMCb.FMCb_0>=1)))||((((It3.Cpt2_3>=1)&&(It1.cable_used_1>=1))&&(Dom9.AMC_9>=1))&&(FMCb.FMCb_0>=1)))||((((It3.Cpt2_3>=1)&&(It5.cable_used_5>=1))&&(Dom15.AMC_15>=1))&&(FMCb.FMCb_0>=1)))||((((It5.Cpt2_5>=1)&&(It2.cable_used_2>=1))&&(Dom5.AMC_5>=1))&&(FMCb.FMCb_0>=1)))||((((It3.Cpt2_3>=1)&&(It3.cable_used_3>=1))&&(Dom3.AMC_3>=1))&&(FMCb.FMCb_0>=1)))||((((It1.Cpt2_1>=1)&&(It4.cable_used_4>=1))&&(Dom1.AMC_1>=1))&&(FMCb.FMCb_0>=1)))||((((It4.Cpt2_4>=1)&&(It1.cable_used_1>=1))&&(Dom10.AMC_10>=1))&&(FMCb.FMCb_0>=1)))||((((It5.Cpt2_5>=1)&&(It4.cable_used_4>=1))&&(Dom35.AMC_35>=1))&&(FMCb.FMCb_0>=1)))||((((It4.Cpt2_4>=1)&&(It3.cable_used_3>=1))&&(Dom4.AMC_4>=1))&&(FMCb.FMCb_0>=1)))||((((It3.Cpt2_3>=1)&&(It0.cable_used_0>=1))&&(Dom27.AMC_27>=1))&&(FMCb.FMCb_0>=1)))||((((It0.Cpt2_0>=1)&&(It5.cable_used_5>=1))&&(Dom0.AMC_0>=1))&&(FMCb.FMCb_0>=1)))||((((It0.Cpt2_0>=1)&&(It3.cable_used_3>=1))&&(Dom24.AMC_24>=1))&&(FMCb.FMCb_0>=1)))||((((It4.Cpt2_4>=1)&&(It5.cable_used_5>=1))&&(Dom28.AMC_28>=1))&&(FMCb.FMCb_0>=1)))||((((It3.Cpt2_3>=1)&&(It4.cable_used_4>=1))&&(Dom15.AMC_15>=1))&&(FMCb.FMCb_0>=1)))||((((It0.Cpt2_0>=1)&&(It1.cable_used_1>=1))&&(Dom12.AMC_12>=1))&&(FMCb.FMCb_0>=1)))||((((It0.Cpt2_0>=1)&&(It5.cable_used_5>=1))&&(Dom18.AMC_18>=1))&&(FMCb.FMCb_0>=1)))||((((It3.Cpt2_3>=1)&&(It0.cable_used_0>=1))&&(Dom9.AMC_9>=1))&&(FMCb.FMCb_0>=1)))||((((It3.Cpt2_3>=1)&&(It2.cable_used_2>=1))&&(Dom21.AMC_21>=1))&&(FMCb.FMCb_0>=1)))||((((It0.Cpt2_0>=1)&&(It5.cable_used_5>=1))&&(Dom30.AMC_30>=1))&&(FMCb.FMCb_0>=1)))||((((It3.Cpt2_3>=1)&&(It2.cable_used_2>=1))&&(Dom3.AMC_3>=1))&&(FMCb.FMCb_0>=1)))||((((It4.Cpt2_4>=1)&&(It3.cable_used_3>=1))&&(Dom22.AMC_22>=1))&&(FMCb.FMCb_0>=1)))||((((It4.Cpt2_4>=1)&&(It3.cable_used_3>=1))&&(Dom34.AMC_34>=1))&&(FMCb.FMCb_0>=1)))||((((It0.Cpt2_0>=1)&&(It3.cable_used_3>=1))&&(Dom6.AMC_6>=1))&&(FMCb.FMCb_0>=1)))||((((It5.Cpt2_5>=1)&&(It0.cable_used_0>=1))&&(Dom29.AMC_29>=1))&&(FMCb.FMCb_0>=1)))||((((It0.Cpt2_0>=1)&&(It4.cable_used_4>=1))&&(Dom0.AMC_0>=1))&&(FMCb.FMCb_0>=1)))||((((It4.Cpt2_4>=1)&&(It0.cable_used_0>=1))&&(Dom10.AMC_10>=1))&&(FMCb.FMCb_0>=1)))||((((It4.Cpt2_4>=1)&&(It5.cable_used_5>=1))&&(Dom16.AMC_16>=1))&&(FMCb.FMCb_0>=1)))||((((It0.Cpt2_0>=1)&&(It2.cable_used_2>=1))&&(Dom6.AMC_6>=1))&&(FMCb.FMCb_0>=1)))||((((It1.Cpt2_1>=1)&&(It0.cable_used_0>=1))&&(Dom31.AMC_31>=1))&&(FMCb.FMCb_0>=1)))||((((It4.Cpt2_4>=1)&&(It2.cable_used_2>=1))&&(Dom4.AMC_4>=1))&&(FMCb.FMCb_0>=1)))||((((It1.Cpt2_1>=1)&&(It3.cable_used_3>=1))&&(Dom7.AMC_7>=1))&&(FMCb.FMCb_0>=1)))||((((It0.Cpt2_0>=1)&&(It0.cable_used_0>=1))&&(Dom30.AMC_30>=1))&&(FMCb.FMCb_0>=1)))||((((It0.Cpt2_0>=1)&&(It2.cable_used_2>=1))&&(Dom24.AMC_24>=1))&&(FMCb.FMCb_0>=1)))||((((It3.Cpt2_3>=1)&&(It5.cable_used_5>=1))&&(Dom27.AMC_27>=1))&&(FMCb.FMCb_0>=1)))||((((It0.Cpt2_0>=1)&&(It4.cable_used_4>=1))&&(Dom18.AMC_18>=1))&&(FMCb.FMCb_0>=1)))||((((It5.Cpt2_5>=1)&&(It1.cable_used_1>=1))&&(Dom11.AMC_11>=1))&&(FMCb.FMCb_0>=1)))||((((It3.Cpt2_3>=1)&&(It3.cable_used_3>=1))&&(Dom33.AMC_33>=1))&&(FMCb.FMCb_0>=1)))||((((It1.Cpt2_1>=1)&&(It3.cable_used_3>=1))&&(Dom25.AMC_25>=1))&&(FMCb.FMCb_0>=1)))||((((It0.Cpt2_0>=1)&&(It0.cable_used_0>=1))&&(Dom12.AMC_12>=1))&&(FMCb.FMCb_0>=1)))||((((It4.Cpt2_4>=1)&&(It2.cable_used_2>=1))&&(Dom22.AMC_22>=1))&&(FMCb.FMCb_0>=1)))||((((It4.Cpt2_4>=1)&&(It4.cable_used_4>=1))&&(Dom34.AMC_34>=1))&&(FMCb.FMCb_0>=1)))||((((It1.Cpt2_1>=1)&&(It1.cable_used_1>=1))&&(Dom13.AMC_13>=1))&&(FMCb.FMCb_0>=1)))||((((It0.Cpt2_0>=1)&&(It1.cable_used_1>=1))&&(Dom6.AMC_6>=1))&&(FMCb.FMCb_0>=1)))||((((It5.Cpt2_5>=1)&&(It2.cable_used_2>=1))&&(Dom17.AMC_17>=1))&&(FMCb.FMCb_0>=1)))||((((It1.Cpt2_1>=1)&&(It5.cable_used_5>=1))&&(Dom19.AMC_19>=1))&&(FMCb.FMCb_0>=1)))||((((It3.Cpt2_3>=1)&&(It4.cable_used_4>=1))&&(Dom21.AMC_21>=1))&&(FMCb.FMCb_0>=1)))||((((It2.Cpt2_2>=1)&&(It0.cable_used_0>=1))&&(Dom8.AMC_8>=1))&&(FMCb.FMCb_0>=1)))||((((It0.Cpt2_0>=1)&&(It2.cable_used_2>=1))&&(Dom12.AMC_12>=1))&&(FMCb.FMCb_0>=1)))||((((It5.Cpt2_5>=1)&&(It5.cable_used_5>=1))&&(Dom17.AMC_17>=1))&&(FMCb.FMCb_0>=1)))||((((It3.Cpt2_3>=1)&&(It0.cable_used_0>=1))&&(Dom33.AMC_33>=1))&&(FMCb.FMCb_0>=1)))||((((It4.Cpt2_4>=1)&&(It5.cable_used_5>=1))&&(Dom34.AMC_34>=1))&&(FMCb.FMCb_0>=1)))||((((It1.Cpt2_1>=1)&&(It5.cable_used_5>=1))&&(Dom1.AMC_1>=1))&&(FMCb.FMCb_0>=1)))||((((It3.Cpt2_3>=1)&&(It4.cable_used_4>=1))&&(Dom3.AMC_3>=1))&&(FMCb.FMCb_0>=1)))||((((It2.Cpt2_2>=1)&&(It0.cable_used_0>=1))&&(Dom26.AMC_26>=1))&&(FMCb.FMCb_0>=1)))||((((It0.Cpt2_0>=1)&&(It1.cable_used_1>=1))&&(Dom24.AMC_24>=1))&&(FMCb.FMCb_0>=1)))||((((It2.Cpt2_2>=1)&&(It4.cable_used_4>=1))&&(Dom14.AMC_14>=1))&&(FMCb.FMCb_0>=1)))||((((It0.Cpt2_0>=1)&&(It5.cable_used_5>=1))&&(Dom12.AMC_12>=1))&&(FMCb.FMCb_0>=1)))||((((It4.Cpt2_4>=1)&&(It1.cable_used_1>=1))&&(Dom22.AMC_22>=1))&&(FMCb.FMCb_0>=1)))||((((It4.Cpt2_4>=1)&&(It4.cable_used_4>=1))&&(Dom28.AMC_28>=1))&&(FMCb.FMCb_0>=1)))||((((It4.Cpt2_4>=1)&&(It2.cable_used_2>=1))&&(Dom10.AMC_10>=1))&&(FMCb.FMCb_0>=1)))||((((It4.Cpt2_4>=1)&&(It1.cable_used_1>=1))&&(Dom28.AMC_28>=1))&&(FMCb.FMCb_0>=1)))||((((It2.Cpt2_2>=1)&&(It3.cable_used_3>=1))&&(Dom32.AMC_32>=1))&&(FMCb.FMCb_0>=1)))||((((It0.Cpt2_0>=1)&&(It4.cable_used_4>=1))&&(Dom30.AMC_30>=1))&&(FMCb.FMCb_0>=1)))||((((It4.Cpt2_4>=1)&&(It1.cable_used_1>=1))&&(Dom4.AMC_4>=1))&&(FMCb.FMCb_0>=1)))||((((It2.Cpt2_2>=1)&&(It3.cable_used_3>=1))&&(Dom8.AMC_8>=1))&&(FMCb.FMCb_0>=1)))||((((It0.Cpt2_0>=1)&&(It1.cable_used_1>=1))&&(Dom30.AMC_30>=1))&&(FMCb.FMCb_0>=1)))||((((It4.Cpt2_4>=1)&&(It5.cable_used_5>=1))&&(Dom10.AMC_10>=1))&&(FMCb.FMCb_0>=1)))||((((It3.Cpt2_3>=1)&&(It1.cable_used_1>=1))&&(Dom15.AMC_15>=1))&&(FMCb.FMCb_0>=1)))||((((It5.Cpt2_5>=1)&&(It5.cable_used_5>=1))&&(Dom23.AMC_23>=1))&&(FMCb.FMCb_0>=1)))||((((It0.Cpt2_0>=1)&&(It0.cable_used_0>=1))&&(Dom24.AMC_24>=1))&&(FMCb.FMCb_0>=1)))||((((It3.Cpt2_3>=1)&&(It5.cable_used_5>=1))&&(Dom3.AMC_3>=1))&&(FMCb.FMCb_0>=1)))||((((It1.Cpt2_1>=1)&&(It3.cable_used_3>=1))&&(Dom19.AMC_19>=1))&&(FMCb.FMCb_0>=1)))||((((It4.Cpt2_4>=1)&&(It0.cable_used_0>=1))&&(Dom22.AMC_22>=1))&&(FMCb.FMCb_0>=1)))||((((It2.Cpt2_2>=1)&&(It2.cable_used_2>=1))&&(Dom26.AMC_26>=1))&&(FMCb.FMCb_0>=1)))||((((It1.Cpt2_1>=1)&&(It5.cable_used_5>=1))&&(Dom25.AMC_25>=1))&&(FMCb.FMCb_0>=1)))||((((It1.Cpt2_1>=1)&&(It3.cable_used_3>=1))&&(Dom1.AMC_1>=1))&&(FMCb.FMCb_0>=1)))||((((It4.Cpt2_4>=1)&&(It2.cable_used_2>=1))&&(Dom28.AMC_28>=1))&&(FMCb.FMCb_0>=1)))||((((It2.Cpt2_2>=1)&&(It4.cable_used_4>=1))&&(Dom32.AMC_32>=1))&&(FMCb.FMCb_0>=1)))||((((It4.Cpt2_4>=1)&&(It4.cable_used_4>=1))&&(Dom10.AMC_10>=1))&&(FMCb.FMCb_0>=1)))||((((It5.Cpt2_5>=1)&&(It1.cable_used_1>=1))&&(Dom35.AMC_35>=1))&&(FMCb.FMCb_0>=1)))||((((It4.Cpt2_4>=1)&&(It0.cable_used_0>=1))&&(Dom4.AMC_4>=1))&&(FMCb.FMCb_0>=1)))||((((It2.Cpt2_2>=1)&&(It2.cable_used_2>=1))&&(Dom8.AMC_8>=1))&&(FMCb.FMCb_0>=1)))||((((It0.Cpt2_0>=1)&&(It4.cable_used_4>=1))&&(Dom12.AMC_12>=1))&&(FMCb.FMCb_0>=1)))||((((It5.Cpt2_5>=1)&&(It3.cable_used_3>=1))&&(Dom17.AMC_17>=1))&&(FMCb.FMCb_0>=1)))||((((It3.Cpt2_3>=1)&&(It5.cable_used_5>=1))&&(Dom21.AMC_21>=1))&&(FMCb.FMCb_0>=1)))||((((It2.Cpt2_2>=1)&&(It1.cable_used_1>=1))&&(Dom8.AMC_8>=1))&&(FMCb.FMCb_0>=1)))||((((It0.Cpt2_0>=1)&&(It2.cable_used_2>=1))&&(Dom30.AMC_30>=1))&&(FMCb.FMCb_0>=1)))||((((It1.Cpt2_1>=1)&&(It2.cable_used_2>=1))&&(Dom1.AMC_1>=1))&&(FMCb.FMCb_0>=1)))||((((It2.Cpt2_2>=1)&&(It5.cable_used_5>=1))&&(Dom32.AMC_32>=1))&&(FMCb.FMCb_0>=1)))||((((It2.Cpt2_2>=1)&&(It1.cable_used_1>=1))&&(Dom26.AMC_26>=1))&&(FMCb.FMCb_0>=1)))||((((It5.Cpt2_5>=1)&&(It2.cable_used_2>=1))&&(Dom35.AMC_35>=1))&&(FMCb.FMCb_0>=1)))||((((It4.Cpt2_4>=1)&&(It3.cable_used_3>=1))&&(Dom28.AMC_28>=1))&&(FMCb.FMCb_0>=1)))||((((It0.Cpt2_0>=1)&&(It3.cable_used_3>=1))&&(Dom12.AMC_12>=1))&&(FMCb.FMCb_0>=1)))||((((It3.Cpt2_3>=1)&&(It0.cable_used_0>=1))&&(Dom15.AMC_15>=1))&&(FMCb.FMCb_0>=1)))||((((It1.Cpt2_1>=1)&&(It2.cable_used_2>=1))&&(Dom19.AMC_19>=1))&&(FMCb.FMCb_0>=1)))"))))
Formula 4 simplified : !(("((((((((((((((((((((((((((((((((((((((((((((ACK.ACK_0<1)||(R_tout.R_tout_0<1))&&((It4.MSG_4<1)||(R_tout.R_tout_0<1)))&&((It0.MSG_0<1)||(R_tout.R_tout_0<1)))&&((It5.MSG_5<1)||(R_tout.R_tout_0<1)))&&((It2.MSG_2<1)||(R_tout.R_tout_0<1)))&&((It3.MSG_3<1)||(R_tout.R_tout_0<1)))&&((It1.MSG_1<1)||(R_tout.R_tout_0<1)))&&((It3.Cpt1_3<1)||(It5.loop_em_5<1)))&&((It3.Cpt1_3<1)||(It3.loop_em_3<1)))&&((It3.Cpt1_3<1)||(It4.loop_em_4<1)))&&((It3.Cpt1_3<1)||(It1.loop_em_1<1)))&&((It3.Cpt1_3<1)||(It2.loop_em_2<1)))&&((It3.Cpt1_3<1)||(It0.loop_em_0<1)))&&((It2.Cpt1_2<1)||(It0.loop_em_0<1)))&&((It2.Cpt1_2<1)||(It1.loop_em_1<1)))&&((It2.Cpt1_2<1)||(It2.loop_em_2<1)))&&((It5.Cpt1_5<1)||(It5.loop_em_5<1)))&&((It2.Cpt1_2<1)||(It3.loop_em_3<1)))&&((It2.Cpt1_2<1)||(It4.loop_em_4<1)))&&((It2.Cpt1_2<1)||(It5.loop_em_5<1)))&&((It1.Cpt1_1<1)||(It3.loop_em_3<1)))&&((It1.Cpt1_1<1)||(It4.loop_em_4<1)))&&((It1.Cpt1_1<1)||(It1.loop_em_1<1)))&&((It1.Cpt1_1<1)||(It2.loop_em_2<1)))&&((It1.Cpt1_1<1)||(It5.loop_em_5<1)))&&((It5.Cpt1_5<1)||(It0.loop_em_0<1)))&&((It5.Cpt1_5<1)||(It3.loop_em_3<1)))&&((It1.Cpt1_1<1)||(It0.loop_em_0<1)))&&((It5.Cpt1_5<1)||(It4.loop_em_4<1)))&&((It5.Cpt1_5<1)||(It1.loop_em_1<1)))&&((It5.Cpt1_5<1)||(It2.loop_em_2<1)))&&((It0.Cpt1_0<1)||(It2.loop_em_2<1)))&&((It0.Cpt1_0<1)||(It3.loop_em_3<1)))&&((It0.Cpt1_0<1)||(It4.loop_em_4<1)))&&((It0.Cpt1_0<1)||(It5.loop_em_5<1)))&&((It4.Cpt1_4<1)||(It2.loop_em_2<1)))&&((It4.Cpt1_4<1)||(It3.loop_em_3<1)))&&((It4.Cpt1_4<1)||(It4.loop_em_4<1)))&&((It4.Cpt1_4<1)||(It5.loop_em_5<1)))&&((It0.Cpt1_0<1)||(It1.loop_em_1<1)))&&((It0.Cpt1_0<1)||(It0.loop_em_0<1)))&&((It4.Cpt1_4<1)||(It0.loop_em_0<1)))&&((It4.Cpt1_4<1)||(It1.loop_em_1<1)))" U G"(((((((((((((((((((((((((((((((((((((It3.Cpt1_3>=1)&&(It5.loop_em_5>=1))||((It3.Cpt1_3>=1)&&(It3.loop_em_3>=1)))||((It3.Cpt1_3>=1)&&(It4.loop_em_4>=1)))||((It3.Cpt1_3>=1)&&(It1.loop_em_1>=1)))||((It3.Cpt1_3>=1)&&(It2.loop_em_2>=1)))||((It3.Cpt1_3>=1)&&(It0.loop_em_0>=1)))||((It2.Cpt1_2>=1)&&(It0.loop_em_0>=1)))||((It2.Cpt1_2>=1)&&(It1.loop_em_1>=1)))||((It2.Cpt1_2>=1)&&(It2.loop_em_2>=1)))||((It5.Cpt1_5>=1)&&(It5.loop_em_5>=1)))||((It2.Cpt1_2>=1)&&(It3.loop_em_3>=1)))||((It2.Cpt1_2>=1)&&(It4.loop_em_4>=1)))||((It2.Cpt1_2>=1)&&(It5.loop_em_5>=1)))||((It1.Cpt1_1>=1)&&(It3.loop_em_3>=1)))||((It1.Cpt1_1>=1)&&(It4.loop_em_4>=1)))||((It1.Cpt1_1>=1)&&(It1.loop_em_1>=1)))||((It1.Cpt1_1>=1)&&(It2.loop_em_2>=1)))||((It1.Cpt1_1>=1)&&(It5.loop_em_5>=1)))||((It5.Cpt1_5>=1)&&(It0.loop_em_0>=1)))||((It5.Cpt1_5>=1)&&(It3.loop_em_3>=1)))||((It1.Cpt1_1>=1)&&(It0.loop_em_0>=1)))||((It5.Cpt1_5>=1)&&(It4.loop_em_4>=1)))||((It5.Cpt1_5>=1)&&(It1.loop_em_1>=1)))||((It5.Cpt1_5>=1)&&(It2.loop_em_2>=1)))||((It0.Cpt1_0>=1)&&(It2.loop_em_2>=1)))||((It0.Cpt1_0>=1)&&(It3.loop_em_3>=1)))||((It0.Cpt1_0>=1)&&(It4.loop_em_4>=1)))||((It0.Cpt1_0>=1)&&(It5.loop_em_5>=1)))||((It4.Cpt1_4>=1)&&(It2.loop_em_2>=1)))||((It4.Cpt1_4>=1)&&(It3.loop_em_3>=1)))||((It4.Cpt1_4>=1)&&(It4.loop_em_4>=1)))||((It4.Cpt1_4>=1)&&(It5.loop_em_5>=1)))||((It0.Cpt1_0>=1)&&(It1.loop_em_1>=1)))||((It0.Cpt1_0>=1)&&(It0.loop_em_0>=1)))||((It4.Cpt1_4>=1)&&(It0.loop_em_0>=1)))||((It4.Cpt1_4>=1)&&(It1.loop_em_1>=1)))") & G"((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((ACK.ACK_0<1)||(R_tout.R_tout_0<1))||((((It0.Cpt2_0>=1)&&(It5.cable_used_5>=1))&&(Dom24.AMC_24>=1))&&(FMCb.FMCb_0>=1)))||((((It2.Cpt2_2>=1)&&(It2.cable_used_2>=1))&&(Dom32.AMC_32>=1))&&(FMCb.FMCb_0>=1)))||((((It1.Cpt2_1>=1)&&(It1.cable_used_1>=1))&&(Dom1.AMC_1>=1))&&(FMCb.FMCb_0>=1)))||((((It3.Cpt2_3>=1)&&(It0.cable_used_0>=1))&&(Dom3.AMC_3>=1))&&(FMCb.FMCb_0>=1)))||((((It0.Cpt2_0>=1)&&(It3.cable_used_3>=1))&&(Dom30.AMC_30>=1))&&(FMCb.FMCb_0>=1)))||((((It4.Cpt2_4>=1)&&(It3.cable_used_3>=1))&&(Dom10.AMC_10>=1))&&(FMCb.FMCb_0>=1)))||((((It4.Cpt2_4>=1)&&(It1.cable_used_1>=1))&&(Dom34.AMC_34>=1))&&(FMCb.FMCb_0>=1)))||((((It2.Cpt2_2>=1)&&(It4.cable_used_4>=1))&&(Dom8.AMC_8>=1))&&(FMCb.FMCb_0>=1)))||((((It1.Cpt2_1>=1)&&(It1.cable_used_1>=1))&&(Dom19.AMC_19>=1))&&(FMCb.FMCb_0>=1)))||((((It4.Cpt2_4>=1)&&(It5.cable_used_5>=1))&&(Dom4.AMC_4>=1))&&(FMCb.FMCb_0>=1)))||((((It3.Cpt2_3>=1)&&(It0.cable_used_0>=1))&&(Dom21.AMC_21>=1))&&(FMCb.FMCb_0>=1)))||((((It5.Cpt2_5>=1)&&(It1.cable_used_1>=1))&&(Dom17.AMC_17>=1))&&(FMCb.FMCb_0>=1)))||((((It5.Cpt2_5>=1)&&(It4.cable_used_4>=1))&&(Dom23.AMC_23>=1))&&(FMCb.FMCb_0>=1)))||((((It3.Cpt2_3>=1)&&(It4.cable_used_4>=1))&&(Dom9.AMC_9>=1))&&(FMCb.FMCb_0>=1)))||((((It5.Cpt2_5>=1)&&(It3.cable_used_3>=1))&&(Dom11.AMC_11>=1))&&(FMCb.FMCb_0>=1)))||((((It0.Cpt2_0>=1)&&(It0.cable_used_0>=1))&&(Dom6.AMC_6>=1))&&(FMCb.FMCb_0>=1)))||((((It1.Cpt2_1>=1)&&(It3.cable_used_3>=1))&&(Dom13.AMC_13>=1))&&(FMCb.FMCb_0>=1)))||((((It3.Cpt2_3>=1)&&(It3.cable_used_3>=1))&&(Dom27.AMC_27>=1))&&(FMCb.FMCb_0>=1)))||((((It5.Cpt2_5>=1)&&(It2.cable_used_2>=1))&&(Dom29.AMC_29>=1))&&(FMCb.FMCb_0>=1)))||((((It3.Cpt2_3>=1)&&(It2.cable_used_2>=1))&&(Dom15.AMC_15>=1))&&(FMCb.FMCb_0>=1)))||((((It1.Cpt2_1>=1)&&(It2.cable_used_2>=1))&&(Dom31.AMC_31>=1))&&(FMCb.FMCb_0>=1)))||((((It3.Cpt2_3>=1)&&(It1.cable_used_1>=1))&&(Dom33.AMC_33>=1))&&(FMCb.FMCb_0>=1)))||((((It5.Cpt2_5>=1)&&(It5.cable_used_5>=1))&&(Dom5.AMC_5>=1))&&(FMCb.FMCb_0>=1)))||((((It5.Cpt2_5>=1)&&(It0.cable_used_0>=1))&&(Dom35.AMC_35>=1))&&(FMCb.FMCb_0>=1)))||((((It1.Cpt2_1>=1)&&(It5.cable_used_5>=1))&&(Dom7.AMC_7>=1))&&(FMCb.FMCb_0>=1)))||((((It1.Cpt2_1>=1)&&(It4.cable_used_4>=1))&&(Dom7.AMC_7>=1))&&(FMCb.FMCb_0>=1)))||((((It1.Cpt2_1>=1)&&(It2.cable_used_2>=1))&&(Dom13.AMC_13>=1))&&(FMCb.FMCb_0>=1)))||((((It5.Cpt2_5>=1)&&(It0.cable_used_0>=1))&&(Dom17.AMC_17>=1))&&(FMCb.FMCb_0>=1)))||((((It1.Cpt2_1>=1)&&(It0.cable_used_0>=1))&&(Dom19.AMC_19>=1))&&(FMCb.FMCb_0>=1)))||((((It3.Cpt2_3>=1)&&(It4.cable_used_4>=1))&&(Dom27.AMC_27>=1))&&(FMCb.FMCb_0>=1)))||((((It5.Cpt2_5>=1)&&(It4.cable_used_4>=1))&&(Dom5.AMC_5>=1))&&(FMCb.FMCb_0>=1)))||((((It2.Cpt2_2>=1)&&(It1.cable_used_1>=1))&&(Dom20.AMC_20>=1))&&(FMCb.FMCb_0>=1)))||((((It1.Cpt2_1>=1)&&(It4.cable_used_4>=1))&&(Dom25.AMC_25>=1))&&(FMCb.FMCb_0>=1)))||((((It2.Cpt2_2>=1)&&(It5.cable_used_5>=1))&&(Dom8.AMC_8>=1))&&(FMCb.FMCb_0>=1)))||((((It2.Cpt2_2>=1)&&(It3.cable_used_3>=1))&&(Dom26.AMC_26>=1))&&(FMCb.FMCb_0>=1)))||((((It5.Cpt2_5>=1)&&(It2.cable_used_2>=1))&&(Dom11.AMC_11>=1))&&(FMCb.FMCb_0>=1)))||((((It2.Cpt2_2>=1)&&(It3.cable_used_3>=1))&&(Dom14.AMC_14>=1))&&(FMCb.FMCb_0>=1)))||((((It2.Cpt2_2>=1)&&(It1.cable_used_1>=1))&&(Dom32.AMC_32>=1))&&(FMCb.FMCb_0>=1)))||((((It1.Cpt2_1>=1)&&(It0.cable_used_0>=1))&&(Dom1.AMC_1>=1))&&(FMCb.FMCb_0>=1)))||((((It2.Cpt2_2>=1)&&(It1.cable_used_1>=1))&&(Dom2.AMC_2>=1))&&(FMCb.FMCb_0>=1)))||((((It3.Cpt2_3>=1)&&(It2.cable_used_2>=1))&&(Dom33.AMC_33>=1))&&(FMCb.FMCb_0>=1)))||((((It5.Cpt2_5>=1)&&(It5.cable_used_5>=1))&&(Dom35.AMC_35>=1))&&(FMCb.FMCb_0>=1)))||((((It3.Cpt2_3>=1)&&(It1.cable_used_1>=1))&&(Dom3.AMC_3>=1))&&(FMCb.FMCb_0>=1)))||((((It3.Cpt2_3>=1)&&(It1.cable_used_1>=1))&&(Dom21.AMC_21>=1))&&(FMCb.FMCb_0>=1)))||((((It2.Cpt2_2>=1)&&(It2.cable_used_2>=1))&&(Dom14.AMC_14>=1))&&(FMCb.FMCb_0>=1)))||((((It3.Cpt2_3>=1)&&(It3.cable_used_3>=1))&&(Dom15.AMC_15>=1))&&(FMCb.FMCb_0>=1)))||((((It2.Cpt2_2>=1)&&(It0.cable_used_0>=1))&&(Dom2.AMC_2>=1))&&(FMCb.FMCb_0>=1)))||((((It2.Cpt2_2>=1)&&(It0.cable_used_0>=1))&&(Dom20.AMC_20>=1))&&(FMCb.FMCb_0>=1)))||((((It5.Cpt2_5>=1)&&(It3.cable_used_3>=1))&&(Dom23.AMC_23>=1))&&(FMCb.FMCb_0>=1)))||((((It2.Cpt2_2>=1)&&(It4.cable_used_4>=1))&&(Dom26.AMC_26>=1))&&(FMCb.FMCb_0>=1)))||((((It5.Cpt2_5>=1)&&(It4.cable_used_4>=1))&&(Dom11.AMC_11>=1))&&(FMCb.FMCb_0>=1)))||((((It3.Cpt2_3>=1)&&(It2.cable_used_2>=1))&&(Dom27.AMC_27>=1))&&(FMCb.FMCb_0>=1)))||((((It5.Cpt2_5>=1)&&(It1.cable_used_1>=1))&&(Dom29.AMC_29>=1))&&(FMCb.FMCb_0>=1)))||((((It3.Cpt2_3>=1)&&(It5.cable_used_5>=1))&&(Dom9.AMC_9>=1))&&(FMCb.FMCb_0>=1)))||((((It0.Cpt2_0>=1)&&(It0.cable_used_0>=1))&&(Dom0.AMC_0>=1))&&(FMCb.FMCb_0>=1)))||((((It1.Cpt2_1>=1)&&(It1.cable_used_1>=1))&&(Dom31.AMC_31>=1))&&(FMCb.FMCb_0>=1)))||((((It5.Cpt2_5>=1)&&(It3.cable_used_3>=1))&&(Dom5.AMC_5>=1))&&(FMCb.FMCb_0>=1)))||((((It2.Cpt2_2>=1)&&(It1.cable_used_1>=1))&&(Dom14.AMC_14>=1))&&(FMCb.FMCb_0>=1)))||((((It4.Cpt2_4>=1)&&(It0.cable_used_0>=1))&&(Dom16.AMC_16>=1))&&(FMCb.FMCb_0>=1)))||((((It0.Cpt2_0>=1)&&(It0.cable_used_0>=1))&&(Dom18.AMC_18>=1))&&(FMCb.FMCb_0>=1)))||((((It2.Cpt2_2>=1)&&(It5.cable_used_5>=1))&&(Dom26.AMC_26>=1))&&(FMCb.FMCb_0>=1)))||((((It4.Cpt2_4>=1)&&(It2.cable_used_2>=1))&&(Dom34.AMC_34>=1))&&(FMCb.FMCb_0>=1)))||((((It2.Cpt2_2>=1)&&(It2.cable_used_2>=1))&&(Dom20.AMC_20>=1))&&(FMCb.FMCb_0>=1)))||((((It0.Cpt2_0>=1)&&(It4.cable_used_4>=1))&&(Dom24.AMC_24>=1))&&(FMCb.FMCb_0>=1)))||((((It4.Cpt2_4>=1)&&(It3.cable_used_3>=1))&&(Dom16.AMC_16>=1))&&(FMCb.FMCb_0>=1)))||((((It0.Cpt2_0>=1)&&(It3.cable_used_3>=1))&&(Dom18.AMC_18>=1))&&(FMCb.FMCb_0>=1)))||((((It0.Cpt2_0>=1)&&(It4.cable_used_4>=1))&&(Dom6.AMC_6>=1))&&(FMCb.FMCb_0>=1)))||((((It4.Cpt2_4>=1)&&(It4.cable_used_4>=1))&&(Dom22.AMC_22>=1))&&(FMCb.FMCb_0>=1)))||((((It2.Cpt2_2>=1)&&(It5.cable_used_5>=1))&&(Dom20.AMC_20>=1))&&(FMCb.FMCb_0>=1)))||((((It2.Cpt2_2>=1)&&(It2.cable_used_2>=1))&&(Dom2.AMC_2>=1))&&(FMCb.FMCb_0>=1)))||((((It2.Cpt2_2>=1)&&(It0.cable_used_0>=1))&&(Dom32.AMC_32>=1))&&(FMCb.FMCb_0>=1)))||((((It0.Cpt2_0>=1)&&(It3.cable_used_3>=1))&&(Dom0.AMC_0>=1))&&(FMCb.FMCb_0>=1)))||((((It2.Cpt2_2>=1)&&(It5.cable_used_5>=1))&&(Dom2.AMC_2>=1))&&(FMCb.FMCb_0>=1)))||((((It4.Cpt2_4>=1)&&(It4.cable_used_4>=1))&&(Dom4.AMC_4>=1))&&(FMCb.FMCb_0>=1)))||((((It3.Cpt2_3>=1)&&(It5.cable_used_5>=1))&&(Dom33.AMC_33>=1))&&(FMCb.FMCb_0>=1)))||((((It2.Cpt2_2>=1)&&(It4.cable_used_4>=1))&&(Dom2.AMC_2>=1))&&(FMCb.FMCb_0>=1)))||((((It0.Cpt2_0>=1)&&(It2.cable_used_2>=1))&&(Dom18.AMC_18>=1))&&(FMCb.FMCb_0>=1)))||((((It1.Cpt2_1>=1)&&(It1.cable_used_1>=1))&&(Dom25.AMC_25>=1))&&(FMCb.FMCb_0>=1)))||((((It5.Cpt2_5>=1)&&(It3.cable_used_3>=1))&&(Dom29.AMC_29>=1))&&(FMCb.FMCb_0>=1)))||((((It2.Cpt2_2>=1)&&(It0.cable_used_0>=1))&&(Dom14.AMC_14>=1))&&(FMCb.FMCb_0>=1)))||((((It1.Cpt2_1>=1)&&(It1.cable_used_1>=1))&&(Dom7.AMC_7>=1))&&(FMCb.FMCb_0>=1)))||((((It2.Cpt2_2>=1)&&(It4.cable_used_4>=1))&&(Dom20.AMC_20>=1))&&(FMCb.FMCb_0>=1)))||((((It5.Cpt2_5>=1)&&(It1.cable_used_1>=1))&&(Dom23.AMC_23>=1))&&(FMCb.FMCb_0>=1)))||((((It4.Cpt2_4>=1)&&(It0.cable_used_0>=1))&&(Dom34.AMC_34>=1))&&(FMCb.FMCb_0>=1)))||((((It0.Cpt2_0>=1)&&(It2.cable_used_2>=1))&&(Dom0.AMC_0>=1))&&(FMCb.FMCb_0>=1)))||((((It4.Cpt2_4>=1)&&(It2.cable_used_2>=1))&&(Dom16.AMC_16>=1))&&(FMCb.FMCb_0>=1)))||((((It5.Cpt2_5>=1)&&(It1.cable_used_1>=1))&&(Dom5.AMC_5>=1))&&(FMCb.FMCb_0>=1)))||((((It3.Cpt2_3>=1)&&(It3.cable_used_3>=1))&&(Dom9.AMC_9>=1))&&(FMCb.FMCb_0>=1)))||((((It1.Cpt2_1>=1)&&(It5.cable_used_5>=1))&&(Dom13.AMC_13>=1))&&(FMCb.FMCb_0>=1)))||((((It3.Cpt2_3>=1)&&(It1.cable_used_1>=1))&&(Dom27.AMC_27>=1))&&(FMCb.FMCb_0>=1)))||((((It1.Cpt2_1>=1)&&(It3.cable_used_3>=1))&&(Dom31.AMC_31>=1))&&(FMCb.FMCb_0>=1)))||((((It5.Cpt2_5>=1)&&(It0.cable_used_0>=1))&&(Dom5.AMC_5>=1))&&(FMCb.FMCb_0>=1)))||((((It0.Cpt2_0>=1)&&(It1.cable_used_1>=1))&&(Dom18.AMC_18>=1))&&(FMCb.FMCb_0>=1)))||((((It1.Cpt2_1>=1)&&(It0.cable_used_0>=1))&&(Dom25.AMC_25>=1))&&(FMCb.FMCb_0>=1)))||((((It3.Cpt2_3>=1)&&(It2.cable_used_2>=1))&&(Dom9.AMC_9>=1))&&(FMCb.FMCb_0>=1)))||((((It5.Cpt2_5>=1)&&(It5.cable_used_5>=1))&&(Dom11.AMC_11>=1))&&(FMCb.FMCb_0>=1)))||((((It0.Cpt2_0>=1)&&(It5.cable_used_5>=1))&&(Dom6.AMC_6>=1))&&(FMCb.FMCb_0>=1)))||((((It2.Cpt2_2>=1)&&(It3.cable_used_3>=1))&&(Dom2.AMC_2>=1))&&(FMCb.FMCb_0>=1)))||((((It4.Cpt2_4>=1)&&(It1.cable_used_1>=1))&&(Dom16.AMC_16>=1))&&(FMCb.FMCb_0>=1)))||((((It0.Cpt2_0>=1)&&(It1.cable_used_1>=1))&&(Dom0.AMC_0>=1))&&(FMCb.FMCb_0>=1)))||((((It1.Cpt2_1>=1)&&(It4.cable_used_4>=1))&&(Dom13.AMC_13>=1))&&(FMCb.FMCb_0>=1)))||((((It5.Cpt2_5>=1)&&(It4.cable_used_4>=1))&&(Dom29.AMC_29>=1))&&(FMCb.FMCb_0>=1)))||((((It4.Cpt2_4>=1)&&(It5.cable_used_5>=1))&&(Dom22.AMC_22>=1))&&(FMCb.FMCb_0>=1)))||((((It2.Cpt2_2>=1)&&(It3.cable_used_3>=1))&&(Dom20.AMC_20>=1))&&(FMCb.FMCb_0>=1)))||((((It5.Cpt2_5>=1)&&(It0.cable_used_0>=1))&&(Dom23.AMC_23>=1))&&(FMCb.FMCb_0>=1)))||((((It1.Cpt2_1>=1)&&(It4.cable_used_4>=1))&&(Dom31.AMC_31>=1))&&(FMCb.FMCb_0>=1)))||((((It1.Cpt2_1>=1)&&(It0.cable_used_0>=1))&&(Dom7.AMC_7>=1))&&(FMCb.FMCb_0>=1)))||((((It4.Cpt2_4>=1)&&(It4.cable_used_4>=1))&&(Dom16.AMC_16>=1))&&(FMCb.FMCb_0>=1)))||((((It1.Cpt2_1>=1)&&(It0.cable_used_0>=1))&&(Dom13.AMC_13>=1))&&(FMCb.FMCb_0>=1)))||((((It4.Cpt2_4>=1)&&(It0.cable_used_0>=1))&&(Dom28.AMC_28>=1))&&(FMCb.FMCb_0>=1)))||((((It1.Cpt2_1>=1)&&(It2.cable_used_2>=1))&&(Dom7.AMC_7>=1))&&(FMCb.FMCb_0>=1)))||((((It2.Cpt2_2>=1)&&(It5.cable_used_5>=1))&&(Dom14.AMC_14>=1))&&(FMCb.FMCb_0>=1)))||((((It5.Cpt2_5>=1)&&(It5.cable_used_5>=1))&&(Dom29.AMC_29>=1))&&(FMCb.FMCb_0>=1)))||((((It1.Cpt2_1>=1)&&(It2.cable_used_2>=1))&&(Dom25.AMC_25>=1))&&(FMCb.FMCb_0>=1)))||((((It1.Cpt2_1>=1)&&(It5.cable_used_5>=1))&&(Dom31.AMC_31>=1))&&(FMCb.FMCb_0>=1)))||((((It3.Cpt2_3>=1)&&(It3.cable_used_3>=1))&&(Dom21.AMC_21>=1))&&(FMCb.FMCb_0>=1)))||((((It5.Cpt2_5>=1)&&(It2.cable_used_2>=1))&&(Dom23.AMC_23>=1))&&(FMCb.FMCb_0>=1)))||((((It3.Cpt2_3>=1)&&(It4.cable_used_4>=1))&&(Dom33.AMC_33>=1))&&(FMCb.FMCb_0>=1)))||((((It5.Cpt2_5>=1)&&(It0.cable_used_0>=1))&&(Dom11.AMC_11>=1))&&(FMCb.FMCb_0>=1)))||((((It1.Cpt2_1>=1)&&(It4.cable_used_4>=1))&&(Dom19.AMC_19>=1))&&(FMCb.FMCb_0>=1)))||((((It5.Cpt2_5>=1)&&(It3.cable_used_3>=1))&&(Dom35.AMC_35>=1))&&(FMCb.FMCb_0>=1)))||((((It5.Cpt2_5>=1)&&(It4.cable_used_4>=1))&&(Dom17.AMC_17>=1))&&(FMCb.FMCb_0>=1)))||((((It3.Cpt2_3>=1)&&(It1.cable_used_1>=1))&&(Dom9.AMC_9>=1))&&(FMCb.FMCb_0>=1)))||((((It3.Cpt2_3>=1)&&(It5.cable_used_5>=1))&&(Dom15.AMC_15>=1))&&(FMCb.FMCb_0>=1)))||((((It5.Cpt2_5>=1)&&(It2.cable_used_2>=1))&&(Dom5.AMC_5>=1))&&(FMCb.FMCb_0>=1)))||((((It3.Cpt2_3>=1)&&(It3.cable_used_3>=1))&&(Dom3.AMC_3>=1))&&(FMCb.FMCb_0>=1)))||((((It1.Cpt2_1>=1)&&(It4.cable_used_4>=1))&&(Dom1.AMC_1>=1))&&(FMCb.FMCb_0>=1)))||((((It4.Cpt2_4>=1)&&(It1.cable_used_1>=1))&&(Dom10.AMC_10>=1))&&(FMCb.FMCb_0>=1)))||((((It5.Cpt2_5>=1)&&(It4.cable_used_4>=1))&&(Dom35.AMC_35>=1))&&(FMCb.FMCb_0>=1)))||((((It4.Cpt2_4>=1)&&(It3.cable_used_3>=1))&&(Dom4.AMC_4>=1))&&(FMCb.FMCb_0>=1)))||((((It3.Cpt2_3>=1)&&(It0.cable_used_0>=1))&&(Dom27.AMC_27>=1))&&(FMCb.FMCb_0>=1)))||((((It0.Cpt2_0>=1)&&(It5.cable_used_5>=1))&&(Dom0.AMC_0>=1))&&(FMCb.FMCb_0>=1)))||((((It0.Cpt2_0>=1)&&(It3.cable_used_3>=1))&&(Dom24.AMC_24>=1))&&(FMCb.FMCb_0>=1)))||((((It4.Cpt2_4>=1)&&(It5.cable_used_5>=1))&&(Dom28.AMC_28>=1))&&(FMCb.FMCb_0>=1)))||((((It3.Cpt2_3>=1)&&(It4.cable_used_4>=1))&&(Dom15.AMC_15>=1))&&(FMCb.FMCb_0>=1)))||((((It0.Cpt2_0>=1)&&(It1.cable_used_1>=1))&&(Dom12.AMC_12>=1))&&(FMCb.FMCb_0>=1)))||((((It0.Cpt2_0>=1)&&(It5.cable_used_5>=1))&&(Dom18.AMC_18>=1))&&(FMCb.FMCb_0>=1)))||((((It3.Cpt2_3>=1)&&(It0.cable_used_0>=1))&&(Dom9.AMC_9>=1))&&(FMCb.FMCb_0>=1)))||((((It3.Cpt2_3>=1)&&(It2.cable_used_2>=1))&&(Dom21.AMC_21>=1))&&(FMCb.FMCb_0>=1)))||((((It0.Cpt2_0>=1)&&(It5.cable_used_5>=1))&&(Dom30.AMC_30>=1))&&(FMCb.FMCb_0>=1)))||((((It3.Cpt2_3>=1)&&(It2.cable_used_2>=1))&&(Dom3.AMC_3>=1))&&(FMCb.FMCb_0>=1)))||((((It4.Cpt2_4>=1)&&(It3.cable_used_3>=1))&&(Dom22.AMC_22>=1))&&(FMCb.FMCb_0>=1)))||((((It4.Cpt2_4>=1)&&(It3.cable_used_3>=1))&&(Dom34.AMC_34>=1))&&(FMCb.FMCb_0>=1)))||((((It0.Cpt2_0>=1)&&(It3.cable_used_3>=1))&&(Dom6.AMC_6>=1))&&(FMCb.FMCb_0>=1)))||((((It5.Cpt2_5>=1)&&(It0.cable_used_0>=1))&&(Dom29.AMC_29>=1))&&(FMCb.FMCb_0>=1)))||((((It0.Cpt2_0>=1)&&(It4.cable_used_4>=1))&&(Dom0.AMC_0>=1))&&(FMCb.FMCb_0>=1)))||((((It4.Cpt2_4>=1)&&(It0.cable_used_0>=1))&&(Dom10.AMC_10>=1))&&(FMCb.FMCb_0>=1)))||((((It4.Cpt2_4>=1)&&(It5.cable_used_5>=1))&&(Dom16.AMC_16>=1))&&(FMCb.FMCb_0>=1)))||((((It0.Cpt2_0>=1)&&(It2.cable_used_2>=1))&&(Dom6.AMC_6>=1))&&(FMCb.FMCb_0>=1)))||((((It1.Cpt2_1>=1)&&(It0.cable_used_0>=1))&&(Dom31.AMC_31>=1))&&(FMCb.FMCb_0>=1)))||((((It4.Cpt2_4>=1)&&(It2.cable_used_2>=1))&&(Dom4.AMC_4>=1))&&(FMCb.FMCb_0>=1)))||((((It1.Cpt2_1>=1)&&(It3.cable_used_3>=1))&&(Dom7.AMC_7>=1))&&(FMCb.FMCb_0>=1)))||((((It0.Cpt2_0>=1)&&(It0.cable_used_0>=1))&&(Dom30.AMC_30>=1))&&(FMCb.FMCb_0>=1)))||((((It0.Cpt2_0>=1)&&(It2.cable_used_2>=1))&&(Dom24.AMC_24>=1))&&(FMCb.FMCb_0>=1)))||((((It3.Cpt2_3>=1)&&(It5.cable_used_5>=1))&&(Dom27.AMC_27>=1))&&(FMCb.FMCb_0>=1)))||((((It0.Cpt2_0>=1)&&(It4.cable_used_4>=1))&&(Dom18.AMC_18>=1))&&(FMCb.FMCb_0>=1)))||((((It5.Cpt2_5>=1)&&(It1.cable_used_1>=1))&&(Dom11.AMC_11>=1))&&(FMCb.FMCb_0>=1)))||((((It3.Cpt2_3>=1)&&(It3.cable_used_3>=1))&&(Dom33.AMC_33>=1))&&(FMCb.FMCb_0>=1)))||((((It1.Cpt2_1>=1)&&(It3.cable_used_3>=1))&&(Dom25.AMC_25>=1))&&(FMCb.FMCb_0>=1)))||((((It0.Cpt2_0>=1)&&(It0.cable_used_0>=1))&&(Dom12.AMC_12>=1))&&(FMCb.FMCb_0>=1)))||((((It4.Cpt2_4>=1)&&(It2.cable_used_2>=1))&&(Dom22.AMC_22>=1))&&(FMCb.FMCb_0>=1)))||((((It4.Cpt2_4>=1)&&(It4.cable_used_4>=1))&&(Dom34.AMC_34>=1))&&(FMCb.FMCb_0>=1)))||((((It1.Cpt2_1>=1)&&(It1.cable_used_1>=1))&&(Dom13.AMC_13>=1))&&(FMCb.FMCb_0>=1)))||((((It0.Cpt2_0>=1)&&(It1.cable_used_1>=1))&&(Dom6.AMC_6>=1))&&(FMCb.FMCb_0>=1)))||((((It5.Cpt2_5>=1)&&(It2.cable_used_2>=1))&&(Dom17.AMC_17>=1))&&(FMCb.FMCb_0>=1)))||((((It1.Cpt2_1>=1)&&(It5.cable_used_5>=1))&&(Dom19.AMC_19>=1))&&(FMCb.FMCb_0>=1)))||((((It3.Cpt2_3>=1)&&(It4.cable_used_4>=1))&&(Dom21.AMC_21>=1))&&(FMCb.FMCb_0>=1)))||((((It2.Cpt2_2>=1)&&(It0.cable_used_0>=1))&&(Dom8.AMC_8>=1))&&(FMCb.FMCb_0>=1)))||((((It0.Cpt2_0>=1)&&(It2.cable_used_2>=1))&&(Dom12.AMC_12>=1))&&(FMCb.FMCb_0>=1)))||((((It5.Cpt2_5>=1)&&(It5.cable_used_5>=1))&&(Dom17.AMC_17>=1))&&(FMCb.FMCb_0>=1)))||((((It3.Cpt2_3>=1)&&(It0.cable_used_0>=1))&&(Dom33.AMC_33>=1))&&(FMCb.FMCb_0>=1)))||((((It4.Cpt2_4>=1)&&(It5.cable_used_5>=1))&&(Dom34.AMC_34>=1))&&(FMCb.FMCb_0>=1)))||((((It1.Cpt2_1>=1)&&(It5.cable_used_5>=1))&&(Dom1.AMC_1>=1))&&(FMCb.FMCb_0>=1)))||((((It3.Cpt2_3>=1)&&(It4.cable_used_4>=1))&&(Dom3.AMC_3>=1))&&(FMCb.FMCb_0>=1)))||((((It2.Cpt2_2>=1)&&(It0.cable_used_0>=1))&&(Dom26.AMC_26>=1))&&(FMCb.FMCb_0>=1)))||((((It0.Cpt2_0>=1)&&(It1.cable_used_1>=1))&&(Dom24.AMC_24>=1))&&(FMCb.FMCb_0>=1)))||((((It2.Cpt2_2>=1)&&(It4.cable_used_4>=1))&&(Dom14.AMC_14>=1))&&(FMCb.FMCb_0>=1)))||((((It0.Cpt2_0>=1)&&(It5.cable_used_5>=1))&&(Dom12.AMC_12>=1))&&(FMCb.FMCb_0>=1)))||((((It4.Cpt2_4>=1)&&(It1.cable_used_1>=1))&&(Dom22.AMC_22>=1))&&(FMCb.FMCb_0>=1)))||((((It4.Cpt2_4>=1)&&(It4.cable_used_4>=1))&&(Dom28.AMC_28>=1))&&(FMCb.FMCb_0>=1)))||((((It4.Cpt2_4>=1)&&(It2.cable_used_2>=1))&&(Dom10.AMC_10>=1))&&(FMCb.FMCb_0>=1)))||((((It4.Cpt2_4>=1)&&(It1.cable_used_1>=1))&&(Dom28.AMC_28>=1))&&(FMCb.FMCb_0>=1)))||((((It2.Cpt2_2>=1)&&(It3.cable_used_3>=1))&&(Dom32.AMC_32>=1))&&(FMCb.FMCb_0>=1)))||((((It0.Cpt2_0>=1)&&(It4.cable_used_4>=1))&&(Dom30.AMC_30>=1))&&(FMCb.FMCb_0>=1)))||((((It4.Cpt2_4>=1)&&(It1.cable_used_1>=1))&&(Dom4.AMC_4>=1))&&(FMCb.FMCb_0>=1)))||((((It2.Cpt2_2>=1)&&(It3.cable_used_3>=1))&&(Dom8.AMC_8>=1))&&(FMCb.FMCb_0>=1)))||((((It0.Cpt2_0>=1)&&(It1.cable_used_1>=1))&&(Dom30.AMC_30>=1))&&(FMCb.FMCb_0>=1)))||((((It4.Cpt2_4>=1)&&(It5.cable_used_5>=1))&&(Dom10.AMC_10>=1))&&(FMCb.FMCb_0>=1)))||((((It3.Cpt2_3>=1)&&(It1.cable_used_1>=1))&&(Dom15.AMC_15>=1))&&(FMCb.FMCb_0>=1)))||((((It5.Cpt2_5>=1)&&(It5.cable_used_5>=1))&&(Dom23.AMC_23>=1))&&(FMCb.FMCb_0>=1)))||((((It0.Cpt2_0>=1)&&(It0.cable_used_0>=1))&&(Dom24.AMC_24>=1))&&(FMCb.FMCb_0>=1)))||((((It3.Cpt2_3>=1)&&(It5.cable_used_5>=1))&&(Dom3.AMC_3>=1))&&(FMCb.FMCb_0>=1)))||((((It1.Cpt2_1>=1)&&(It3.cable_used_3>=1))&&(Dom19.AMC_19>=1))&&(FMCb.FMCb_0>=1)))||((((It4.Cpt2_4>=1)&&(It0.cable_used_0>=1))&&(Dom22.AMC_22>=1))&&(FMCb.FMCb_0>=1)))||((((It2.Cpt2_2>=1)&&(It2.cable_used_2>=1))&&(Dom26.AMC_26>=1))&&(FMCb.FMCb_0>=1)))||((((It1.Cpt2_1>=1)&&(It5.cable_used_5>=1))&&(Dom25.AMC_25>=1))&&(FMCb.FMCb_0>=1)))||((((It1.Cpt2_1>=1)&&(It3.cable_used_3>=1))&&(Dom1.AMC_1>=1))&&(FMCb.FMCb_0>=1)))||((((It4.Cpt2_4>=1)&&(It2.cable_used_2>=1))&&(Dom28.AMC_28>=1))&&(FMCb.FMCb_0>=1)))||((((It2.Cpt2_2>=1)&&(It4.cable_used_4>=1))&&(Dom32.AMC_32>=1))&&(FMCb.FMCb_0>=1)))||((((It4.Cpt2_4>=1)&&(It4.cable_used_4>=1))&&(Dom10.AMC_10>=1))&&(FMCb.FMCb_0>=1)))||((((It5.Cpt2_5>=1)&&(It1.cable_used_1>=1))&&(Dom35.AMC_35>=1))&&(FMCb.FMCb_0>=1)))||((((It4.Cpt2_4>=1)&&(It0.cable_used_0>=1))&&(Dom4.AMC_4>=1))&&(FMCb.FMCb_0>=1)))||((((It2.Cpt2_2>=1)&&(It2.cable_used_2>=1))&&(Dom8.AMC_8>=1))&&(FMCb.FMCb_0>=1)))||((((It0.Cpt2_0>=1)&&(It4.cable_used_4>=1))&&(Dom12.AMC_12>=1))&&(FMCb.FMCb_0>=1)))||((((It5.Cpt2_5>=1)&&(It3.cable_used_3>=1))&&(Dom17.AMC_17>=1))&&(FMCb.FMCb_0>=1)))||((((It3.Cpt2_3>=1)&&(It5.cable_used_5>=1))&&(Dom21.AMC_21>=1))&&(FMCb.FMCb_0>=1)))||((((It2.Cpt2_2>=1)&&(It1.cable_used_1>=1))&&(Dom8.AMC_8>=1))&&(FMCb.FMCb_0>=1)))||((((It0.Cpt2_0>=1)&&(It2.cable_used_2>=1))&&(Dom30.AMC_30>=1))&&(FMCb.FMCb_0>=1)))||((((It1.Cpt2_1>=1)&&(It2.cable_used_2>=1))&&(Dom1.AMC_1>=1))&&(FMCb.FMCb_0>=1)))||((((It2.Cpt2_2>=1)&&(It5.cable_used_5>=1))&&(Dom32.AMC_32>=1))&&(FMCb.FMCb_0>=1)))||((((It2.Cpt2_2>=1)&&(It1.cable_used_1>=1))&&(Dom26.AMC_26>=1))&&(FMCb.FMCb_0>=1)))||((((It5.Cpt2_5>=1)&&(It2.cable_used_2>=1))&&(Dom35.AMC_35>=1))&&(FMCb.FMCb_0>=1)))||((((It4.Cpt2_4>=1)&&(It3.cable_used_3>=1))&&(Dom28.AMC_28>=1))&&(FMCb.FMCb_0>=1)))||((((It0.Cpt2_0>=1)&&(It3.cable_used_3>=1))&&(Dom12.AMC_12>=1))&&(FMCb.FMCb_0>=1)))||((((It3.Cpt2_3>=1)&&(It0.cable_used_0>=1))&&(Dom15.AMC_15>=1))&&(FMCb.FMCb_0>=1)))||((((It1.Cpt2_1>=1)&&(It2.cable_used_2>=1))&&(Dom19.AMC_19>=1))&&(FMCb.FMCb_0>=1)))")
5 unique states visited
5 strongly connected components in search stack
5 transitions explored
5 items max in DFS search stack
20858 ticks for the emptiness check
Model ,|S| ,Time ,Mem(kb) ,fin. SDD ,fin. DDD ,peak SDD ,peak DDD ,SDD Hom ,SDD cache peak ,DDD Hom ,DDD cachepeak ,SHom cache
STATS,0,573.264,4425728,1,0,8.41211e+06,20951,3762,7.63229e+06,216,137542,3221666
an accepting run exists (use option '-e' to print it)
Formula 4 is FALSE accepting run found.
FORMULA SafeBus-COL-06-07 FALSE TECHNIQUES DECISION_DIAGRAMS TOPOLOGICAL USE_NUPN
Checking formula 5 : !((!(F(G("((((((((((((((((((((((((((((((((Dom26.wait_ack_26>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1))||(((Dom2.wait_ack_2>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom19.wait_ack_19>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom31.wait_ack_31>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom9.wait_ack_9>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom23.wait_ack_23>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom17.wait_ack_17>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom5.wait_ack_5>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom4.wait_ack_4>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom10.wait_ack_10>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom30.wait_ack_30>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom12.wait_ack_12>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom25.wait_ack_25>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom15.wait_ack_15>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom33.wait_ack_33>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom20.wait_ack_20>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom32.wait_ack_32>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom1.wait_ack_1>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom8.wait_ack_8>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom13.wait_ack_13>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom27.wait_ack_27>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom22.wait_ack_22>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom18.wait_ack_18>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom11.wait_ack_11>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom6.wait_ack_6>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom34.wait_ack_34>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom24.wait_ack_24>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom3.wait_ack_3>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom16.wait_ack_16>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom29.wait_ack_29>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))")))))
Formula 5 simplified : FG"((((((((((((((((((((((((((((((((Dom26.wait_ack_26>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1))||(((Dom2.wait_ack_2>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom19.wait_ack_19>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom31.wait_ack_31>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom9.wait_ack_9>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom23.wait_ack_23>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom17.wait_ack_17>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom5.wait_ack_5>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom4.wait_ack_4>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom10.wait_ack_10>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom30.wait_ack_30>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom12.wait_ack_12>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom25.wait_ack_25>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom15.wait_ack_15>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom33.wait_ack_33>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom20.wait_ack_20>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom32.wait_ack_32>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom1.wait_ack_1>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom8.wait_ack_8>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom13.wait_ack_13>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom27.wait_ack_27>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom22.wait_ack_22>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom18.wait_ack_18>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom11.wait_ack_11>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom6.wait_ack_6>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom34.wait_ack_34>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom24.wait_ack_24>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom3.wait_ack_3>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom16.wait_ack_16>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom29.wait_ack_29>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))"
2 unique states visited
0 strongly connected components in search stack
2 transitions explored
2 items max in DFS search stack
3045 ticks for the emptiness check
Model ,|S| ,Time ,Mem(kb) ,fin. SDD ,fin. DDD ,peak SDD ,peak DDD ,SDD Hom ,SDD cache peak ,DDD Hom ,DDD cachepeak ,SHom cache
STATS,0,603.722,4425728,1,0,8.41211e+06,20951,5683,7.63229e+06,238,137542,20633059
no accepting run found
Formula 5 is TRUE no accepting run found.
FORMULA SafeBus-COL-06-08 TRUE TECHNIQUES DECISION_DIAGRAMS TOPOLOGICAL USE_NUPN
Checking formula 6 : !((X(F(X(G(F(G(((((((G("(((((((It2.wait_cable_2>=1)&&(It2.RMC_2>=1))||((It0.wait_cable_0>=1)&&(It0.RMC_0>=1)))||((It4.wait_cable_4>=1)&&(It4.RMC_4>=1)))||((It1.wait_cable_1>=1)&&(It1.RMC_1>=1)))||((It3.wait_cable_3>=1)&&(It3.RMC_3>=1)))||((It5.wait_cable_5>=1)&&(It5.RMC_5>=1)))"))||("((It2.FMC_2>=1)&&(S_tout.S_tout_0>=1))"))||("((It3.FMC_3>=1)&&(S_tout.S_tout_0>=1))"))||("((It0.FMC_0>=1)&&(S_tout.S_tout_0>=1))"))||("((It1.FMC_1>=1)&&(S_tout.S_tout_0>=1))"))||("((It5.FMC_5>=1)&&(S_tout.S_tout_0>=1))"))||("((It4.FMC_4>=1)&&(S_tout.S_tout_0>=1))")))))))))
Formula 6 simplified : !XFXGFG("((It0.FMC_0>=1)&&(S_tout.S_tout_0>=1))" | "((It1.FMC_1>=1)&&(S_tout.S_tout_0>=1))" | "((It2.FMC_2>=1)&&(S_tout.S_tout_0>=1))" | "((It3.FMC_3>=1)&&(S_tout.S_tout_0>=1))" | "((It4.FMC_4>=1)&&(S_tout.S_tout_0>=1))" | "((It5.FMC_5>=1)&&(S_tout.S_tout_0>=1))" | G"(((((((It2.wait_cable_2>=1)&&(It2.RMC_2>=1))||((It0.wait_cable_0>=1)&&(It0.RMC_0>=1)))||((It4.wait_cable_4>=1)&&(It4.RMC_4>=1)))||((It1.wait_cable_1>=1)&&(It1.RMC_1>=1)))||((It3.wait_cable_3>=1)&&(It3.RMC_3>=1)))||((It5.wait_cable_5>=1)&&(It5.RMC_5>=1)))")
2 unique states visited
2 strongly connected components in search stack
2 transitions explored
2 items max in DFS search stack
917 ticks for the emptiness check
Model ,|S| ,Time ,Mem(kb) ,fin. SDD ,fin. DDD ,peak SDD ,peak DDD ,SDD Hom ,SDD cache peak ,DDD Hom ,DDD cachepeak ,SHom cache
STATS,0,612.899,4425728,1,0,8.41211e+06,20951,5800,7.63229e+06,240,137542,25474958
an accepting run exists (use option '-e' to print it)
Formula 6 is FALSE accepting run found.
FORMULA SafeBus-COL-06-09 FALSE TECHNIQUES DECISION_DIAGRAMS TOPOLOGICAL USE_NUPN
Checking formula 7 : !((X((X(!(("(((((((It1.cable_used_1>=1)&&(It1.FMC_1>=1))||((It5.cable_used_5>=1)&&(It5.FMC_5>=1)))||((It3.cable_used_3>=1)&&(It3.FMC_3>=1)))||((It0.cable_used_0>=1)&&(It0.FMC_0>=1)))||((It2.cable_used_2>=1)&&(It2.FMC_2>=1)))||((It4.cable_used_4>=1)&&(It4.FMC_4>=1)))")U(G(X(!(X("(((((((It4.MSG_4>=1)&&(R_tout.R_tout_0>=1))||((It0.MSG_0>=1)&&(R_tout.R_tout_0>=1)))||((It5.MSG_5>=1)&&(R_tout.R_tout_0>=1)))||((It2.MSG_2>=1)&&(R_tout.R_tout_0>=1)))||((It3.MSG_3>=1)&&(R_tout.R_tout_0>=1)))||((It1.MSG_1>=1)&&(R_tout.R_tout_0>=1)))"))))))))||(X("(((((((It2.FMC_2>=1)&&(S_tout.S_tout_0>=1))||((It3.FMC_3>=1)&&(S_tout.S_tout_0>=1)))||((It0.FMC_0>=1)&&(S_tout.S_tout_0>=1)))||((It1.FMC_1>=1)&&(S_tout.S_tout_0>=1)))||((It5.FMC_5>=1)&&(S_tout.S_tout_0>=1)))||((It4.FMC_4>=1)&&(S_tout.S_tout_0>=1)))")))))
Formula 7 simplified : !X(X!("(((((((It1.cable_used_1>=1)&&(It1.FMC_1>=1))||((It5.cable_used_5>=1)&&(It5.FMC_5>=1)))||((It3.cable_used_3>=1)&&(It3.FMC_3>=1)))||((It0.cable_used_0>=1)&&(It0.FMC_0>=1)))||((It2.cable_used_2>=1)&&(It2.FMC_2>=1)))||((It4.cable_used_4>=1)&&(It4.FMC_4>=1)))" U GX!X"(((((((It4.MSG_4>=1)&&(R_tout.R_tout_0>=1))||((It0.MSG_0>=1)&&(R_tout.R_tout_0>=1)))||((It5.MSG_5>=1)&&(R_tout.R_tout_0>=1)))||((It2.MSG_2>=1)&&(R_tout.R_tout_0>=1)))||((It3.MSG_3>=1)&&(R_tout.R_tout_0>=1)))||((It1.MSG_1>=1)&&(R_tout.R_tout_0>=1)))") | X"(((((((It2.FMC_2>=1)&&(S_tout.S_tout_0>=1))||((It3.FMC_3>=1)&&(S_tout.S_tout_0>=1)))||((It0.FMC_0>=1)&&(S_tout.S_tout_0>=1)))||((It1.FMC_1>=1)&&(S_tout.S_tout_0>=1)))||((It5.FMC_5>=1)&&(S_tout.S_tout_0>=1)))||((It4.FMC_4>=1)&&(S_tout.S_tout_0>=1)))")
6 unique states visited
6 strongly connected components in search stack
6 transitions explored
6 items max in DFS search stack
5665 ticks for the emptiness check
Model ,|S| ,Time ,Mem(kb) ,fin. SDD ,fin. DDD ,peak SDD ,peak DDD ,SDD Hom ,SDD cache peak ,DDD Hom ,DDD cachepeak ,SHom cache
STATS,0,669.554,4627108,1,0,9.00491e+06,20951,5876,7.71855e+06,242,137542,46312430
an accepting run exists (use option '-e' to print it)
Formula 7 is FALSE accepting run found.
FORMULA SafeBus-COL-06-11 FALSE TECHNIQUES DECISION_DIAGRAMS TOPOLOGICAL USE_NUPN
Checking formula 8 : !((F(X("(((((((It2.MSG_2>=1)&&(It2.wait_msg_2>=1))||((It3.MSG_3>=1)&&(It3.wait_msg_3>=1)))||((It0.MSG_0>=1)&&(It0.wait_msg_0>=1)))||((It1.MSG_1>=1)&&(It1.wait_msg_1>=1)))||((It4.MSG_4>=1)&&(It4.wait_msg_4>=1)))||((It5.MSG_5>=1)&&(It5.wait_msg_5>=1)))"))))
Formula 8 simplified : !FX"(((((((It2.MSG_2>=1)&&(It2.wait_msg_2>=1))||((It3.MSG_3>=1)&&(It3.wait_msg_3>=1)))||((It0.MSG_0>=1)&&(It0.wait_msg_0>=1)))||((It1.MSG_1>=1)&&(It1.wait_msg_1>=1)))||((It4.MSG_4>=1)&&(It4.wait_msg_4>=1)))||((It5.MSG_5>=1)&&(It5.wait_msg_5>=1)))"
3 unique states visited
3 strongly connected components in search stack
3 transitions explored
3 items max in DFS search stack
7590 ticks for the emptiness check
Model ,|S| ,Time ,Mem(kb) ,fin. SDD ,fin. DDD ,peak SDD ,peak DDD ,SDD Hom ,SDD cache peak ,DDD Hom ,DDD cachepeak ,SHom cache
STATS,0,745.451,5987076,1,0,1.15418e+07,20951,3224,1.00892e+07,205,137542,3056657
an accepting run exists (use option '-e' to print it)
Formula 8 is FALSE accepting run found.
FORMULA SafeBus-COL-06-12 FALSE TECHNIQUES DECISION_DIAGRAMS TOPOLOGICAL USE_NUPN
Checking formula 9 : !((G(((F((G("(((((((It2.FMC_2>=1)&&(S_tout.S_tout_0>=1))||((It3.FMC_3>=1)&&(S_tout.S_tout_0>=1)))||((It0.FMC_0>=1)&&(S_tout.S_tout_0>=1)))||((It1.FMC_1>=1)&&(S_tout.S_tout_0>=1)))||((It5.FMC_5>=1)&&(S_tout.S_tout_0>=1)))||((It4.FMC_4>=1)&&(S_tout.S_tout_0>=1)))"))&&("(((((((It2.listen_2>=1)&&(It2.MSG_2>=1))||((It3.listen_3>=1)&&(It3.MSG_3>=1)))||((It0.listen_0>=1)&&(It0.MSG_0>=1)))||((It1.listen_1>=1)&&(It1.MSG_1>=1)))||((It4.listen_4>=1)&&(It4.MSG_4>=1)))||((It5.listen_5>=1)&&(It5.MSG_5>=1)))")))&&(G("(((((((It2.MSG_2>=1)&&(It2.wait_msg_2>=1))||((It3.MSG_3>=1)&&(It3.wait_msg_3>=1)))||((It0.MSG_0>=1)&&(It0.wait_msg_0>=1)))||((It1.MSG_1>=1)&&(It1.wait_msg_1>=1)))||((It4.MSG_4>=1)&&(It4.wait_msg_4>=1)))||((It5.MSG_5>=1)&&(It5.wait_msg_5>=1)))")))U(F(G("(((((((It4.MSG_4>=1)&&(R_tout.R_tout_0>=1))||((It0.MSG_0>=1)&&(R_tout.R_tout_0>=1)))||((It5.MSG_5>=1)&&(R_tout.R_tout_0>=1)))||((It2.MSG_2>=1)&&(R_tout.R_tout_0>=1)))||((It3.MSG_3>=1)&&(R_tout.R_tout_0>=1)))||((It1.MSG_1>=1)&&(R_tout.R_tout_0>=1)))"))))))
Formula 9 simplified : !G((F("(((((((It2.listen_2>=1)&&(It2.MSG_2>=1))||((It3.listen_3>=1)&&(It3.MSG_3>=1)))||((It0.listen_0>=1)&&(It0.MSG_0>=1)))||((It1.listen_1>=1)&&(It1.MSG_1>=1)))||((It4.listen_4>=1)&&(It4.MSG_4>=1)))||((It5.listen_5>=1)&&(It5.MSG_5>=1)))" & G"(((((((It2.FMC_2>=1)&&(S_tout.S_tout_0>=1))||((It3.FMC_3>=1)&&(S_tout.S_tout_0>=1)))||((It0.FMC_0>=1)&&(S_tout.S_tout_0>=1)))||((It1.FMC_1>=1)&&(S_tout.S_tout_0>=1)))||((It5.FMC_5>=1)&&(S_tout.S_tout_0>=1)))||((It4.FMC_4>=1)&&(S_tout.S_tout_0>=1)))") & G"(((((((It2.MSG_2>=1)&&(It2.wait_msg_2>=1))||((It3.MSG_3>=1)&&(It3.wait_msg_3>=1)))||((It0.MSG_0>=1)&&(It0.wait_msg_0>=1)))||((It1.MSG_1>=1)&&(It1.wait_msg_1>=1)))||((It4.MSG_4>=1)&&(It4.wait_msg_4>=1)))||((It5.MSG_5>=1)&&(It5.wait_msg_5>=1)))") U FG"(((((((It4.MSG_4>=1)&&(R_tout.R_tout_0>=1))||((It0.MSG_0>=1)&&(R_tout.R_tout_0>=1)))||((It5.MSG_5>=1)&&(R_tout.R_tout_0>=1)))||((It2.MSG_2>=1)&&(R_tout.R_tout_0>=1)))||((It3.MSG_3>=1)&&(R_tout.R_tout_0>=1)))||((It1.MSG_1>=1)&&(R_tout.R_tout_0>=1)))")
2 unique states visited
2 strongly connected components in search stack
2 transitions explored
2 items max in DFS search stack
7535 ticks for the emptiness check
Model ,|S| ,Time ,Mem(kb) ,fin. SDD ,fin. DDD ,peak SDD ,peak DDD ,SDD Hom ,SDD cache peak ,DDD Hom ,DDD cachepeak ,SHom cache
STATS,0,820.813,5987076,1,0,1.15418e+07,20951,4885,1.00892e+07,230,137542,42039127
an accepting run exists (use option '-e' to print it)
Formula 9 is FALSE accepting run found.
FORMULA SafeBus-COL-06-13 FALSE TECHNIQUES DECISION_DIAGRAMS TOPOLOGICAL USE_NUPN
Checking formula 10 : !((G(F((G((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((G("(((((((It4.MSG_4>=1)&&(R_tout.R_tout_0>=1))||((It0.MSG_0>=1)&&(R_tout.R_tout_0>=1)))||((It5.MSG_5>=1)&&(R_tout.R_tout_0>=1)))||((It2.MSG_2>=1)&&(R_tout.R_tout_0>=1)))||((It3.MSG_3>=1)&&(R_tout.R_tout_0>=1)))||((It1.MSG_1>=1)&&(R_tout.R_tout_0>=1)))"))||("((((It0.Cpt2_0>=1)&&(It5.cable_used_5>=1))&&(Dom24.AMC_24>=1))&&(FMCb.FMCb_0>=1))"))||("((((It2.Cpt2_2>=1)&&(It2.cable_used_2>=1))&&(Dom32.AMC_32>=1))&&(FMCb.FMCb_0>=1))"))||("((((It1.Cpt2_1>=1)&&(It1.cable_used_1>=1))&&(Dom1.AMC_1>=1))&&(FMCb.FMCb_0>=1))"))||("((((It0.Cpt2_0>=1)&&(It3.cable_used_3>=1))&&(Dom30.AMC_30>=1))&&(FMCb.FMCb_0>=1))"))||("((((It4.Cpt2_4>=1)&&(It3.cable_used_3>=1))&&(Dom10.AMC_10>=1))&&(FMCb.FMCb_0>=1))"))||("((((It4.Cpt2_4>=1)&&(It1.cable_used_1>=1))&&(Dom34.AMC_34>=1))&&(FMCb.FMCb_0>=1))"))||("((((It2.Cpt2_2>=1)&&(It4.cable_used_4>=1))&&(Dom8.AMC_8>=1))&&(FMCb.FMCb_0>=1))"))||("((((It1.Cpt2_1>=1)&&(It1.cable_used_1>=1))&&(Dom19.AMC_19>=1))&&(FMCb.FMCb_0>=1))"))||("((((It4.Cpt2_4>=1)&&(It5.cable_used_5>=1))&&(Dom4.AMC_4>=1))&&(FMCb.FMCb_0>=1))"))||("((((It3.Cpt2_3>=1)&&(It0.cable_used_0>=1))&&(Dom21.AMC_21>=1))&&(FMCb.FMCb_0>=1))"))||("((((It5.Cpt2_5>=1)&&(It1.cable_used_1>=1))&&(Dom17.AMC_17>=1))&&(FMCb.FMCb_0>=1))"))||("((((It5.Cpt2_5>=1)&&(It4.cable_used_4>=1))&&(Dom23.AMC_23>=1))&&(FMCb.FMCb_0>=1))"))||("((((It3.Cpt2_3>=1)&&(It4.cable_used_4>=1))&&(Dom9.AMC_9>=1))&&(FMCb.FMCb_0>=1))"))||("((((It5.Cpt2_5>=1)&&(It3.cable_used_3>=1))&&(Dom11.AMC_11>=1))&&(FMCb.FMCb_0>=1))"))||("((((It0.Cpt2_0>=1)&&(It0.cable_used_0>=1))&&(Dom6.AMC_6>=1))&&(FMCb.FMCb_0>=1))"))||("((((It1.Cpt2_1>=1)&&(It3.cable_used_3>=1))&&(Dom13.AMC_13>=1))&&(FMCb.FMCb_0>=1))"))||("((((It3.Cpt2_3>=1)&&(It3.cable_used_3>=1))&&(Dom27.AMC_27>=1))&&(FMCb.FMCb_0>=1))"))||("((((It5.Cpt2_5>=1)&&(It2.cable_used_2>=1))&&(Dom29.AMC_29>=1))&&(FMCb.FMCb_0>=1))"))||("((((It1.Cpt2_1>=1)&&(It2.cable_used_2>=1))&&(Dom31.AMC_31>=1))&&(FMCb.FMCb_0>=1))"))||("((((It3.Cpt2_3>=1)&&(It1.cable_used_1>=1))&&(Dom33.AMC_33>=1))&&(FMCb.FMCb_0>=1))"))||("((((It5.Cpt2_5>=1)&&(It5.cable_used_5>=1))&&(Dom5.AMC_5>=1))&&(FMCb.FMCb_0>=1))"))||("((((It5.Cpt2_5>=1)&&(It0.cable_used_0>=1))&&(Dom35.AMC_35>=1))&&(FMCb.FMCb_0>=1))"))||("((((It1.Cpt2_1>=1)&&(It5.cable_used_5>=1))&&(Dom7.AMC_7>=1))&&(FMCb.FMCb_0>=1))"))||("((((It1.Cpt2_1>=1)&&(It4.cable_used_4>=1))&&(Dom7.AMC_7>=1))&&(FMCb.FMCb_0>=1))"))||("((((It5.Cpt2_5>=1)&&(It0.cable_used_0>=1))&&(Dom17.AMC_17>=1))&&(FMCb.FMCb_0>=1))"))||("((((It1.Cpt2_1>=1)&&(It0.cable_used_0>=1))&&(Dom19.AMC_19>=1))&&(FMCb.FMCb_0>=1))"))||("((((It5.Cpt2_5>=1)&&(It4.cable_used_4>=1))&&(Dom5.AMC_5>=1))&&(FMCb.FMCb_0>=1))"))||("((((It2.Cpt2_2>=1)&&(It1.cable_used_1>=1))&&(Dom20.AMC_20>=1))&&(FMCb.FMCb_0>=1))"))||("((((It2.Cpt2_2>=1)&&(It5.cable_used_5>=1))&&(Dom8.AMC_8>=1))&&(FMCb.FMCb_0>=1))"))||("((((It2.Cpt2_2>=1)&&(It3.cable_used_3>=1))&&(Dom26.AMC_26>=1))&&(FMCb.FMCb_0>=1))"))||("((((It5.Cpt2_5>=1)&&(It2.cable_used_2>=1))&&(Dom11.AMC_11>=1))&&(FMCb.FMCb_0>=1))"))||("((((It2.Cpt2_2>=1)&&(It3.cable_used_3>=1))&&(Dom14.AMC_14>=1))&&(FMCb.FMCb_0>=1))"))||("((((It2.Cpt2_2>=1)&&(It1.cable_used_1>=1))&&(Dom32.AMC_32>=1))&&(FMCb.FMCb_0>=1))"))||("((((It2.Cpt2_2>=1)&&(It1.cable_used_1>=1))&&(Dom2.AMC_2>=1))&&(FMCb.FMCb_0>=1))"))||("((((It3.Cpt2_3>=1)&&(It2.cable_used_2>=1))&&(Dom33.AMC_33>=1))&&(FMCb.FMCb_0>=1))"))||("((((It3.Cpt2_3>=1)&&(It1.cable_used_1>=1))&&(Dom3.AMC_3>=1))&&(FMCb.FMCb_0>=1))"))||("((((It3.Cpt2_3>=1)&&(It1.cable_used_1>=1))&&(Dom21.AMC_21>=1))&&(FMCb.FMCb_0>=1))"))||("((((It3.Cpt2_3>=1)&&(It3.cable_used_3>=1))&&(Dom15.AMC_15>=1))&&(FMCb.FMCb_0>=1))"))||("((((It2.Cpt2_2>=1)&&(It0.cable_used_0>=1))&&(Dom20.AMC_20>=1))&&(FMCb.FMCb_0>=1))"))||("((((It5.Cpt2_5>=1)&&(It4.cable_used_4>=1))&&(Dom11.AMC_11>=1))&&(FMCb.FMCb_0>=1))"))||("((((It3.Cpt2_3>=1)&&(It2.cable_used_2>=1))&&(Dom27.AMC_27>=1))&&(FMCb.FMCb_0>=1))"))||("((((It5.Cpt2_5>=1)&&(It1.cable_used_1>=1))&&(Dom29.AMC_29>=1))&&(FMCb.FMCb_0>=1))"))||("((((It3.Cpt2_3>=1)&&(It5.cable_used_5>=1))&&(Dom9.AMC_9>=1))&&(FMCb.FMCb_0>=1))"))||("((((It1.Cpt2_1>=1)&&(It1.cable_used_1>=1))&&(Dom31.AMC_31>=1))&&(FMCb.FMCb_0>=1))"))||("((((It5.Cpt2_5>=1)&&(It3.cable_used_3>=1))&&(Dom5.AMC_5>=1))&&(FMCb.FMCb_0>=1))"))||("((((It2.Cpt2_2>=1)&&(It1.cable_used_1>=1))&&(Dom14.AMC_14>=1))&&(FMCb.FMCb_0>=1))"))||("((((It4.Cpt2_4>=1)&&(It0.cable_used_0>=1))&&(Dom16.AMC_16>=1))&&(FMCb.FMCb_0>=1))"))||("((((It0.Cpt2_0>=1)&&(It0.cable_used_0>=1))&&(Dom18.AMC_18>=1))&&(FMCb.FMCb_0>=1))"))||("((((It2.Cpt2_2>=1)&&(It5.cable_used_5>=1))&&(Dom26.AMC_26>=1))&&(FMCb.FMCb_0>=1))"))||("((((It4.Cpt2_4>=1)&&(It2.cable_used_2>=1))&&(Dom34.AMC_34>=1))&&(FMCb.FMCb_0>=1))"))||("((((It2.Cpt2_2>=1)&&(It2.cable_used_2>=1))&&(Dom20.AMC_20>=1))&&(FMCb.FMCb_0>=1))"))||("((((It4.Cpt2_4>=1)&&(It3.cable_used_3>=1))&&(Dom16.AMC_16>=1))&&(FMCb.FMCb_0>=1))"))||("((((It0.Cpt2_0>=1)&&(It4.cable_used_4>=1))&&(Dom6.AMC_6>=1))&&(FMCb.FMCb_0>=1))"))||("((((It4.Cpt2_4>=1)&&(It4.cable_used_4>=1))&&(Dom22.AMC_22>=1))&&(FMCb.FMCb_0>=1))"))||("((((It2.Cpt2_2>=1)&&(It5.cable_used_5>=1))&&(Dom20.AMC_20>=1))&&(FMCb.FMCb_0>=1))"))||("((((It2.Cpt2_2>=1)&&(It2.cable_used_2>=1))&&(Dom2.AMC_2>=1))&&(FMCb.FMCb_0>=1))"))||("((((It2.Cpt2_2>=1)&&(It0.cable_used_0>=1))&&(Dom32.AMC_32>=1))&&(FMCb.FMCb_0>=1))"))||("((((It0.Cpt2_0>=1)&&(It3.cable_used_3>=1))&&(Dom0.AMC_0>=1))&&(FMCb.FMCb_0>=1))"))||("((((It2.Cpt2_2>=1)&&(It5.cable_used_5>=1))&&(Dom2.AMC_2>=1))&&(FMCb.FMCb_0>=1))"))||("((((It4.Cpt2_4>=1)&&(It4.cable_used_4>=1))&&(Dom4.AMC_4>=1))&&(FMCb.FMCb_0>=1))"))||("((((It2.Cpt2_2>=1)&&(It4.cable_used_4>=1))&&(Dom2.AMC_2>=1))&&(FMCb.FMCb_0>=1))"))||("((((It0.Cpt2_0>=1)&&(It2.cable_used_2>=1))&&(Dom18.AMC_18>=1))&&(FMCb.FMCb_0>=1))"))||("((((It1.Cpt2_1>=1)&&(It1.cable_used_1>=1))&&(Dom25.AMC_25>=1))&&(FMCb.FMCb_0>=1))"))||("((((It5.Cpt2_5>=1)&&(It3.cable_used_3>=1))&&(Dom29.AMC_29>=1))&&(FMCb.FMCb_0>=1))"))||("((((It2.Cpt2_2>=1)&&(It0.cable_used_0>=1))&&(Dom14.AMC_14>=1))&&(FMCb.FMCb_0>=1))"))||("((((It2.Cpt2_2>=1)&&(It4.cable_used_4>=1))&&(Dom20.AMC_20>=1))&&(FMCb.FMCb_0>=1))"))||("((((It5.Cpt2_5>=1)&&(It1.cable_used_1>=1))&&(Dom23.AMC_23>=1))&&(FMCb.FMCb_0>=1))"))||("((((It4.Cpt2_4>=1)&&(It0.cable_used_0>=1))&&(Dom34.AMC_34>=1))&&(FMCb.FMCb_0>=1))"))||("((((It0.Cpt2_0>=1)&&(It2.cable_used_2>=1))&&(Dom0.AMC_0>=1))&&(FMCb.FMCb_0>=1))"))||("((((It5.Cpt2_5>=1)&&(It1.cable_used_1>=1))&&(Dom5.AMC_5>=1))&&(FMCb.FMCb_0>=1))"))||("((((It3.Cpt2_3>=1)&&(It3.cable_used_3>=1))&&(Dom9.AMC_9>=1))&&(FMCb.FMCb_0>=1))"))||("((((It1.Cpt2_1>=1)&&(It5.cable_used_5>=1))&&(Dom13.AMC_13>=1))&&(FMCb.FMCb_0>=1))"))||("((((It3.Cpt2_3>=1)&&(It1.cable_used_1>=1))&&(Dom27.AMC_27>=1))&&(FMCb.FMCb_0>=1))"))||("((((It1.Cpt2_1>=1)&&(It3.cable_used_3>=1))&&(Dom31.AMC_31>=1))&&(FMCb.FMCb_0>=1))"))||("((((It0.Cpt2_0>=1)&&(It1.cable_used_1>=1))&&(Dom18.AMC_18>=1))&&(FMCb.FMCb_0>=1))"))||("((((It1.Cpt2_1>=1)&&(It0.cable_used_0>=1))&&(Dom25.AMC_25>=1))&&(FMCb.FMCb_0>=1))"))||("((((It3.Cpt2_3>=1)&&(It2.cable_used_2>=1))&&(Dom9.AMC_9>=1))&&(FMCb.FMCb_0>=1))"))||("((((It5.Cpt2_5>=1)&&(It5.cable_used_5>=1))&&(Dom11.AMC_11>=1))&&(FMCb.FMCb_0>=1))"))||("((((It0.Cpt2_0>=1)&&(It5.cable_used_5>=1))&&(Dom6.AMC_6>=1))&&(FMCb.FMCb_0>=1))"))||("((((It2.Cpt2_2>=1)&&(It3.cable_used_3>=1))&&(Dom2.AMC_2>=1))&&(FMCb.FMCb_0>=1))"))||("((((It4.Cpt2_4>=1)&&(It1.cable_used_1>=1))&&(Dom16.AMC_16>=1))&&(FMCb.FMCb_0>=1))"))||("((((It0.Cpt2_0>=1)&&(It1.cable_used_1>=1))&&(Dom0.AMC_0>=1))&&(FMCb.FMCb_0>=1))"))||("((((It1.Cpt2_1>=1)&&(It4.cable_used_4>=1))&&(Dom13.AMC_13>=1))&&(FMCb.FMCb_0>=1))"))||("((((It4.Cpt2_4>=1)&&(It5.cable_used_5>=1))&&(Dom22.AMC_22>=1))&&(FMCb.FMCb_0>=1))"))||("((((It5.Cpt2_5>=1)&&(It0.cable_used_0>=1))&&(Dom23.AMC_23>=1))&&(FMCb.FMCb_0>=1))"))||("((((It1.Cpt2_1>=1)&&(It4.cable_used_4>=1))&&(Dom31.AMC_31>=1))&&(FMCb.FMCb_0>=1))"))||("((((It1.Cpt2_1>=1)&&(It0.cable_used_0>=1))&&(Dom7.AMC_7>=1))&&(FMCb.FMCb_0>=1))"))||("((((It4.Cpt2_4>=1)&&(It4.cable_used_4>=1))&&(Dom16.AMC_16>=1))&&(FMCb.FMCb_0>=1))"))||("((((It1.Cpt2_1>=1)&&(It0.cable_used_0>=1))&&(Dom13.AMC_13>=1))&&(FMCb.FMCb_0>=1))"))||("((((It4.Cpt2_4>=1)&&(It0.cable_used_0>=1))&&(Dom28.AMC_28>=1))&&(FMCb.FMCb_0>=1))"))||("((((It1.Cpt2_1>=1)&&(It2.cable_used_2>=1))&&(Dom7.AMC_7>=1))&&(FMCb.FMCb_0>=1))"))||("((((It2.Cpt2_2>=1)&&(It5.cable_used_5>=1))&&(Dom14.AMC_14>=1))&&(FMCb.FMCb_0>=1))"))||("((((It5.Cpt2_5>=1)&&(It5.cable_used_5>=1))&&(Dom29.AMC_29>=1))&&(FMCb.FMCb_0>=1))"))||("((((It1.Cpt2_1>=1)&&(It2.cable_used_2>=1))&&(Dom25.AMC_25>=1))&&(FMCb.FMCb_0>=1))"))||("((((It5.Cpt2_5>=1)&&(It2.cable_used_2>=1))&&(Dom23.AMC_23>=1))&&(FMCb.FMCb_0>=1))"))||("((((It3.Cpt2_3>=1)&&(It4.cable_used_4>=1))&&(Dom33.AMC_33>=1))&&(FMCb.FMCb_0>=1))"))||("((((It5.Cpt2_5>=1)&&(It0.cable_used_0>=1))&&(Dom11.AMC_11>=1))&&(FMCb.FMCb_0>=1))"))||("((((It1.Cpt2_1>=1)&&(It4.cable_used_4>=1))&&(Dom19.AMC_19>=1))&&(FMCb.FMCb_0>=1))"))||("((((It5.Cpt2_5>=1)&&(It3.cable_used_3>=1))&&(Dom35.AMC_35>=1))&&(FMCb.FMCb_0>=1))"))||("((((It5.Cpt2_5>=1)&&(It4.cable_used_4>=1))&&(Dom17.AMC_17>=1))&&(FMCb.FMCb_0>=1))"))||("((((It3.Cpt2_3>=1)&&(It5.cable_used_5>=1))&&(Dom15.AMC_15>=1))&&(FMCb.FMCb_0>=1))"))||("((((It5.Cpt2_5>=1)&&(It2.cable_used_2>=1))&&(Dom5.AMC_5>=1))&&(FMCb.FMCb_0>=1))"))||("((((It3.Cpt2_3>=1)&&(It3.cable_used_3>=1))&&(Dom3.AMC_3>=1))&&(FMCb.FMCb_0>=1))"))||("((((It1.Cpt2_1>=1)&&(It4.cable_used_4>=1))&&(Dom1.AMC_1>=1))&&(FMCb.FMCb_0>=1))"))||("((((It5.Cpt2_5>=1)&&(It4.cable_used_4>=1))&&(Dom35.AMC_35>=1))&&(FMCb.FMCb_0>=1))"))||("((((It4.Cpt2_4>=1)&&(It3.cable_used_3>=1))&&(Dom4.AMC_4>=1))&&(FMCb.FMCb_0>=1))"))||("((((It3.Cpt2_3>=1)&&(It0.cable_used_0>=1))&&(Dom27.AMC_27>=1))&&(FMCb.FMCb_0>=1))"))||("((((It0.Cpt2_0>=1)&&(It5.cable_used_5>=1))&&(Dom0.AMC_0>=1))&&(FMCb.FMCb_0>=1))"))||("((((It0.Cpt2_0>=1)&&(It3.cable_used_3>=1))&&(Dom24.AMC_24>=1))&&(FMCb.FMCb_0>=1))"))||("((((It4.Cpt2_4>=1)&&(It5.cable_used_5>=1))&&(Dom28.AMC_28>=1))&&(FMCb.FMCb_0>=1))"))||("((((It3.Cpt2_3>=1)&&(It4.cable_used_4>=1))&&(Dom15.AMC_15>=1))&&(FMCb.FMCb_0>=1))"))||("((((It0.Cpt2_0>=1)&&(It1.cable_used_1>=1))&&(Dom12.AMC_12>=1))&&(FMCb.FMCb_0>=1))"))||("((((It0.Cpt2_0>=1)&&(It5.cable_used_5>=1))&&(Dom18.AMC_18>=1))&&(FMCb.FMCb_0>=1))"))||("((((It3.Cpt2_3>=1)&&(It0.cable_used_0>=1))&&(Dom9.AMC_9>=1))&&(FMCb.FMCb_0>=1))"))||("((((It3.Cpt2_3>=1)&&(It2.cable_used_2>=1))&&(Dom21.AMC_21>=1))&&(FMCb.FMCb_0>=1))"))||("((((It3.Cpt2_3>=1)&&(It2.cable_used_2>=1))&&(Dom3.AMC_3>=1))&&(FMCb.FMCb_0>=1))"))||("((((It4.Cpt2_4>=1)&&(It3.cable_used_3>=1))&&(Dom34.AMC_34>=1))&&(FMCb.FMCb_0>=1))"))||("((((It0.Cpt2_0>=1)&&(It3.cable_used_3>=1))&&(Dom6.AMC_6>=1))&&(FMCb.FMCb_0>=1))"))||("((((It5.Cpt2_5>=1)&&(It0.cable_used_0>=1))&&(Dom29.AMC_29>=1))&&(FMCb.FMCb_0>=1))"))||("((((It0.Cpt2_0>=1)&&(It4.cable_used_4>=1))&&(Dom0.AMC_0>=1))&&(FMCb.FMCb_0>=1))"))||("((((It4.Cpt2_4>=1)&&(It0.cable_used_0>=1))&&(Dom10.AMC_10>=1))&&(FMCb.FMCb_0>=1))"))||("((((It4.Cpt2_4>=1)&&(It5.cable_used_5>=1))&&(Dom16.AMC_16>=1))&&(FMCb.FMCb_0>=1))"))||("((((It0.Cpt2_0>=1)&&(It2.cable_used_2>=1))&&(Dom6.AMC_6>=1))&&(FMCb.FMCb_0>=1))"))||("((((It1.Cpt2_1>=1)&&(It0.cable_used_0>=1))&&(Dom31.AMC_31>=1))&&(FMCb.FMCb_0>=1))"))||("((((It4.Cpt2_4>=1)&&(It2.cable_used_2>=1))&&(Dom4.AMC_4>=1))&&(FMCb.FMCb_0>=1))"))||("((((It1.Cpt2_1>=1)&&(It3.cable_used_3>=1))&&(Dom7.AMC_7>=1))&&(FMCb.FMCb_0>=1))"))||("((((It0.Cpt2_0>=1)&&(It0.cable_used_0>=1))&&(Dom30.AMC_30>=1))&&(FMCb.FMCb_0>=1))"))||("((((It0.Cpt2_0>=1)&&(It2.cable_used_2>=1))&&(Dom24.AMC_24>=1))&&(FMCb.FMCb_0>=1))"))||("((((It3.Cpt2_3>=1)&&(It5.cable_used_5>=1))&&(Dom27.AMC_27>=1))&&(FMCb.FMCb_0>=1))"))||("((((It0.Cpt2_0>=1)&&(It4.cable_used_4>=1))&&(Dom18.AMC_18>=1))&&(FMCb.FMCb_0>=1))"))||("((((It3.Cpt2_3>=1)&&(It3.cable_used_3>=1))&&(Dom33.AMC_33>=1))&&(FMCb.FMCb_0>=1))"))||("((((It1.Cpt2_1>=1)&&(It3.cable_used_3>=1))&&(Dom25.AMC_25>=1))&&(FMCb.FMCb_0>=1))"))||("((((It0.Cpt2_0>=1)&&(It0.cable_used_0>=1))&&(Dom12.AMC_12>=1))&&(FMCb.FMCb_0>=1))"))||("((((It4.Cpt2_4>=1)&&(It2.cable_used_2>=1))&&(Dom22.AMC_22>=1))&&(FMCb.FMCb_0>=1))"))||("((((It4.Cpt2_4>=1)&&(It4.cable_used_4>=1))&&(Dom34.AMC_34>=1))&&(FMCb.FMCb_0>=1))"))||("((((It1.Cpt2_1>=1)&&(It1.cable_used_1>=1))&&(Dom13.AMC_13>=1))&&(FMCb.FMCb_0>=1))"))||("((((It1.Cpt2_1>=1)&&(It5.cable_used_5>=1))&&(Dom19.AMC_19>=1))&&(FMCb.FMCb_0>=1))"))||("((((It3.Cpt2_3>=1)&&(It4.cable_used_4>=1))&&(Dom21.AMC_21>=1))&&(FMCb.FMCb_0>=1))"))||("((((It2.Cpt2_2>=1)&&(It0.cable_used_0>=1))&&(Dom8.AMC_8>=1))&&(FMCb.FMCb_0>=1))"))||("((((It5.Cpt2_5>=1)&&(It5.cable_used_5>=1))&&(Dom17.AMC_17>=1))&&(FMCb.FMCb_0>=1))"))||("((((It3.Cpt2_3>=1)&&(It0.cable_used_0>=1))&&(Dom33.AMC_33>=1))&&(FMCb.FMCb_0>=1))"))||("((((It1.Cpt2_1>=1)&&(It5.cable_used_5>=1))&&(Dom1.AMC_1>=1))&&(FMCb.FMCb_0>=1))"))||("((((It3.Cpt2_3>=1)&&(It4.cable_used_4>=1))&&(Dom3.AMC_3>=1))&&(FMCb.FMCb_0>=1))"))||("((((It2.Cpt2_2>=1)&&(It0.cable_used_0>=1))&&(Dom26.AMC_26>=1))&&(FMCb.FMCb_0>=1))"))||("((((It0.Cpt2_0>=1)&&(It1.cable_used_1>=1))&&(Dom24.AMC_24>=1))&&(FMCb.FMCb_0>=1))"))||("((((It2.Cpt2_2>=1)&&(It4.cable_used_4>=1))&&(Dom14.AMC_14>=1))&&(FMCb.FMCb_0>=1))"))||("((((It0.Cpt2_0>=1)&&(It5.cable_used_5>=1))&&(Dom12.AMC_12>=1))&&(FMCb.FMCb_0>=1))"))||("((((It4.Cpt2_4>=1)&&(It1.cable_used_1>=1))&&(Dom22.AMC_22>=1))&&(FMCb.FMCb_0>=1))"))||("((((It4.Cpt2_4>=1)&&(It2.cable_used_2>=1))&&(Dom10.AMC_10>=1))&&(FMCb.FMCb_0>=1))"))||("((((It4.Cpt2_4>=1)&&(It1.cable_used_1>=1))&&(Dom28.AMC_28>=1))&&(FMCb.FMCb_0>=1))"))||("((((It2.Cpt2_2>=1)&&(It3.cable_used_3>=1))&&(Dom32.AMC_32>=1))&&(FMCb.FMCb_0>=1))"))||("((((It0.Cpt2_0>=1)&&(It4.cable_used_4>=1))&&(Dom30.AMC_30>=1))&&(FMCb.FMCb_0>=1))"))||("((((It4.Cpt2_4>=1)&&(It1.cable_used_1>=1))&&(Dom4.AMC_4>=1))&&(FMCb.FMCb_0>=1))"))||("((((It2.Cpt2_2>=1)&&(It3.cable_used_3>=1))&&(Dom8.AMC_8>=1))&&(FMCb.FMCb_0>=1))"))||("((((It0.Cpt2_0>=1)&&(It1.cable_used_1>=1))&&(Dom30.AMC_30>=1))&&(FMCb.FMCb_0>=1))"))||("((((It4.Cpt2_4>=1)&&(It5.cable_used_5>=1))&&(Dom10.AMC_10>=1))&&(FMCb.FMCb_0>=1))"))||("((((It3.Cpt2_3>=1)&&(It1.cable_used_1>=1))&&(Dom15.AMC_15>=1))&&(FMCb.FMCb_0>=1))"))||("((((It5.Cpt2_5>=1)&&(It5.cable_used_5>=1))&&(Dom23.AMC_23>=1))&&(FMCb.FMCb_0>=1))"))||("((((It0.Cpt2_0>=1)&&(It0.cable_used_0>=1))&&(Dom24.AMC_24>=1))&&(FMCb.FMCb_0>=1))"))||("((((It3.Cpt2_3>=1)&&(It5.cable_used_5>=1))&&(Dom3.AMC_3>=1))&&(FMCb.FMCb_0>=1))"))||("((((It4.Cpt2_4>=1)&&(It0.cable_used_0>=1))&&(Dom22.AMC_22>=1))&&(FMCb.FMCb_0>=1))"))||("((((It2.Cpt2_2>=1)&&(It2.cable_used_2>=1))&&(Dom26.AMC_26>=1))&&(FMCb.FMCb_0>=1))"))||("((((It1.Cpt2_1>=1)&&(It5.cable_used_5>=1))&&(Dom25.AMC_25>=1))&&(FMCb.FMCb_0>=1))"))||("((((It1.Cpt2_1>=1)&&(It3.cable_used_3>=1))&&(Dom1.AMC_1>=1))&&(FMCb.FMCb_0>=1))"))||("((((It4.Cpt2_4>=1)&&(It2.cable_used_2>=1))&&(Dom28.AMC_28>=1))&&(FMCb.FMCb_0>=1))"))||("((((It2.Cpt2_2>=1)&&(It4.cable_used_4>=1))&&(Dom32.AMC_32>=1))&&(FMCb.FMCb_0>=1))"))||("((((It4.Cpt2_4>=1)&&(It4.cable_used_4>=1))&&(Dom10.AMC_10>=1))&&(FMCb.FMCb_0>=1))"))||("((((It5.Cpt2_5>=1)&&(It1.cable_used_1>=1))&&(Dom35.AMC_35>=1))&&(FMCb.FMCb_0>=1))"))||("((((It2.Cpt2_2>=1)&&(It2.cable_used_2>=1))&&(Dom8.AMC_8>=1))&&(FMCb.FMCb_0>=1))"))||("((((It0.Cpt2_0>=1)&&(It4.cable_used_4>=1))&&(Dom12.AMC_12>=1))&&(FMCb.FMCb_0>=1))"))||("((((It5.Cpt2_5>=1)&&(It3.cable_used_3>=1))&&(Dom17.AMC_17>=1))&&(FMCb.FMCb_0>=1))"))||("((((It3.Cpt2_3>=1)&&(It5.cable_used_5>=1))&&(Dom21.AMC_21>=1))&&(FMCb.FMCb_0>=1))"))||("((((It0.Cpt2_0>=1)&&(It2.cable_used_2>=1))&&(Dom30.AMC_30>=1))&&(FMCb.FMCb_0>=1))"))||("((((It1.Cpt2_1>=1)&&(It2.cable_used_2>=1))&&(Dom1.AMC_1>=1))&&(FMCb.FMCb_0>=1))"))||("((((It2.Cpt2_2>=1)&&(It1.cable_used_1>=1))&&(Dom26.AMC_26>=1))&&(FMCb.FMCb_0>=1))"))||("((((It5.Cpt2_5>=1)&&(It2.cable_used_2>=1))&&(Dom35.AMC_35>=1))&&(FMCb.FMCb_0>=1))"))||("((((It4.Cpt2_4>=1)&&(It3.cable_used_3>=1))&&(Dom28.AMC_28>=1))&&(FMCb.FMCb_0>=1))"))||("((((It0.Cpt2_0>=1)&&(It3.cable_used_3>=1))&&(Dom12.AMC_12>=1))&&(FMCb.FMCb_0>=1))"))||("((((It3.Cpt2_3>=1)&&(It0.cable_used_0>=1))&&(Dom15.AMC_15>=1))&&(FMCb.FMCb_0>=1))"))||("((((It1.Cpt2_1>=1)&&(It2.cable_used_2>=1))&&(Dom19.AMC_19>=1))&&(FMCb.FMCb_0>=1))"))&&("((((((((((((((((((((((((((((((((Dom26.wait_ack_26>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1))||(((Dom2.wait_ack_2>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom19.wait_ack_19>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom31.wait_ack_31>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom9.wait_ack_9>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom23.wait_ack_23>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom17.wait_ack_17>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom5.wait_ack_5>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom4.wait_ack_4>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom10.wait_ack_10>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom30.wait_ack_30>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom12.wait_ack_12>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom25.wait_ack_25>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom15.wait_ack_15>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom33.wait_ack_33>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom20.wait_ack_20>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom32.wait_ack_32>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom1.wait_ack_1>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom8.wait_ack_8>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom13.wait_ack_13>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom27.wait_ack_27>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom22.wait_ack_22>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom18.wait_ack_18>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom11.wait_ack_11>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom6.wait_ack_6>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom34.wait_ack_34>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom24.wait_ack_24>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom3.wait_ack_3>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom16.wait_ack_16>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom29.wait_ack_29>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))")))&&(F("(((((((It4.MSG_4>=1)&&(R_tout.R_tout_0>=1))||((It0.MSG_0>=1)&&(R_tout.R_tout_0>=1)))||((It5.MSG_5>=1)&&(R_tout.R_tout_0>=1)))||((It2.MSG_2>=1)&&(R_tout.R_tout_0>=1)))||((It3.MSG_3>=1)&&(R_tout.R_tout_0>=1)))||((It1.MSG_1>=1)&&(R_tout.R_tout_0>=1)))"))))))
Formula 10 simplified : !GF(G("((((((((((((((((((((((((((((((((Dom26.wait_ack_26>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1))||(((Dom2.wait_ack_2>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom19.wait_ack_19>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom31.wait_ack_31>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom9.wait_ack_9>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom23.wait_ack_23>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom17.wait_ack_17>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom5.wait_ack_5>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom4.wait_ack_4>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom10.wait_ack_10>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom30.wait_ack_30>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom12.wait_ack_12>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom25.wait_ack_25>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom15.wait_ack_15>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom33.wait_ack_33>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom20.wait_ack_20>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom32.wait_ack_32>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom1.wait_ack_1>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom8.wait_ack_8>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom13.wait_ack_13>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom27.wait_ack_27>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom22.wait_ack_22>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom18.wait_ack_18>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom11.wait_ack_11>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom6.wait_ack_6>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom34.wait_ack_34>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom24.wait_ack_24>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom3.wait_ack_3>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom16.wait_ack_16>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))||(((Dom29.wait_ack_29>=1)&&(ACK.ACK_0>=1))&&(FMCb.FMCb_0>=1)))" & ("((((It0.Cpt2_0>=1)&&(It0.cable_used_0>=1))&&(Dom6.AMC_6>=1))&&(FMCb.FMCb_0>=1))" | "((((It0.Cpt2_0>=1)&&(It0.cable_used_0>=1))&&(Dom12.AMC_12>=1))&&(FMCb.FMCb_0>=1))" | "((((It0.Cpt2_0>=1)&&(It0.cable_used_0>=1))&&(Dom18.AMC_18>=1))&&(FMCb.FMCb_0>=1))" | "((((It0.Cpt2_0>=1)&&(It0.cable_used_0>=1))&&(Dom24.AMC_24>=1))&&(FMCb.FMCb_0>=1))" | "((((It0.Cpt2_0>=1)&&(It0.cable_used_0>=1))&&(Dom30.AMC_30>=1))&&(FMCb.FMCb_0>=1))" | "((((It0.Cpt2_0>=1)&&(It1.cable_used_1>=1))&&(Dom0.AMC_0>=1))&&(FMCb.FMCb_0>=1))" | "((((It0.Cpt2_0>=1)&&(It1.cable_used_1>=1))&&(Dom12.AMC_12>=1))&&(FMCb.FMCb_0>=1))" | "((((It0.Cpt2_0>=1)&&(It1.cable_used_1>=1))&&(Dom18.AMC_18>=1))&&(FMCb.FMCb_0>=1))" | "((((It0.Cpt2_0>=1)&&(It1.cable_used_1>=1))&&(Dom24.AMC_24>=1))&&(FMCb.FMCb_0>=1))" | "((((It0.Cpt2_0>=1)&&(It1.cable_used_1>=1))&&(Dom30.AMC_30>=1))&&(FMCb.FMCb_0>=1))" | "((((It0.Cpt2_0>=1)&&(It2.cable_used_2>=1))&&(Dom0.AMC_0>=1))&&(FMCb.FMCb_0>=1))" | "((((It0.Cpt2_0>=1)&&(It2.cable_used_2>=1))&&(Dom6.AMC_6>=1))&&(FMCb.FMCb_0>=1))" | "((((It0.Cpt2_0>=1)&&(It2.cable_used_2>=1))&&(Dom18.AMC_18>=1))&&(FMCb.FMCb_0>=1))" | "((((It0.Cpt2_0>=1)&&(It2.cable_used_2>=1))&&(Dom24.AMC_24>=1))&&(FMCb.FMCb_0>=1))" | "((((It0.Cpt2_0>=1)&&(It2.cable_used_2>=1))&&(Dom30.AMC_30>=1))&&(FMCb.FMCb_0>=1))" | "((((It0.Cpt2_0>=1)&&(It3.cable_used_3>=1))&&(Dom0.AMC_0>=1))&&(FMCb.FMCb_0>=1))" | "((((It0.Cpt2_0>=1)&&(It3.cable_used_3>=1))&&(Dom6.AMC_6>=1))&&(FMCb.FMCb_0>=1))" | "((((It0.Cpt2_0>=1)&&(It3.cable_used_3>=1))&&(Dom12.AMC_12>=1))&&(FMCb.FMCb_0>=1))" | "((((It0.Cpt2_0>=1)&&(It3.cable_used_3>=1))&&(Dom24.AMC_24>=1))&&(FMCb.FMCb_0>=1))" | "((((It0.Cpt2_0>=1)&&(It3.cable_used_3>=1))&&(Dom30.AMC_30>=1))&&(FMCb.FMCb_0>=1))" | "((((It0.Cpt2_0>=1)&&(It4.cable_used_4>=1))&&(Dom0.AMC_0>=1))&&(FMCb.FMCb_0>=1))" | "((((It0.Cpt2_0>=1)&&(It4.cable_used_4>=1))&&(Dom6.AMC_6>=1))&&(FMCb.FMCb_0>=1))" | "((((It0.Cpt2_0>=1)&&(It4.cable_used_4>=1))&&(Dom12.AMC_12>=1))&&(FMCb.FMCb_0>=1))" | "((((It0.Cpt2_0>=1)&&(It4.cable_used_4>=1))&&(Dom18.AMC_18>=1))&&(FMCb.FMCb_0>=1))" | "((((It0.Cpt2_0>=1)&&(It4.cable_used_4>=1))&&(Dom30.AMC_30>=1))&&(FMCb.FMCb_0>=1))" | "((((It0.Cpt2_0>=1)&&(It5.cable_used_5>=1))&&(Dom0.AMC_0>=1))&&(FMCb.FMCb_0>=1))" | "((((It0.Cpt2_0>=1)&&(It5.cable_used_5>=1))&&(Dom6.AMC_6>=1))&&(FMCb.FMCb_0>=1))" | "((((It0.Cpt2_0>=1)&&(It5.cable_used_5>=1))&&(Dom12.AMC_12>=1))&&(FMCb.FMCb_0>=1))" | "((((It0.Cpt2_0>=1)&&(It5.cable_used_5>=1))&&(Dom18.AMC_18>=1))&&(FMCb.FMCb_0>=1))" | "((((It0.Cpt2_0>=1)&&(It5.cable_used_5>=1))&&(Dom24.AMC_24>=1))&&(FMCb.FMCb_0>=1))" | "((((It1.Cpt2_1>=1)&&(It0.cable_used_0>=1))&&(Dom7.AMC_7>=1))&&(FMCb.FMCb_0>=1))" | "((((It1.Cpt2_1>=1)&&(It0.cable_used_0>=1))&&(Dom13.AMC_13>=1))&&(FMCb.FMCb_0>=1))" | "((((It1.Cpt2_1>=1)&&(It0.cable_used_0>=1))&&(Dom19.AMC_19>=1))&&(FMCb.FMCb_0>=1))" | "((((It1.Cpt2_1>=1)&&(It0.cable_used_0>=1))&&(Dom25.AMC_25>=1))&&(FMCb.FMCb_0>=1))" | "((((It1.Cpt2_1>=1)&&(It0.cable_used_0>=1))&&(Dom31.AMC_31>=1))&&(FMCb.FMCb_0>=1))" | "((((It1.Cpt2_1>=1)&&(It1.cable_used_1>=1))&&(Dom1.AMC_1>=1))&&(FMCb.FMCb_0>=1))" | "((((It1.Cpt2_1>=1)&&(It1.cable_used_1>=1))&&(Dom13.AMC_13>=1))&&(FMCb.FMCb_0>=1))" | "((((It1.Cpt2_1>=1)&&(It1.cable_used_1>=1))&&(Dom19.AMC_19>=1))&&(FMCb.FMCb_0>=1))" | "((((It1.Cpt2_1>=1)&&(It1.cable_used_1>=1))&&(Dom25.AMC_25>=1))&&(FMCb.FMCb_0>=1))" | "((((It1.Cpt2_1>=1)&&(It1.cable_used_1>=1))&&(Dom31.AMC_31>=1))&&(FMCb.FMCb_0>=1))" | "((((It1.Cpt2_1>=1)&&(It2.cable_used_2>=1))&&(Dom1.AMC_1>=1))&&(FMCb.FMCb_0>=1))" | "((((It1.Cpt2_1>=1)&&(It2.cable_used_2>=1))&&(Dom7.AMC_7>=1))&&(FMCb.FMCb_0>=1))" | "((((It1.Cpt2_1>=1)&&(It2.cable_used_2>=1))&&(Dom19.AMC_19>=1))&&(FMCb.FMCb_0>=1))" | "((((It1.Cpt2_1>=1)&&(It2.cable_used_2>=1))&&(Dom25.AMC_25>=1))&&(FMCb.FMCb_0>=1))" | "((((It1.Cpt2_1>=1)&&(It2.cable_used_2>=1))&&(Dom31.AMC_31>=1))&&(FMCb.FMCb_0>=1))" | "((((It1.Cpt2_1>=1)&&(It3.cable_used_3>=1))&&(Dom1.AMC_1>=1))&&(FMCb.FMCb_0>=1))" | "((((It1.Cpt2_1>=1)&&(It3.cable_used_3>=1))&&(Dom7.AMC_7>=1))&&(FMCb.FMCb_0>=1))" | "((((It1.Cpt2_1>=1)&&(It3.cable_used_3>=1))&&(Dom13.AMC_13>=1))&&(FMCb.FMCb_0>=1))" | "((((It1.Cpt2_1>=1)&&(It3.cable_used_3>=1))&&(Dom25.AMC_25>=1))&&(FMCb.FMCb_0>=1))" | "((((It1.Cpt2_1>=1)&&(It3.cable_used_3>=1))&&(Dom31.AMC_31>=1))&&(FMCb.FMCb_0>=1))" | "((((It1.Cpt2_1>=1)&&(It4.cable_used_4>=1))&&(Dom1.AMC_1>=1))&&(FMCb.FMCb_0>=1))" | "((((It1.Cpt2_1>=1)&&(It4.cable_used_4>=1))&&(Dom7.AMC_7>=1))&&(FMCb.FMCb_0>=1))" | "((((It1.Cpt2_1>=1)&&(It4.cable_used_4>=1))&&(Dom13.AMC_13>=1))&&(FMCb.FMCb_0>=1))" | "((((It1.Cpt2_1>=1)&&(It4.cable_used_4>=1))&&(Dom19.AMC_19>=1))&&(FMCb.FMCb_0>=1))" | "((((It1.Cpt2_1>=1)&&(It4.cable_used_4>=1))&&(Dom31.AMC_31>=1))&&(FMCb.FMCb_0>=1))" | "((((It1.Cpt2_1>=1)&&(It5.cable_used_5>=1))&&(Dom1.AMC_1>=1))&&(FMCb.FMCb_0>=1))" | "((((It1.Cpt2_1>=1)&&(It5.cable_used_5>=1))&&(Dom7.AMC_7>=1))&&(FMCb.FMCb_0>=1))" | "((((It1.Cpt2_1>=1)&&(It5.cable_used_5>=1))&&(Dom13.AMC_13>=1))&&(FMCb.FMCb_0>=1))" | "((((It1.Cpt2_1>=1)&&(It5.cable_used_5>=1))&&(Dom19.AMC_19>=1))&&(FMCb.FMCb_0>=1))" | "((((It1.Cpt2_1>=1)&&(It5.cable_used_5>=1))&&(Dom25.AMC_25>=1))&&(FMCb.FMCb_0>=1))" | "((((It2.Cpt2_2>=1)&&(It0.cable_used_0>=1))&&(Dom8.AMC_8>=1))&&(FMCb.FMCb_0>=1))" | "((((It2.Cpt2_2>=1)&&(It0.cable_used_0>=1))&&(Dom14.AMC_14>=1))&&(FMCb.FMCb_0>=1))" | "((((It2.Cpt2_2>=1)&&(It0.cable_used_0>=1))&&(Dom20.AMC_20>=1))&&(FMCb.FMCb_0>=1))" | "((((It2.Cpt2_2>=1)&&(It0.cable_used_0>=1))&&(Dom26.AMC_26>=1))&&(FMCb.FMCb_0>=1))" | "((((It2.Cpt2_2>=1)&&(It0.cable_used_0>=1))&&(Dom32.AMC_32>=1))&&(FMCb.FMCb_0>=1))" | "((((It2.Cpt2_2>=1)&&(It1.cable_used_1>=1))&&(Dom2.AMC_2>=1))&&(FMCb.FMCb_0>=1))" | "((((It2.Cpt2_2>=1)&&(It1.cable_used_1>=1))&&(Dom14.AMC_14>=1))&&(FMCb.FMCb_0>=1))" | "((((It2.Cpt2_2>=1)&&(It1.cable_used_1>=1))&&(Dom20.AMC_20>=1))&&(FMCb.FMCb_0>=1))" | "((((It2.Cpt2_2>=1)&&(It1.cable_used_1>=1))&&(Dom26.AMC_26>=1))&&(FMCb.FMCb_0>=1))" | "((((It2.Cpt2_2>=1)&&(It1.cable_used_1>=1))&&(Dom32.AMC_32>=1))&&(FMCb.FMCb_0>=1))" | "((((It2.Cpt2_2>=1)&&(It2.cable_used_2>=1))&&(Dom2.AMC_2>=1))&&(FMCb.FMCb_0>=1))" | "((((It2.Cpt2_2>=1)&&(It2.cable_used_2>=1))&&(Dom8.AMC_8>=1))&&(FMCb.FMCb_0>=1))" | "((((It2.Cpt2_2>=1)&&(It2.cable_used_2>=1))&&(Dom20.AMC_20>=1))&&(FMCb.FMCb_0>=1))" | "((((It2.Cpt2_2>=1)&&(It2.cable_used_2>=1))&&(Dom26.AMC_26>=1))&&(FMCb.FMCb_0>=1))" | "((((It2.Cpt2_2>=1)&&(It2.cable_used_2>=1))&&(Dom32.AMC_32>=1))&&(FMCb.FMCb_0>=1))" | "((((It2.Cpt2_2>=1)&&(It3.cable_used_3>=1))&&(Dom2.AMC_2>=1))&&(FMCb.FMCb_0>=1))" | "((((It2.Cpt2_2>=1)&&(It3.cable_used_3>=1))&&(Dom8.AMC_8>=1))&&(FMCb.FMCb_0>=1))" | "((((It2.Cpt2_2>=1)&&(It3.cable_used_3>=1))&&(Dom14.AMC_14>=1))&&(FMCb.FMCb_0>=1))" | "((((It2.Cpt2_2>=1)&&(It3.cable_used_3>=1))&&(Dom26.AMC_26>=1))&&(FMCb.FMCb_0>=1))" | "((((It2.Cpt2_2>=1)&&(It3.cable_used_3>=1))&&(Dom32.AMC_32>=1))&&(FMCb.FMCb_0>=1))" | "((((It2.Cpt2_2>=1)&&(It4.cable_used_4>=1))&&(Dom2.AMC_2>=1))&&(FMCb.FMCb_0>=1))" | "((((It2.Cpt2_2>=1)&&(It4.cable_used_4>=1))&&(Dom8.AMC_8>=1))&&(FMCb.FMCb_0>=1))" | "((((It2.Cpt2_2>=1)&&(It4.cable_used_4>=1))&&(Dom14.AMC_14>=1))&&(FMCb.FMCb_0>=1))" | "((((It2.Cpt2_2>=1)&&(It4.cable_used_4>=1))&&(Dom20.AMC_20>=1))&&(FMCb.FMCb_0>=1))" | "((((It2.Cpt2_2>=1)&&(It4.cable_used_4>=1))&&(Dom32.AMC_32>=1))&&(FMCb.FMCb_0>=1))" | "((((It2.Cpt2_2>=1)&&(It5.cable_used_5>=1))&&(Dom2.AMC_2>=1))&&(FMCb.FMCb_0>=1))" | "((((It2.Cpt2_2>=1)&&(It5.cable_used_5>=1))&&(Dom8.AMC_8>=1))&&(FMCb.FMCb_0>=1))" | "((((It2.Cpt2_2>=1)&&(It5.cable_used_5>=1))&&(Dom14.AMC_14>=1))&&(FMCb.FMCb_0>=1))" | "((((It2.Cpt2_2>=1)&&(It5.cable_used_5>=1))&&(Dom20.AMC_20>=1))&&(FMCb.FMCb_0>=1))" | "((((It2.Cpt2_2>=1)&&(It5.cable_used_5>=1))&&(Dom26.AMC_26>=1))&&(FMCb.FMCb_0>=1))" | "((((It3.Cpt2_3>=1)&&(It0.cable_used_0>=1))&&(Dom9.AMC_9>=1))&&(FMCb.FMCb_0>=1))" | "((((It3.Cpt2_3>=1)&&(It0.cable_used_0>=1))&&(Dom15.AMC_15>=1))&&(FMCb.FMCb_0>=1))" | "((((It3.Cpt2_3>=1)&&(It0.cable_used_0>=1))&&(Dom21.AMC_21>=1))&&(FMCb.FMCb_0>=1))" | "((((It3.Cpt2_3>=1)&&(It0.cable_used_0>=1))&&(Dom27.AMC_27>=1))&&(FMCb.FMCb_0>=1))" | "((((It3.Cpt2_3>=1)&&(It0.cable_used_0>=1))&&(Dom33.AMC_33>=1))&&(FMCb.FMCb_0>=1))" | "((((It3.Cpt2_3>=1)&&(It1.cable_used_1>=1))&&(Dom3.AMC_3>=1))&&(FMCb.FMCb_0>=1))" | "((((It3.Cpt2_3>=1)&&(It1.cable_used_1>=1))&&(Dom15.AMC_15>=1))&&(FMCb.FMCb_0>=1))" | "((((It3.Cpt2_3>=1)&&(It1.cable_used_1>=1))&&(Dom21.AMC_21>=1))&&(FMCb.FMCb_0>=1))" | "((((It3.Cpt2_3>=1)&&(It1.cable_used_1>=1))&&(Dom27.AMC_27>=1))&&(FMCb.FMCb_0>=1))" | "((((It3.Cpt2_3>=1)&&(It1.cable_used_1>=1))&&(Dom33.AMC_33>=1))&&(FMCb.FMCb_0>=1))" | "((((It3.Cpt2_3>=1)&&(It2.cable_used_2>=1))&&(Dom3.AMC_3>=1))&&(FMCb.FMCb_0>=1))" | "((((It3.Cpt2_3>=1)&&(It2.cable_used_2>=1))&&(Dom9.AMC_9>=1))&&(FMCb.FMCb_0>=1))" | "((((It3.Cpt2_3>=1)&&(It2.cable_used_2>=1))&&(Dom21.AMC_21>=1))&&(FMCb.FMCb_0>=1))" | "((((It3.Cpt2_3>=1)&&(It2.cable_used_2>=1))&&(Dom27.AMC_27>=1))&&(FMCb.FMCb_0>=1))" | "((((It3.Cpt2_3>=1)&&(It2.cable_used_2>=1))&&(Dom33.AMC_33>=1))&&(FMCb.FMCb_0>=1))" | "((((It3.Cpt2_3>=1)&&(It3.cable_used_3>=1))&&(Dom3.AMC_3>=1))&&(FMCb.FMCb_0>=1))" | "((((It3.Cpt2_3>=1)&&(It3.cable_used_3>=1))&&(Dom9.AMC_9>=1))&&(FMCb.FMCb_0>=1))" | "((((It3.Cpt2_3>=1)&&(It3.cable_used_3>=1))&&(Dom15.AMC_15>=1))&&(FMCb.FMCb_0>=1))" | "((((It3.Cpt2_3>=1)&&(It3.cable_used_3>=1))&&(Dom27.AMC_27>=1))&&(FMCb.FMCb_0>=1))" | "((((It3.Cpt2_3>=1)&&(It3.cable_used_3>=1))&&(Dom33.AMC_33>=1))&&(FMCb.FMCb_0>=1))" | "((((It3.Cpt2_3>=1)&&(It4.cable_used_4>=1))&&(Dom3.AMC_3>=1))&&(FMCb.FMCb_0>=1))" | "((((It3.Cpt2_3>=1)&&(It4.cable_used_4>=1))&&(Dom9.AMC_9>=1))&&(FMCb.FMCb_0>=1))" | "((((It3.Cpt2_3>=1)&&(It4.cable_used_4>=1))&&(Dom15.AMC_15>=1))&&(FMCb.FMCb_0>=1))" | "((((It3.Cpt2_3>=1)&&(It4.cable_used_4>=1))&&(Dom21.AMC_21>=1))&&(FMCb.FMCb_0>=1))" | "((((It3.Cpt2_3>=1)&&(It4.cable_used_4>=1))&&(Dom33.AMC_33>=1))&&(FMCb.FMCb_0>=1))" | "((((It3.Cpt2_3>=1)&&(It5.cable_used_5>=1))&&(Dom3.AMC_3>=1))&&(FMCb.FMCb_0>=1))" | "((((It3.Cpt2_3>=1)&&(It5.cable_used_5>=1))&&(Dom9.AMC_9>=1))&&(FMCb.FMCb_0>=1))" | "((((It3.Cpt2_3>=1)&&(It5.cable_used_5>=1))&&(Dom15.AMC_15>=1))&&(FMCb.FMCb_0>=1))" | "((((It3.Cpt2_3>=1)&&(It5.cable_used_5>=1))&&(Dom21.AMC_21>=1))&&(FMCb.FMCb_0>=1))" | "((((It3.Cpt2_3>=1)&&(It5.cable_used_5>=1))&&(Dom27.AMC_27>=1))&&(FMCb.FMCb_0>=1))" | "((((It4.Cpt2_4>=1)&&(It0.cable_used_0>=1))&&(Dom10.AMC_10>=1))&&(FMCb.FMCb_0>=1))" | "((((It4.Cpt2_4>=1)&&(It0.cable_used_0>=1))&&(Dom16.AMC_16>=1))&&(FMCb.FMCb_0>=1))" | "((((It4.Cpt2_4>=1)&&(It0.cable_used_0>=1))&&(Dom22.AMC_22>=1))&&(FMCb.FMCb_0>=1))" | "((((It4.Cpt2_4>=1)&&(It0.cable_used_0>=1))&&(Dom28.AMC_28>=1))&&(FMCb.FMCb_0>=1))" | "((((It4.Cpt2_4>=1)&&(It0.cable_used_0>=1))&&(Dom34.AMC_34>=1))&&(FMCb.FMCb_0>=1))" | "((((It4.Cpt2_4>=1)&&(It1.cable_used_1>=1))&&(Dom4.AMC_4>=1))&&(FMCb.FMCb_0>=1))" | "((((It4.Cpt2_4>=1)&&(It1.cable_used_1>=1))&&(Dom16.AMC_16>=1))&&(FMCb.FMCb_0>=1))" | "((((It4.Cpt2_4>=1)&&(It1.cable_used_1>=1))&&(Dom22.AMC_22>=1))&&(FMCb.FMCb_0>=1))" | "((((It4.Cpt2_4>=1)&&(It1.cable_used_1>=1))&&(Dom28.AMC_28>=1))&&(FMCb.FMCb_0>=1))" | "((((It4.Cpt2_4>=1)&&(It1.cable_used_1>=1))&&(Dom34.AMC_34>=1))&&(FMCb.FMCb_0>=1))" | "((((It4.Cpt2_4>=1)&&(It2.cable_used_2>=1))&&(Dom4.AMC_4>=1))&&(FMCb.FMCb_0>=1))" | "((((It4.Cpt2_4>=1)&&(It2.cable_used_2>=1))&&(Dom10.AMC_10>=1))&&(FMCb.FMCb_0>=1))" | "((((It4.Cpt2_4>=1)&&(It2.cable_used_2>=1))&&(Dom22.AMC_22>=1))&&(FMCb.FMCb_0>=1))" | "((((It4.Cpt2_4>=1)&&(It2.cable_used_2>=1))&&(Dom28.AMC_28>=1))&&(FMCb.FMCb_0>=1))" | "((((It4.Cpt2_4>=1)&&(It2.cable_used_2>=1))&&(Dom34.AMC_34>=1))&&(FMCb.FMCb_0>=1))" | "((((It4.Cpt2_4>=1)&&(It3.cable_used_3>=1))&&(Dom4.AMC_4>=1))&&(FMCb.FMCb_0>=1))" | "((((It4.Cpt2_4>=1)&&(It3.cable_used_3>=1))&&(Dom10.AMC_10>=1))&&(FMCb.FMCb_0>=1))" | "((((It4.Cpt2_4>=1)&&(It3.cable_used_3>=1))&&(Dom16.AMC_16>=1))&&(FMCb.FMCb_0>=1))" | "((((It4.Cpt2_4>=1)&&(It3.cable_used_3>=1))&&(Dom28.AMC_28>=1))&&(FMCb.FMCb_0>=1))" | "((((It4.Cpt2_4>=1)&&(It3.cable_used_3>=1))&&(Dom34.AMC_34>=1))&&(FMCb.FMCb_0>=1))" | "((((It4.Cpt2_4>=1)&&(It4.cable_used_4>=1))&&(Dom4.AMC_4>=1))&&(FMCb.FMCb_0>=1))" | "((((It4.Cpt2_4>=1)&&(It4.cable_used_4>=1))&&(Dom10.AMC_10>=1))&&(FMCb.FMCb_0>=1))" | "((((It4.Cpt2_4>=1)&&(It4.cable_used_4>=1))&&(Dom16.AMC_16>=1))&&(FMCb.FMCb_0>=1))" | "((((It4.Cpt2_4>=1)&&(It4.cable_used_4>=1))&&(Dom22.AMC_22>=1))&&(FMCb.FMCb_0>=1))" | "((((It4.Cpt2_4>=1)&&(It4.cable_used_4>=1))&&(Dom34.AMC_34>=1))&&(FMCb.FMCb_0>=1))" | "((((It4.Cpt2_4>=1)&&(It5.cable_used_5>=1))&&(Dom4.AMC_4>=1))&&(FMCb.FMCb_0>=1))" | "((((It4.Cpt2_4>=1)&&(It5.cable_used_5>=1))&&(Dom10.AMC_10>=1))&&(FMCb.FMCb_0>=1))" | "((((It4.Cpt2_4>=1)&&(It5.cable_used_5>=1))&&(Dom16.AMC_16>=1))&&(FMCb.FMCb_0>=1))" | "((((It4.Cpt2_4>=1)&&(It5.cable_used_5>=1))&&(Dom22.AMC_22>=1))&&(FMCb.FMCb_0>=1))" | "((((It4.Cpt2_4>=1)&&(It5.cable_used_5>=1))&&(Dom28.AMC_28>=1))&&(FMCb.FMCb_0>=1))" | "((((It5.Cpt2_5>=1)&&(It0.cable_used_0>=1))&&(Dom11.AMC_11>=1))&&(FMCb.FMCb_0>=1))" | "((((It5.Cpt2_5>=1)&&(It0.cable_used_0>=1))&&(Dom17.AMC_17>=1))&&(FMCb.FMCb_0>=1))" | "((((It5.Cpt2_5>=1)&&(It0.cable_used_0>=1))&&(Dom23.AMC_23>=1))&&(FMCb.FMCb_0>=1))" | "((((It5.Cpt2_5>=1)&&(It0.cable_used_0>=1))&&(Dom29.AMC_29>=1))&&(FMCb.FMCb_0>=1))" | "((((It5.Cpt2_5>=1)&&(It0.cable_used_0>=1))&&(Dom35.AMC_35>=1))&&(FMCb.FMCb_0>=1))" | "((((It5.Cpt2_5>=1)&&(It1.cable_used_1>=1))&&(Dom5.AMC_5>=1))&&(FMCb.FMCb_0>=1))" | "((((It5.Cpt2_5>=1)&&(It1.cable_used_1>=1))&&(Dom17.AMC_17>=1))&&(FMCb.FMCb_0>=1))" | "((((It5.Cpt2_5>=1)&&(It1.cable_used_1>=1))&&(Dom23.AMC_23>=1))&&(FMCb.FMCb_0>=1))" | "((((It5.Cpt2_5>=1)&&(It1.cable_used_1>=1))&&(Dom29.AMC_29>=1))&&(FMCb.FMCb_0>=1))" | "((((It5.Cpt2_5>=1)&&(It1.cable_used_1>=1))&&(Dom35.AMC_35>=1))&&(FMCb.FMCb_0>=1))" | "((((It5.Cpt2_5>=1)&&(It2.cable_used_2>=1))&&(Dom5.AMC_5>=1))&&(FMCb.FMCb_0>=1))" | "((((It5.Cpt2_5>=1)&&(It2.cable_used_2>=1))&&(Dom11.AMC_11>=1))&&(FMCb.FMCb_0>=1))" | "((((It5.Cpt2_5>=1)&&(It2.cable_used_2>=1))&&(Dom23.AMC_23>=1))&&(FMCb.FMCb_0>=1))" | "((((It5.Cpt2_5>=1)&&(It2.cable_used_2>=1))&&(Dom29.AMC_29>=1))&&(FMCb.FMCb_0>=1))" | "((((It5.Cpt2_5>=1)&&(It2.cable_used_2>=1))&&(Dom35.AMC_35>=1))&&(FMCb.FMCb_0>=1))" | "((((It5.Cpt2_5>=1)&&(It3.cable_used_3>=1))&&(Dom5.AMC_5>=1))&&(FMCb.FMCb_0>=1))" | "((((It5.Cpt2_5>=1)&&(It3.cable_used_3>=1))&&(Dom11.AMC_11>=1))&&(FMCb.FMCb_0>=1))" | "((((It5.Cpt2_5>=1)&&(It3.cable_used_3>=1))&&(Dom17.AMC_17>=1))&&(FMCb.FMCb_0>=1))" | "((((It5.Cpt2_5>=1)&&(It3.cable_used_3>=1))&&(Dom29.AMC_29>=1))&&(FMCb.FMCb_0>=1))" | "((((It5.Cpt2_5>=1)&&(It3.cable_used_3>=1))&&(Dom35.AMC_35>=1))&&(FMCb.FMCb_0>=1))" | "((((It5.Cpt2_5>=1)&&(It4.cable_used_4>=1))&&(Dom5.AMC_5>=1))&&(FMCb.FMCb_0>=1))" | "((((It5.Cpt2_5>=1)&&(It4.cable_used_4>=1))&&(Dom11.AMC_11>=1))&&(FMCb.FMCb_0>=1))" | "((((It5.Cpt2_5>=1)&&(It4.cable_used_4>=1))&&(Dom17.AMC_17>=1))&&(FMCb.FMCb_0>=1))" | "((((It5.Cpt2_5>=1)&&(It4.cable_used_4>=1))&&(Dom23.AMC_23>=1))&&(FMCb.FMCb_0>=1))" | "((((It5.Cpt2_5>=1)&&(It4.cable_used_4>=1))&&(Dom35.AMC_35>=1))&&(FMCb.FMCb_0>=1))" | "((((It5.Cpt2_5>=1)&&(It5.cable_used_5>=1))&&(Dom5.AMC_5>=1))&&(FMCb.FMCb_0>=1))" | "((((It5.Cpt2_5>=1)&&(It5.cable_used_5>=1))&&(Dom11.AMC_11>=1))&&(FMCb.FMCb_0>=1))" | "((((It5.Cpt2_5>=1)&&(It5.cable_used_5>=1))&&(Dom17.AMC_17>=1))&&(FMCb.FMCb_0>=1))" | "((((It5.Cpt2_5>=1)&&(It5.cable_used_5>=1))&&(Dom23.AMC_23>=1))&&(FMCb.FMCb_0>=1))" | "((((It5.Cpt2_5>=1)&&(It5.cable_used_5>=1))&&(Dom29.AMC_29>=1))&&(FMCb.FMCb_0>=1))" | G"(((((((It4.MSG_4>=1)&&(R_tout.R_tout_0>=1))||((It0.MSG_0>=1)&&(R_tout.R_tout_0>=1)))||((It5.MSG_5>=1)&&(R_tout.R_tout_0>=1)))||((It2.MSG_2>=1)&&(R_tout.R_tout_0>=1)))||((It3.MSG_3>=1)&&(R_tout.R_tout_0>=1)))||((It1.MSG_1>=1)&&(R_tout.R_tout_0>=1)))")) & F"(((((((It4.MSG_4>=1)&&(R_tout.R_tout_0>=1))||((It0.MSG_0>=1)&&(R_tout.R_tout_0>=1)))||((It5.MSG_5>=1)&&(R_tout.R_tout_0>=1)))||((It2.MSG_2>=1)&&(R_tout.R_tout_0>=1)))||((It3.MSG_3>=1)&&(R_tout.R_tout_0>=1)))||((It1.MSG_1>=1)&&(R_tout.R_tout_0>=1)))")
terminate called after throwing an instance of 'std::bad_alloc'
what(): std::bad_alloc
ITS-tools command line returned an error code 134
[2020-05-19 13:02:39] [INFO ] Flatten gal took : 40 ms
[2020-05-19 13:02:39] [INFO ] Input system was already deterministic with 451 transitions.
[2020-05-19 13:02:39] [INFO ] Transformed 138 places.
[2020-05-19 13:02:39] [INFO ] Transformed 451 transitions.
Running greatSPN : CommandLine [args=[/home/mcc/BenchKit//greatspn//bin/pinvar, /home/mcc/execution/gspn], workingDir=/home/mcc/execution]
P-invariant computation with GreatSPN timed out. Skipping.
Running greatSPN : CommandLine [args=[/home/mcc/BenchKit//greatspn//bin/RGMEDD2, /home/mcc/execution/gspn, -META, -varord-only], workingDir=/home/mcc/execution]
Run of greatSPN captured in /home/mcc/execution/outPut.txt
Using order generated by GreatSPN with heuristic : META
[2020-05-19 13:03:09] [INFO ] Time to serialize gal into /home/mcc/execution/LTLFireability.pnml.gal : 3 ms
[2020-05-19 13:03:09] [INFO ] Time to serialize properties into /home/mcc/execution/LTLFireability.ltl : 6 ms
Invoking ITS tools like this :CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202005100927/bin/its-ltl-linux64, --gc-threshold, 2000000, -i, /home/mcc/execution/LTLFireability.pnml.gal, -t, CGAL, -LTL, /home/mcc/execution/LTLFireability.ltl, -c, -stutter-deadlock, --load-order, /home/mcc/execution/model.ord, --gen-order, FOLLOW], workingDir=/home/mcc/execution]

its-ltl command run as :

/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202005100927/bin/its-ltl-linux64 --gc-threshold 2000000 -i /home/mcc/execution/LTLFireability.pnml.gal -t CGAL -LTL /home/mcc/execution/LTLFireability.ltl -c -stutter-deadlock --load-order /home/mcc/execution/model.ord --gen-order FOLLOW
Read 2 LTL properties
Successfully loaded order from file /home/mcc/execution/model.ord
Checking formula 0 : !((G(F((G((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((G("(((((((MSG_4>=1)&&(R_tout_0>=1))||((MSG_0>=1)&&(R_tout_0>=1)))||((MSG_5>=1)&&(R_tout_0>=1)))||((MSG_2>=1)&&(R_tout_0>=1)))||((MSG_3>=1)&&(R_tout_0>=1)))||((MSG_1>=1)&&(R_tout_0>=1)))"))||("((((Cpt2_0>=1)&&(cable_used_5>=1))&&(AMC_24>=1))&&(FMCb_0>=1))"))||("((((Cpt2_2>=1)&&(cable_used_2>=1))&&(AMC_32>=1))&&(FMCb_0>=1))"))||("((((Cpt2_1>=1)&&(cable_used_1>=1))&&(AMC_1>=1))&&(FMCb_0>=1))"))||("((((Cpt2_0>=1)&&(cable_used_3>=1))&&(AMC_30>=1))&&(FMCb_0>=1))"))||("((((Cpt2_4>=1)&&(cable_used_3>=1))&&(AMC_10>=1))&&(FMCb_0>=1))"))||("((((Cpt2_4>=1)&&(cable_used_1>=1))&&(AMC_34>=1))&&(FMCb_0>=1))"))||("((((Cpt2_2>=1)&&(cable_used_4>=1))&&(AMC_8>=1))&&(FMCb_0>=1))"))||("((((Cpt2_1>=1)&&(cable_used_1>=1))&&(AMC_19>=1))&&(FMCb_0>=1))"))||("((((Cpt2_4>=1)&&(cable_used_5>=1))&&(AMC_4>=1))&&(FMCb_0>=1))"))||("((((Cpt2_3>=1)&&(cable_used_0>=1))&&(AMC_21>=1))&&(FMCb_0>=1))"))||("((((Cpt2_5>=1)&&(cable_used_1>=1))&&(AMC_17>=1))&&(FMCb_0>=1))"))||("((((Cpt2_5>=1)&&(cable_used_4>=1))&&(AMC_23>=1))&&(FMCb_0>=1))"))||("((((Cpt2_3>=1)&&(cable_used_4>=1))&&(AMC_9>=1))&&(FMCb_0>=1))"))||("((((Cpt2_5>=1)&&(cable_used_3>=1))&&(AMC_11>=1))&&(FMCb_0>=1))"))||("((((Cpt2_0>=1)&&(cable_used_0>=1))&&(AMC_6>=1))&&(FMCb_0>=1))"))||("((((Cpt2_1>=1)&&(cable_used_3>=1))&&(AMC_13>=1))&&(FMCb_0>=1))"))||("((((Cpt2_3>=1)&&(cable_used_3>=1))&&(AMC_27>=1))&&(FMCb_0>=1))"))||("((((Cpt2_5>=1)&&(cable_used_2>=1))&&(AMC_29>=1))&&(FMCb_0>=1))"))||("((((Cpt2_1>=1)&&(cable_used_2>=1))&&(AMC_31>=1))&&(FMCb_0>=1))"))||("((((Cpt2_3>=1)&&(cable_used_1>=1))&&(AMC_33>=1))&&(FMCb_0>=1))"))||("((((Cpt2_5>=1)&&(cable_used_5>=1))&&(AMC_5>=1))&&(FMCb_0>=1))"))||("((((Cpt2_5>=1)&&(cable_used_0>=1))&&(AMC_35>=1))&&(FMCb_0>=1))"))||("((((Cpt2_1>=1)&&(cable_used_5>=1))&&(AMC_7>=1))&&(FMCb_0>=1))"))||("((((Cpt2_1>=1)&&(cable_used_4>=1))&&(AMC_7>=1))&&(FMCb_0>=1))"))||("((((Cpt2_5>=1)&&(cable_used_0>=1))&&(AMC_17>=1))&&(FMCb_0>=1))"))||("((((Cpt2_1>=1)&&(cable_used_0>=1))&&(AMC_19>=1))&&(FMCb_0>=1))"))||("((((Cpt2_5>=1)&&(cable_used_4>=1))&&(AMC_5>=1))&&(FMCb_0>=1))"))||("((((Cpt2_2>=1)&&(cable_used_1>=1))&&(AMC_20>=1))&&(FMCb_0>=1))"))||("((((Cpt2_2>=1)&&(cable_used_5>=1))&&(AMC_8>=1))&&(FMCb_0>=1))"))||("((((Cpt2_2>=1)&&(cable_used_3>=1))&&(AMC_26>=1))&&(FMCb_0>=1))"))||("((((Cpt2_5>=1)&&(cable_used_2>=1))&&(AMC_11>=1))&&(FMCb_0>=1))"))||("((((Cpt2_2>=1)&&(cable_used_3>=1))&&(AMC_14>=1))&&(FMCb_0>=1))"))||("((((Cpt2_2>=1)&&(cable_used_1>=1))&&(AMC_32>=1))&&(FMCb_0>=1))"))||("((((Cpt2_2>=1)&&(cable_used_1>=1))&&(AMC_2>=1))&&(FMCb_0>=1))"))||("((((Cpt2_3>=1)&&(cable_used_2>=1))&&(AMC_33>=1))&&(FMCb_0>=1))"))||("((((Cpt2_3>=1)&&(cable_used_1>=1))&&(AMC_3>=1))&&(FMCb_0>=1))"))||("((((Cpt2_3>=1)&&(cable_used_1>=1))&&(AMC_21>=1))&&(FMCb_0>=1))"))||("((((Cpt2_3>=1)&&(cable_used_3>=1))&&(AMC_15>=1))&&(FMCb_0>=1))"))||("((((Cpt2_2>=1)&&(cable_used_0>=1))&&(AMC_20>=1))&&(FMCb_0>=1))"))||("((((Cpt2_5>=1)&&(cable_used_4>=1))&&(AMC_11>=1))&&(FMCb_0>=1))"))||("((((Cpt2_3>=1)&&(cable_used_2>=1))&&(AMC_27>=1))&&(FMCb_0>=1))"))||("((((Cpt2_5>=1)&&(cable_used_1>=1))&&(AMC_29>=1))&&(FMCb_0>=1))"))||("((((Cpt2_3>=1)&&(cable_used_5>=1))&&(AMC_9>=1))&&(FMCb_0>=1))"))||("((((Cpt2_1>=1)&&(cable_used_1>=1))&&(AMC_31>=1))&&(FMCb_0>=1))"))||("((((Cpt2_5>=1)&&(cable_used_3>=1))&&(AMC_5>=1))&&(FMCb_0>=1))"))||("((((Cpt2_2>=1)&&(cable_used_1>=1))&&(AMC_14>=1))&&(FMCb_0>=1))"))||("((((Cpt2_4>=1)&&(cable_used_0>=1))&&(AMC_16>=1))&&(FMCb_0>=1))"))||("((((Cpt2_0>=1)&&(cable_used_0>=1))&&(AMC_18>=1))&&(FMCb_0>=1))"))||("((((Cpt2_2>=1)&&(cable_used_5>=1))&&(AMC_26>=1))&&(FMCb_0>=1))"))||("((((Cpt2_4>=1)&&(cable_used_2>=1))&&(AMC_34>=1))&&(FMCb_0>=1))"))||("((((Cpt2_2>=1)&&(cable_used_2>=1))&&(AMC_20>=1))&&(FMCb_0>=1))"))||("((((Cpt2_4>=1)&&(cable_used_3>=1))&&(AMC_16>=1))&&(FMCb_0>=1))"))||("((((Cpt2_0>=1)&&(cable_used_4>=1))&&(AMC_6>=1))&&(FMCb_0>=1))"))||("((((Cpt2_4>=1)&&(cable_used_4>=1))&&(AMC_22>=1))&&(FMCb_0>=1))"))||("((((Cpt2_2>=1)&&(cable_used_5>=1))&&(AMC_20>=1))&&(FMCb_0>=1))"))||("((((Cpt2_2>=1)&&(cable_used_2>=1))&&(AMC_2>=1))&&(FMCb_0>=1))"))||("((((Cpt2_2>=1)&&(cable_used_0>=1))&&(AMC_32>=1))&&(FMCb_0>=1))"))||("((((Cpt2_0>=1)&&(cable_used_3>=1))&&(AMC_0>=1))&&(FMCb_0>=1))"))||("((((Cpt2_2>=1)&&(cable_used_5>=1))&&(AMC_2>=1))&&(FMCb_0>=1))"))||("((((Cpt2_4>=1)&&(cable_used_4>=1))&&(AMC_4>=1))&&(FMCb_0>=1))"))||("((((Cpt2_2>=1)&&(cable_used_4>=1))&&(AMC_2>=1))&&(FMCb_0>=1))"))||("((((Cpt2_0>=1)&&(cable_used_2>=1))&&(AMC_18>=1))&&(FMCb_0>=1))"))||("((((Cpt2_1>=1)&&(cable_used_1>=1))&&(AMC_25>=1))&&(FMCb_0>=1))"))||("((((Cpt2_5>=1)&&(cable_used_3>=1))&&(AMC_29>=1))&&(FMCb_0>=1))"))||("((((Cpt2_2>=1)&&(cable_used_0>=1))&&(AMC_14>=1))&&(FMCb_0>=1))"))||("((((Cpt2_2>=1)&&(cable_used_4>=1))&&(AMC_20>=1))&&(FMCb_0>=1))"))||("((((Cpt2_5>=1)&&(cable_used_1>=1))&&(AMC_23>=1))&&(FMCb_0>=1))"))||("((((Cpt2_4>=1)&&(cable_used_0>=1))&&(AMC_34>=1))&&(FMCb_0>=1))"))||("((((Cpt2_0>=1)&&(cable_used_2>=1))&&(AMC_0>=1))&&(FMCb_0>=1))"))||("((((Cpt2_5>=1)&&(cable_used_1>=1))&&(AMC_5>=1))&&(FMCb_0>=1))"))||("((((Cpt2_3>=1)&&(cable_used_3>=1))&&(AMC_9>=1))&&(FMCb_0>=1))"))||("((((Cpt2_1>=1)&&(cable_used_5>=1))&&(AMC_13>=1))&&(FMCb_0>=1))"))||("((((Cpt2_3>=1)&&(cable_used_1>=1))&&(AMC_27>=1))&&(FMCb_0>=1))"))||("((((Cpt2_1>=1)&&(cable_used_3>=1))&&(AMC_31>=1))&&(FMCb_0>=1))"))||("((((Cpt2_0>=1)&&(cable_used_1>=1))&&(AMC_18>=1))&&(FMCb_0>=1))"))||("((((Cpt2_1>=1)&&(cable_used_0>=1))&&(AMC_25>=1))&&(FMCb_0>=1))"))||("((((Cpt2_3>=1)&&(cable_used_2>=1))&&(AMC_9>=1))&&(FMCb_0>=1))"))||("((((Cpt2_5>=1)&&(cable_used_5>=1))&&(AMC_11>=1))&&(FMCb_0>=1))"))||("((((Cpt2_0>=1)&&(cable_used_5>=1))&&(AMC_6>=1))&&(FMCb_0>=1))"))||("((((Cpt2_2>=1)&&(cable_used_3>=1))&&(AMC_2>=1))&&(FMCb_0>=1))"))||("((((Cpt2_4>=1)&&(cable_used_1>=1))&&(AMC_16>=1))&&(FMCb_0>=1))"))||("((((Cpt2_0>=1)&&(cable_used_1>=1))&&(AMC_0>=1))&&(FMCb_0>=1))"))||("((((Cpt2_1>=1)&&(cable_used_4>=1))&&(AMC_13>=1))&&(FMCb_0>=1))"))||("((((Cpt2_4>=1)&&(cable_used_5>=1))&&(AMC_22>=1))&&(FMCb_0>=1))"))||("((((Cpt2_5>=1)&&(cable_used_0>=1))&&(AMC_23>=1))&&(FMCb_0>=1))"))||("((((Cpt2_1>=1)&&(cable_used_4>=1))&&(AMC_31>=1))&&(FMCb_0>=1))"))||("((((Cpt2_1>=1)&&(cable_used_0>=1))&&(AMC_7>=1))&&(FMCb_0>=1))"))||("((((Cpt2_4>=1)&&(cable_used_4>=1))&&(AMC_16>=1))&&(FMCb_0>=1))"))||("((((Cpt2_1>=1)&&(cable_used_0>=1))&&(AMC_13>=1))&&(FMCb_0>=1))"))||("((((Cpt2_4>=1)&&(cable_used_0>=1))&&(AMC_28>=1))&&(FMCb_0>=1))"))||("((((Cpt2_1>=1)&&(cable_used_2>=1))&&(AMC_7>=1))&&(FMCb_0>=1))"))||("((((Cpt2_2>=1)&&(cable_used_5>=1))&&(AMC_14>=1))&&(FMCb_0>=1))"))||("((((Cpt2_5>=1)&&(cable_used_5>=1))&&(AMC_29>=1))&&(FMCb_0>=1))"))||("((((Cpt2_1>=1)&&(cable_used_2>=1))&&(AMC_25>=1))&&(FMCb_0>=1))"))||("((((Cpt2_5>=1)&&(cable_used_2>=1))&&(AMC_23>=1))&&(FMCb_0>=1))"))||("((((Cpt2_3>=1)&&(cable_used_4>=1))&&(AMC_33>=1))&&(FMCb_0>=1))"))||("((((Cpt2_5>=1)&&(cable_used_0>=1))&&(AMC_11>=1))&&(FMCb_0>=1))"))||("((((Cpt2_1>=1)&&(cable_used_4>=1))&&(AMC_19>=1))&&(FMCb_0>=1))"))||("((((Cpt2_5>=1)&&(cable_used_3>=1))&&(AMC_35>=1))&&(FMCb_0>=1))"))||("((((Cpt2_5>=1)&&(cable_used_4>=1))&&(AMC_17>=1))&&(FMCb_0>=1))"))||("((((Cpt2_3>=1)&&(cable_used_5>=1))&&(AMC_15>=1))&&(FMCb_0>=1))"))||("((((Cpt2_5>=1)&&(cable_used_2>=1))&&(AMC_5>=1))&&(FMCb_0>=1))"))||("((((Cpt2_3>=1)&&(cable_used_3>=1))&&(AMC_3>=1))&&(FMCb_0>=1))"))||("((((Cpt2_1>=1)&&(cable_used_4>=1))&&(AMC_1>=1))&&(FMCb_0>=1))"))||("((((Cpt2_5>=1)&&(cable_used_4>=1))&&(AMC_35>=1))&&(FMCb_0>=1))"))||("((((Cpt2_4>=1)&&(cable_used_3>=1))&&(AMC_4>=1))&&(FMCb_0>=1))"))||("((((Cpt2_3>=1)&&(cable_used_0>=1))&&(AMC_27>=1))&&(FMCb_0>=1))"))||("((((Cpt2_0>=1)&&(cable_used_5>=1))&&(AMC_0>=1))&&(FMCb_0>=1))"))||("((((Cpt2_0>=1)&&(cable_used_3>=1))&&(AMC_24>=1))&&(FMCb_0>=1))"))||("((((Cpt2_4>=1)&&(cable_used_5>=1))&&(AMC_28>=1))&&(FMCb_0>=1))"))||("((((Cpt2_3>=1)&&(cable_used_4>=1))&&(AMC_15>=1))&&(FMCb_0>=1))"))||("((((Cpt2_0>=1)&&(cable_used_1>=1))&&(AMC_12>=1))&&(FMCb_0>=1))"))||("((((Cpt2_0>=1)&&(cable_used_5>=1))&&(AMC_18>=1))&&(FMCb_0>=1))"))||("((((Cpt2_3>=1)&&(cable_used_0>=1))&&(AMC_9>=1))&&(FMCb_0>=1))"))||("((((Cpt2_3>=1)&&(cable_used_2>=1))&&(AMC_21>=1))&&(FMCb_0>=1))"))||("((((Cpt2_3>=1)&&(cable_used_2>=1))&&(AMC_3>=1))&&(FMCb_0>=1))"))||("((((Cpt2_4>=1)&&(cable_used_3>=1))&&(AMC_34>=1))&&(FMCb_0>=1))"))||("((((Cpt2_0>=1)&&(cable_used_3>=1))&&(AMC_6>=1))&&(FMCb_0>=1))"))||("((((Cpt2_5>=1)&&(cable_used_0>=1))&&(AMC_29>=1))&&(FMCb_0>=1))"))||("((((Cpt2_0>=1)&&(cable_used_4>=1))&&(AMC_0>=1))&&(FMCb_0>=1))"))||("((((Cpt2_4>=1)&&(cable_used_0>=1))&&(AMC_10>=1))&&(FMCb_0>=1))"))||("((((Cpt2_4>=1)&&(cable_used_5>=1))&&(AMC_16>=1))&&(FMCb_0>=1))"))||("((((Cpt2_0>=1)&&(cable_used_2>=1))&&(AMC_6>=1))&&(FMCb_0>=1))"))||("((((Cpt2_1>=1)&&(cable_used_0>=1))&&(AMC_31>=1))&&(FMCb_0>=1))"))||("((((Cpt2_4>=1)&&(cable_used_2>=1))&&(AMC_4>=1))&&(FMCb_0>=1))"))||("((((Cpt2_1>=1)&&(cable_used_3>=1))&&(AMC_7>=1))&&(FMCb_0>=1))"))||("((((Cpt2_0>=1)&&(cable_used_0>=1))&&(AMC_30>=1))&&(FMCb_0>=1))"))||("((((Cpt2_0>=1)&&(cable_used_2>=1))&&(AMC_24>=1))&&(FMCb_0>=1))"))||("((((Cpt2_3>=1)&&(cable_used_5>=1))&&(AMC_27>=1))&&(FMCb_0>=1))"))||("((((Cpt2_0>=1)&&(cable_used_4>=1))&&(AMC_18>=1))&&(FMCb_0>=1))"))||("((((Cpt2_3>=1)&&(cable_used_3>=1))&&(AMC_33>=1))&&(FMCb_0>=1))"))||("((((Cpt2_1>=1)&&(cable_used_3>=1))&&(AMC_25>=1))&&(FMCb_0>=1))"))||("((((Cpt2_0>=1)&&(cable_used_0>=1))&&(AMC_12>=1))&&(FMCb_0>=1))"))||("((((Cpt2_4>=1)&&(cable_used_2>=1))&&(AMC_22>=1))&&(FMCb_0>=1))"))||("((((Cpt2_4>=1)&&(cable_used_4>=1))&&(AMC_34>=1))&&(FMCb_0>=1))"))||("((((Cpt2_1>=1)&&(cable_used_1>=1))&&(AMC_13>=1))&&(FMCb_0>=1))"))||("((((Cpt2_1>=1)&&(cable_used_5>=1))&&(AMC_19>=1))&&(FMCb_0>=1))"))||("((((Cpt2_3>=1)&&(cable_used_4>=1))&&(AMC_21>=1))&&(FMCb_0>=1))"))||("((((Cpt2_2>=1)&&(cable_used_0>=1))&&(AMC_8>=1))&&(FMCb_0>=1))"))||("((((Cpt2_5>=1)&&(cable_used_5>=1))&&(AMC_17>=1))&&(FMCb_0>=1))"))||("((((Cpt2_3>=1)&&(cable_used_0>=1))&&(AMC_33>=1))&&(FMCb_0>=1))"))||("((((Cpt2_1>=1)&&(cable_used_5>=1))&&(AMC_1>=1))&&(FMCb_0>=1))"))||("((((Cpt2_3>=1)&&(cable_used_4>=1))&&(AMC_3>=1))&&(FMCb_0>=1))"))||("((((Cpt2_2>=1)&&(cable_used_0>=1))&&(AMC_26>=1))&&(FMCb_0>=1))"))||("((((Cpt2_0>=1)&&(cable_used_1>=1))&&(AMC_24>=1))&&(FMCb_0>=1))"))||("((((Cpt2_2>=1)&&(cable_used_4>=1))&&(AMC_14>=1))&&(FMCb_0>=1))"))||("((((Cpt2_0>=1)&&(cable_used_5>=1))&&(AMC_12>=1))&&(FMCb_0>=1))"))||("((((Cpt2_4>=1)&&(cable_used_1>=1))&&(AMC_22>=1))&&(FMCb_0>=1))"))||("((((Cpt2_4>=1)&&(cable_used_2>=1))&&(AMC_10>=1))&&(FMCb_0>=1))"))||("((((Cpt2_4>=1)&&(cable_used_1>=1))&&(AMC_28>=1))&&(FMCb_0>=1))"))||("((((Cpt2_2>=1)&&(cable_used_3>=1))&&(AMC_32>=1))&&(FMCb_0>=1))"))||("((((Cpt2_0>=1)&&(cable_used_4>=1))&&(AMC_30>=1))&&(FMCb_0>=1))"))||("((((Cpt2_4>=1)&&(cable_used_1>=1))&&(AMC_4>=1))&&(FMCb_0>=1))"))||("((((Cpt2_2>=1)&&(cable_used_3>=1))&&(AMC_8>=1))&&(FMCb_0>=1))"))||("((((Cpt2_0>=1)&&(cable_used_1>=1))&&(AMC_30>=1))&&(FMCb_0>=1))"))||("((((Cpt2_4>=1)&&(cable_used_5>=1))&&(AMC_10>=1))&&(FMCb_0>=1))"))||("((((Cpt2_3>=1)&&(cable_used_1>=1))&&(AMC_15>=1))&&(FMCb_0>=1))"))||("((((Cpt2_5>=1)&&(cable_used_5>=1))&&(AMC_23>=1))&&(FMCb_0>=1))"))||("((((Cpt2_0>=1)&&(cable_used_0>=1))&&(AMC_24>=1))&&(FMCb_0>=1))"))||("((((Cpt2_3>=1)&&(cable_used_5>=1))&&(AMC_3>=1))&&(FMCb_0>=1))"))||("((((Cpt2_4>=1)&&(cable_used_0>=1))&&(AMC_22>=1))&&(FMCb_0>=1))"))||("((((Cpt2_2>=1)&&(cable_used_2>=1))&&(AMC_26>=1))&&(FMCb_0>=1))"))||("((((Cpt2_1>=1)&&(cable_used_5>=1))&&(AMC_25>=1))&&(FMCb_0>=1))"))||("((((Cpt2_1>=1)&&(cable_used_3>=1))&&(AMC_1>=1))&&(FMCb_0>=1))"))||("((((Cpt2_4>=1)&&(cable_used_2>=1))&&(AMC_28>=1))&&(FMCb_0>=1))"))||("((((Cpt2_2>=1)&&(cable_used_4>=1))&&(AMC_32>=1))&&(FMCb_0>=1))"))||("((((Cpt2_4>=1)&&(cable_used_4>=1))&&(AMC_10>=1))&&(FMCb_0>=1))"))||("((((Cpt2_5>=1)&&(cable_used_1>=1))&&(AMC_35>=1))&&(FMCb_0>=1))"))||("((((Cpt2_2>=1)&&(cable_used_2>=1))&&(AMC_8>=1))&&(FMCb_0>=1))"))||("((((Cpt2_0>=1)&&(cable_used_4>=1))&&(AMC_12>=1))&&(FMCb_0>=1))"))||("((((Cpt2_5>=1)&&(cable_used_3>=1))&&(AMC_17>=1))&&(FMCb_0>=1))"))||("((((Cpt2_3>=1)&&(cable_used_5>=1))&&(AMC_21>=1))&&(FMCb_0>=1))"))||("((((Cpt2_0>=1)&&(cable_used_2>=1))&&(AMC_30>=1))&&(FMCb_0>=1))"))||("((((Cpt2_1>=1)&&(cable_used_2>=1))&&(AMC_1>=1))&&(FMCb_0>=1))"))||("((((Cpt2_2>=1)&&(cable_used_1>=1))&&(AMC_26>=1))&&(FMCb_0>=1))"))||("((((Cpt2_5>=1)&&(cable_used_2>=1))&&(AMC_35>=1))&&(FMCb_0>=1))"))||("((((Cpt2_4>=1)&&(cable_used_3>=1))&&(AMC_28>=1))&&(FMCb_0>=1))"))||("((((Cpt2_0>=1)&&(cable_used_3>=1))&&(AMC_12>=1))&&(FMCb_0>=1))"))||("((((Cpt2_3>=1)&&(cable_used_0>=1))&&(AMC_15>=1))&&(FMCb_0>=1))"))||("((((Cpt2_1>=1)&&(cable_used_2>=1))&&(AMC_19>=1))&&(FMCb_0>=1))"))&&("((((((((((((((((((((((((((((((((wait_ack_26>=1)&&(ACK_0>=1))&&(FMCb_0>=1))||(((wait_ack_2>=1)&&(ACK_0>=1))&&(FMCb_0>=1)))||(((wait_ack_19>=1)&&(ACK_0>=1))&&(FMCb_0>=1)))||(((wait_ack_31>=1)&&(ACK_0>=1))&&(FMCb_0>=1)))||(((wait_ack_9>=1)&&(ACK_0>=1))&&(FMCb_0>=1)))||(((wait_ack_23>=1)&&(ACK_0>=1))&&(FMCb_0>=1)))||(((wait_ack_17>=1)&&(ACK_0>=1))&&(FMCb_0>=1)))||(((wait_ack_5>=1)&&(ACK_0>=1))&&(FMCb_0>=1)))||(((wait_ack_4>=1)&&(ACK_0>=1))&&(FMCb_0>=1)))||(((wait_ack_10>=1)&&(ACK_0>=1))&&(FMCb_0>=1)))||(((wait_ack_30>=1)&&(ACK_0>=1))&&(FMCb_0>=1)))||(((wait_ack_12>=1)&&(ACK_0>=1))&&(FMCb_0>=1)))||(((wait_ack_25>=1)&&(ACK_0>=1))&&(FMCb_0>=1)))||(((wait_ack_15>=1)&&(ACK_0>=1))&&(FMCb_0>=1)))||(((wait_ack_33>=1)&&(ACK_0>=1))&&(FMCb_0>=1)))||(((wait_ack_20>=1)&&(ACK_0>=1))&&(FMCb_0>=1)))||(((wait_ack_32>=1)&&(ACK_0>=1))&&(FMCb_0>=1)))||(((wait_ack_1>=1)&&(ACK_0>=1))&&(FMCb_0>=1)))||(((wait_ack_8>=1)&&(ACK_0>=1))&&(FMCb_0>=1)))||(((wait_ack_13>=1)&&(ACK_0>=1))&&(FMCb_0>=1)))||(((wait_ack_27>=1)&&(ACK_0>=1))&&(FMCb_0>=1)))||(((wait_ack_22>=1)&&(ACK_0>=1))&&(FMCb_0>=1)))||(((wait_ack_18>=1)&&(ACK_0>=1))&&(FMCb_0>=1)))||(((wait_ack_11>=1)&&(ACK_0>=1))&&(FMCb_0>=1)))||(((wait_ack_6>=1)&&(ACK_0>=1))&&(FMCb_0>=1)))||(((wait_ack_34>=1)&&(ACK_0>=1))&&(FMCb_0>=1)))||(((wait_ack_24>=1)&&(ACK_0>=1))&&(FMCb_0>=1)))||(((wait_ack_3>=1)&&(ACK_0>=1))&&(FMCb_0>=1)))||(((wait_ack_16>=1)&&(ACK_0>=1))&&(FMCb_0>=1)))||(((wait_ack_29>=1)&&(ACK_0>=1))&&(FMCb_0>=1)))")))&&(F("(((((((MSG_4>=1)&&(R_tout_0>=1))||((MSG_0>=1)&&(R_tout_0>=1)))||((MSG_5>=1)&&(R_tout_0>=1)))||((MSG_2>=1)&&(R_tout_0>=1)))||((MSG_3>=1)&&(R_tout_0>=1)))||((MSG_1>=1)&&(R_tout_0>=1)))"))))))
Formula 0 simplified : !GF(G("((((((((((((((((((((((((((((((((wait_ack_26>=1)&&(ACK_0>=1))&&(FMCb_0>=1))||(((wait_ack_2>=1)&&(ACK_0>=1))&&(FMCb_0>=1)))||(((wait_ack_19>=1)&&(ACK_0>=1))&&(FMCb_0>=1)))||(((wait_ack_31>=1)&&(ACK_0>=1))&&(FMCb_0>=1)))||(((wait_ack_9>=1)&&(ACK_0>=1))&&(FMCb_0>=1)))||(((wait_ack_23>=1)&&(ACK_0>=1))&&(FMCb_0>=1)))||(((wait_ack_17>=1)&&(ACK_0>=1))&&(FMCb_0>=1)))||(((wait_ack_5>=1)&&(ACK_0>=1))&&(FMCb_0>=1)))||(((wait_ack_4>=1)&&(ACK_0>=1))&&(FMCb_0>=1)))||(((wait_ack_10>=1)&&(ACK_0>=1))&&(FMCb_0>=1)))||(((wait_ack_30>=1)&&(ACK_0>=1))&&(FMCb_0>=1)))||(((wait_ack_12>=1)&&(ACK_0>=1))&&(FMCb_0>=1)))||(((wait_ack_25>=1)&&(ACK_0>=1))&&(FMCb_0>=1)))||(((wait_ack_15>=1)&&(ACK_0>=1))&&(FMCb_0>=1)))||(((wait_ack_33>=1)&&(ACK_0>=1))&&(FMCb_0>=1)))||(((wait_ack_20>=1)&&(ACK_0>=1))&&(FMCb_0>=1)))||(((wait_ack_32>=1)&&(ACK_0>=1))&&(FMCb_0>=1)))||(((wait_ack_1>=1)&&(ACK_0>=1))&&(FMCb_0>=1)))||(((wait_ack_8>=1)&&(ACK_0>=1))&&(FMCb_0>=1)))||(((wait_ack_13>=1)&&(ACK_0>=1))&&(FMCb_0>=1)))||(((wait_ack_27>=1)&&(ACK_0>=1))&&(FMCb_0>=1)))||(((wait_ack_22>=1)&&(ACK_0>=1))&&(FMCb_0>=1)))||(((wait_ack_18>=1)&&(ACK_0>=1))&&(FMCb_0>=1)))||(((wait_ack_11>=1)&&(ACK_0>=1))&&(FMCb_0>=1)))||(((wait_ack_6>=1)&&(ACK_0>=1))&&(FMCb_0>=1)))||(((wait_ack_34>=1)&&(ACK_0>=1))&&(FMCb_0>=1)))||(((wait_ack_24>=1)&&(ACK_0>=1))&&(FMCb_0>=1)))||(((wait_ack_3>=1)&&(ACK_0>=1))&&(FMCb_0>=1)))||(((wait_ack_16>=1)&&(ACK_0>=1))&&(FMCb_0>=1)))||(((wait_ack_29>=1)&&(ACK_0>=1))&&(FMCb_0>=1)))" & ("((((Cpt2_0>=1)&&(cable_used_0>=1))&&(AMC_6>=1))&&(FMCb_0>=1))" | "((((Cpt2_0>=1)&&(cable_used_0>=1))&&(AMC_12>=1))&&(FMCb_0>=1))" | "((((Cpt2_0>=1)&&(cable_used_0>=1))&&(AMC_18>=1))&&(FMCb_0>=1))" | "((((Cpt2_0>=1)&&(cable_used_0>=1))&&(AMC_24>=1))&&(FMCb_0>=1))" | "((((Cpt2_0>=1)&&(cable_used_0>=1))&&(AMC_30>=1))&&(FMCb_0>=1))" | "((((Cpt2_0>=1)&&(cable_used_1>=1))&&(AMC_0>=1))&&(FMCb_0>=1))" | "((((Cpt2_0>=1)&&(cable_used_1>=1))&&(AMC_12>=1))&&(FMCb_0>=1))" | "((((Cpt2_0>=1)&&(cable_used_1>=1))&&(AMC_18>=1))&&(FMCb_0>=1))" | "((((Cpt2_0>=1)&&(cable_used_1>=1))&&(AMC_24>=1))&&(FMCb_0>=1))" | "((((Cpt2_0>=1)&&(cable_used_1>=1))&&(AMC_30>=1))&&(FMCb_0>=1))" | "((((Cpt2_0>=1)&&(cable_used_2>=1))&&(AMC_0>=1))&&(FMCb_0>=1))" | "((((Cpt2_0>=1)&&(cable_used_2>=1))&&(AMC_6>=1))&&(FMCb_0>=1))" | "((((Cpt2_0>=1)&&(cable_used_2>=1))&&(AMC_18>=1))&&(FMCb_0>=1))" | "((((Cpt2_0>=1)&&(cable_used_2>=1))&&(AMC_24>=1))&&(FMCb_0>=1))" | "((((Cpt2_0>=1)&&(cable_used_2>=1))&&(AMC_30>=1))&&(FMCb_0>=1))" | "((((Cpt2_0>=1)&&(cable_used_3>=1))&&(AMC_0>=1))&&(FMCb_0>=1))" | "((((Cpt2_0>=1)&&(cable_used_3>=1))&&(AMC_6>=1))&&(FMCb_0>=1))" | "((((Cpt2_0>=1)&&(cable_used_3>=1))&&(AMC_12>=1))&&(FMCb_0>=1))" | "((((Cpt2_0>=1)&&(cable_used_3>=1))&&(AMC_24>=1))&&(FMCb_0>=1))" | "((((Cpt2_0>=1)&&(cable_used_3>=1))&&(AMC_30>=1))&&(FMCb_0>=1))" | "((((Cpt2_0>=1)&&(cable_used_4>=1))&&(AMC_0>=1))&&(FMCb_0>=1))" | "((((Cpt2_0>=1)&&(cable_used_4>=1))&&(AMC_6>=1))&&(FMCb_0>=1))" | "((((Cpt2_0>=1)&&(cable_used_4>=1))&&(AMC_12>=1))&&(FMCb_0>=1))" | "((((Cpt2_0>=1)&&(cable_used_4>=1))&&(AMC_18>=1))&&(FMCb_0>=1))" | "((((Cpt2_0>=1)&&(cable_used_4>=1))&&(AMC_30>=1))&&(FMCb_0>=1))" | "((((Cpt2_0>=1)&&(cable_used_5>=1))&&(AMC_0>=1))&&(FMCb_0>=1))" | "((((Cpt2_0>=1)&&(cable_used_5>=1))&&(AMC_6>=1))&&(FMCb_0>=1))" | "((((Cpt2_0>=1)&&(cable_used_5>=1))&&(AMC_12>=1))&&(FMCb_0>=1))" | "((((Cpt2_0>=1)&&(cable_used_5>=1))&&(AMC_18>=1))&&(FMCb_0>=1))" | "((((Cpt2_0>=1)&&(cable_used_5>=1))&&(AMC_24>=1))&&(FMCb_0>=1))" | "((((Cpt2_1>=1)&&(cable_used_0>=1))&&(AMC_7>=1))&&(FMCb_0>=1))" | "((((Cpt2_1>=1)&&(cable_used_0>=1))&&(AMC_13>=1))&&(FMCb_0>=1))" | "((((Cpt2_1>=1)&&(cable_used_0>=1))&&(AMC_19>=1))&&(FMCb_0>=1))" | "((((Cpt2_1>=1)&&(cable_used_0>=1))&&(AMC_25>=1))&&(FMCb_0>=1))" | "((((Cpt2_1>=1)&&(cable_used_0>=1))&&(AMC_31>=1))&&(FMCb_0>=1))" | "((((Cpt2_1>=1)&&(cable_used_1>=1))&&(AMC_1>=1))&&(FMCb_0>=1))" | "((((Cpt2_1>=1)&&(cable_used_1>=1))&&(AMC_13>=1))&&(FMCb_0>=1))" | "((((Cpt2_1>=1)&&(cable_used_1>=1))&&(AMC_19>=1))&&(FMCb_0>=1))" | "((((Cpt2_1>=1)&&(cable_used_1>=1))&&(AMC_25>=1))&&(FMCb_0>=1))" | "((((Cpt2_1>=1)&&(cable_used_1>=1))&&(AMC_31>=1))&&(FMCb_0>=1))" | "((((Cpt2_1>=1)&&(cable_used_2>=1))&&(AMC_1>=1))&&(FMCb_0>=1))" | "((((Cpt2_1>=1)&&(cable_used_2>=1))&&(AMC_7>=1))&&(FMCb_0>=1))" | "((((Cpt2_1>=1)&&(cable_used_2>=1))&&(AMC_19>=1))&&(FMCb_0>=1))" | "((((Cpt2_1>=1)&&(cable_used_2>=1))&&(AMC_25>=1))&&(FMCb_0>=1))" | "((((Cpt2_1>=1)&&(cable_used_2>=1))&&(AMC_31>=1))&&(FMCb_0>=1))" | "((((Cpt2_1>=1)&&(cable_used_3>=1))&&(AMC_1>=1))&&(FMCb_0>=1))" | "((((Cpt2_1>=1)&&(cable_used_3>=1))&&(AMC_7>=1))&&(FMCb_0>=1))" | "((((Cpt2_1>=1)&&(cable_used_3>=1))&&(AMC_13>=1))&&(FMCb_0>=1))" | "((((Cpt2_1>=1)&&(cable_used_3>=1))&&(AMC_25>=1))&&(FMCb_0>=1))" | "((((Cpt2_1>=1)&&(cable_used_3>=1))&&(AMC_31>=1))&&(FMCb_0>=1))" | "((((Cpt2_1>=1)&&(cable_used_4>=1))&&(AMC_1>=1))&&(FMCb_0>=1))" | "((((Cpt2_1>=1)&&(cable_used_4>=1))&&(AMC_7>=1))&&(FMCb_0>=1))" | "((((Cpt2_1>=1)&&(cable_used_4>=1))&&(AMC_13>=1))&&(FMCb_0>=1))" | "((((Cpt2_1>=1)&&(cable_used_4>=1))&&(AMC_19>=1))&&(FMCb_0>=1))" | "((((Cpt2_1>=1)&&(cable_used_4>=1))&&(AMC_31>=1))&&(FMCb_0>=1))" | "((((Cpt2_1>=1)&&(cable_used_5>=1))&&(AMC_1>=1))&&(FMCb_0>=1))" | "((((Cpt2_1>=1)&&(cable_used_5>=1))&&(AMC_7>=1))&&(FMCb_0>=1))" | "((((Cpt2_1>=1)&&(cable_used_5>=1))&&(AMC_13>=1))&&(FMCb_0>=1))" | "((((Cpt2_1>=1)&&(cable_used_5>=1))&&(AMC_19>=1))&&(FMCb_0>=1))" | "((((Cpt2_1>=1)&&(cable_used_5>=1))&&(AMC_25>=1))&&(FMCb_0>=1))" | "((((Cpt2_2>=1)&&(cable_used_0>=1))&&(AMC_8>=1))&&(FMCb_0>=1))" | "((((Cpt2_2>=1)&&(cable_used_0>=1))&&(AMC_14>=1))&&(FMCb_0>=1))" | "((((Cpt2_2>=1)&&(cable_used_0>=1))&&(AMC_20>=1))&&(FMCb_0>=1))" | "((((Cpt2_2>=1)&&(cable_used_0>=1))&&(AMC_26>=1))&&(FMCb_0>=1))" | "((((Cpt2_2>=1)&&(cable_used_0>=1))&&(AMC_32>=1))&&(FMCb_0>=1))" | "((((Cpt2_2>=1)&&(cable_used_1>=1))&&(AMC_2>=1))&&(FMCb_0>=1))" | "((((Cpt2_2>=1)&&(cable_used_1>=1))&&(AMC_14>=1))&&(FMCb_0>=1))" | "((((Cpt2_2>=1)&&(cable_used_1>=1))&&(AMC_20>=1))&&(FMCb_0>=1))" | "((((Cpt2_2>=1)&&(cable_used_1>=1))&&(AMC_26>=1))&&(FMCb_0>=1))" | "((((Cpt2_2>=1)&&(cable_used_1>=1))&&(AMC_32>=1))&&(FMCb_0>=1))" | "((((Cpt2_2>=1)&&(cable_used_2>=1))&&(AMC_2>=1))&&(FMCb_0>=1))" | "((((Cpt2_2>=1)&&(cable_used_2>=1))&&(AMC_8>=1))&&(FMCb_0>=1))" | "((((Cpt2_2>=1)&&(cable_used_2>=1))&&(AMC_20>=1))&&(FMCb_0>=1))" | "((((Cpt2_2>=1)&&(cable_used_2>=1))&&(AMC_26>=1))&&(FMCb_0>=1))" | "((((Cpt2_2>=1)&&(cable_used_2>=1))&&(AMC_32>=1))&&(FMCb_0>=1))" | "((((Cpt2_2>=1)&&(cable_used_3>=1))&&(AMC_2>=1))&&(FMCb_0>=1))" | "((((Cpt2_2>=1)&&(cable_used_3>=1))&&(AMC_8>=1))&&(FMCb_0>=1))" | "((((Cpt2_2>=1)&&(cable_used_3>=1))&&(AMC_14>=1))&&(FMCb_0>=1))" | "((((Cpt2_2>=1)&&(cable_used_3>=1))&&(AMC_26>=1))&&(FMCb_0>=1))" | "((((Cpt2_2>=1)&&(cable_used_3>=1))&&(AMC_32>=1))&&(FMCb_0>=1))" | "((((Cpt2_2>=1)&&(cable_used_4>=1))&&(AMC_2>=1))&&(FMCb_0>=1))" | "((((Cpt2_2>=1)&&(cable_used_4>=1))&&(AMC_8>=1))&&(FMCb_0>=1))" | "((((Cpt2_2>=1)&&(cable_used_4>=1))&&(AMC_14>=1))&&(FMCb_0>=1))" | "((((Cpt2_2>=1)&&(cable_used_4>=1))&&(AMC_20>=1))&&(FMCb_0>=1))" | "((((Cpt2_2>=1)&&(cable_used_4>=1))&&(AMC_32>=1))&&(FMCb_0>=1))" | "((((Cpt2_2>=1)&&(cable_used_5>=1))&&(AMC_2>=1))&&(FMCb_0>=1))" | "((((Cpt2_2>=1)&&(cable_used_5>=1))&&(AMC_8>=1))&&(FMCb_0>=1))" | "((((Cpt2_2>=1)&&(cable_used_5>=1))&&(AMC_14>=1))&&(FMCb_0>=1))" | "((((Cpt2_2>=1)&&(cable_used_5>=1))&&(AMC_20>=1))&&(FMCb_0>=1))" | "((((Cpt2_2>=1)&&(cable_used_5>=1))&&(AMC_26>=1))&&(FMCb_0>=1))" | "((((Cpt2_3>=1)&&(cable_used_0>=1))&&(AMC_9>=1))&&(FMCb_0>=1))" | "((((Cpt2_3>=1)&&(cable_used_0>=1))&&(AMC_15>=1))&&(FMCb_0>=1))" | "((((Cpt2_3>=1)&&(cable_used_0>=1))&&(AMC_21>=1))&&(FMCb_0>=1))" | "((((Cpt2_3>=1)&&(cable_used_0>=1))&&(AMC_27>=1))&&(FMCb_0>=1))" | "((((Cpt2_3>=1)&&(cable_used_0>=1))&&(AMC_33>=1))&&(FMCb_0>=1))" | "((((Cpt2_3>=1)&&(cable_used_1>=1))&&(AMC_3>=1))&&(FMCb_0>=1))" | "((((Cpt2_3>=1)&&(cable_used_1>=1))&&(AMC_15>=1))&&(FMCb_0>=1))" | "((((Cpt2_3>=1)&&(cable_used_1>=1))&&(AMC_21>=1))&&(FMCb_0>=1))" | "((((Cpt2_3>=1)&&(cable_used_1>=1))&&(AMC_27>=1))&&(FMCb_0>=1))" | "((((Cpt2_3>=1)&&(cable_used_1>=1))&&(AMC_33>=1))&&(FMCb_0>=1))" | "((((Cpt2_3>=1)&&(cable_used_2>=1))&&(AMC_3>=1))&&(FMCb_0>=1))" | "((((Cpt2_3>=1)&&(cable_used_2>=1))&&(AMC_9>=1))&&(FMCb_0>=1))" | "((((Cpt2_3>=1)&&(cable_used_2>=1))&&(AMC_21>=1))&&(FMCb_0>=1))" | "((((Cpt2_3>=1)&&(cable_used_2>=1))&&(AMC_27>=1))&&(FMCb_0>=1))" | "((((Cpt2_3>=1)&&(cable_used_2>=1))&&(AMC_33>=1))&&(FMCb_0>=1))" | "((((Cpt2_3>=1)&&(cable_used_3>=1))&&(AMC_3>=1))&&(FMCb_0>=1))" | "((((Cpt2_3>=1)&&(cable_used_3>=1))&&(AMC_9>=1))&&(FMCb_0>=1))" | "((((Cpt2_3>=1)&&(cable_used_3>=1))&&(AMC_15>=1))&&(FMCb_0>=1))" | "((((Cpt2_3>=1)&&(cable_used_3>=1))&&(AMC_27>=1))&&(FMCb_0>=1))" | "((((Cpt2_3>=1)&&(cable_used_3>=1))&&(AMC_33>=1))&&(FMCb_0>=1))" | "((((Cpt2_3>=1)&&(cable_used_4>=1))&&(AMC_3>=1))&&(FMCb_0>=1))" | "((((Cpt2_3>=1)&&(cable_used_4>=1))&&(AMC_9>=1))&&(FMCb_0>=1))" | "((((Cpt2_3>=1)&&(cable_used_4>=1))&&(AMC_15>=1))&&(FMCb_0>=1))" | "((((Cpt2_3>=1)&&(cable_used_4>=1))&&(AMC_21>=1))&&(FMCb_0>=1))" | "((((Cpt2_3>=1)&&(cable_used_4>=1))&&(AMC_33>=1))&&(FMCb_0>=1))" | "((((Cpt2_3>=1)&&(cable_used_5>=1))&&(AMC_3>=1))&&(FMCb_0>=1))" | "((((Cpt2_3>=1)&&(cable_used_5>=1))&&(AMC_9>=1))&&(FMCb_0>=1))" | "((((Cpt2_3>=1)&&(cable_used_5>=1))&&(AMC_15>=1))&&(FMCb_0>=1))" | "((((Cpt2_3>=1)&&(cable_used_5>=1))&&(AMC_21>=1))&&(FMCb_0>=1))" | "((((Cpt2_3>=1)&&(cable_used_5>=1))&&(AMC_27>=1))&&(FMCb_0>=1))" | "((((Cpt2_4>=1)&&(cable_used_0>=1))&&(AMC_10>=1))&&(FMCb_0>=1))" | "((((Cpt2_4>=1)&&(cable_used_0>=1))&&(AMC_16>=1))&&(FMCb_0>=1))" | "((((Cpt2_4>=1)&&(cable_used_0>=1))&&(AMC_22>=1))&&(FMCb_0>=1))" | "((((Cpt2_4>=1)&&(cable_used_0>=1))&&(AMC_28>=1))&&(FMCb_0>=1))" | "((((Cpt2_4>=1)&&(cable_used_0>=1))&&(AMC_34>=1))&&(FMCb_0>=1))" | "((((Cpt2_4>=1)&&(cable_used_1>=1))&&(AMC_4>=1))&&(FMCb_0>=1))" | "((((Cpt2_4>=1)&&(cable_used_1>=1))&&(AMC_16>=1))&&(FMCb_0>=1))" | "((((Cpt2_4>=1)&&(cable_used_1>=1))&&(AMC_22>=1))&&(FMCb_0>=1))" | "((((Cpt2_4>=1)&&(cable_used_1>=1))&&(AMC_28>=1))&&(FMCb_0>=1))" | "((((Cpt2_4>=1)&&(cable_used_1>=1))&&(AMC_34>=1))&&(FMCb_0>=1))" | "((((Cpt2_4>=1)&&(cable_used_2>=1))&&(AMC_4>=1))&&(FMCb_0>=1))" | "((((Cpt2_4>=1)&&(cable_used_2>=1))&&(AMC_10>=1))&&(FMCb_0>=1))" | "((((Cpt2_4>=1)&&(cable_used_2>=1))&&(AMC_22>=1))&&(FMCb_0>=1))" | "((((Cpt2_4>=1)&&(cable_used_2>=1))&&(AMC_28>=1))&&(FMCb_0>=1))" | "((((Cpt2_4>=1)&&(cable_used_2>=1))&&(AMC_34>=1))&&(FMCb_0>=1))" | "((((Cpt2_4>=1)&&(cable_used_3>=1))&&(AMC_4>=1))&&(FMCb_0>=1))" | "((((Cpt2_4>=1)&&(cable_used_3>=1))&&(AMC_10>=1))&&(FMCb_0>=1))" | "((((Cpt2_4>=1)&&(cable_used_3>=1))&&(AMC_16>=1))&&(FMCb_0>=1))" | "((((Cpt2_4>=1)&&(cable_used_3>=1))&&(AMC_28>=1))&&(FMCb_0>=1))" | "((((Cpt2_4>=1)&&(cable_used_3>=1))&&(AMC_34>=1))&&(FMCb_0>=1))" | "((((Cpt2_4>=1)&&(cable_used_4>=1))&&(AMC_4>=1))&&(FMCb_0>=1))" | "((((Cpt2_4>=1)&&(cable_used_4>=1))&&(AMC_10>=1))&&(FMCb_0>=1))" | "((((Cpt2_4>=1)&&(cable_used_4>=1))&&(AMC_16>=1))&&(FMCb_0>=1))" | "((((Cpt2_4>=1)&&(cable_used_4>=1))&&(AMC_22>=1))&&(FMCb_0>=1))" | "((((Cpt2_4>=1)&&(cable_used_4>=1))&&(AMC_34>=1))&&(FMCb_0>=1))" | "((((Cpt2_4>=1)&&(cable_used_5>=1))&&(AMC_4>=1))&&(FMCb_0>=1))" | "((((Cpt2_4>=1)&&(cable_used_5>=1))&&(AMC_10>=1))&&(FMCb_0>=1))" | "((((Cpt2_4>=1)&&(cable_used_5>=1))&&(AMC_16>=1))&&(FMCb_0>=1))" | "((((Cpt2_4>=1)&&(cable_used_5>=1))&&(AMC_22>=1))&&(FMCb_0>=1))" | "((((Cpt2_4>=1)&&(cable_used_5>=1))&&(AMC_28>=1))&&(FMCb_0>=1))" | "((((Cpt2_5>=1)&&(cable_used_0>=1))&&(AMC_11>=1))&&(FMCb_0>=1))" | "((((Cpt2_5>=1)&&(cable_used_0>=1))&&(AMC_17>=1))&&(FMCb_0>=1))" | "((((Cpt2_5>=1)&&(cable_used_0>=1))&&(AMC_23>=1))&&(FMCb_0>=1))" | "((((Cpt2_5>=1)&&(cable_used_0>=1))&&(AMC_29>=1))&&(FMCb_0>=1))" | "((((Cpt2_5>=1)&&(cable_used_0>=1))&&(AMC_35>=1))&&(FMCb_0>=1))" | "((((Cpt2_5>=1)&&(cable_used_1>=1))&&(AMC_5>=1))&&(FMCb_0>=1))" | "((((Cpt2_5>=1)&&(cable_used_1>=1))&&(AMC_17>=1))&&(FMCb_0>=1))" | "((((Cpt2_5>=1)&&(cable_used_1>=1))&&(AMC_23>=1))&&(FMCb_0>=1))" | "((((Cpt2_5>=1)&&(cable_used_1>=1))&&(AMC_29>=1))&&(FMCb_0>=1))" | "((((Cpt2_5>=1)&&(cable_used_1>=1))&&(AMC_35>=1))&&(FMCb_0>=1))" | "((((Cpt2_5>=1)&&(cable_used_2>=1))&&(AMC_5>=1))&&(FMCb_0>=1))" | "((((Cpt2_5>=1)&&(cable_used_2>=1))&&(AMC_11>=1))&&(FMCb_0>=1))" | "((((Cpt2_5>=1)&&(cable_used_2>=1))&&(AMC_23>=1))&&(FMCb_0>=1))" | "((((Cpt2_5>=1)&&(cable_used_2>=1))&&(AMC_29>=1))&&(FMCb_0>=1))" | "((((Cpt2_5>=1)&&(cable_used_2>=1))&&(AMC_35>=1))&&(FMCb_0>=1))" | "((((Cpt2_5>=1)&&(cable_used_3>=1))&&(AMC_5>=1))&&(FMCb_0>=1))" | "((((Cpt2_5>=1)&&(cable_used_3>=1))&&(AMC_11>=1))&&(FMCb_0>=1))" | "((((Cpt2_5>=1)&&(cable_used_3>=1))&&(AMC_17>=1))&&(FMCb_0>=1))" | "((((Cpt2_5>=1)&&(cable_used_3>=1))&&(AMC_29>=1))&&(FMCb_0>=1))" | "((((Cpt2_5>=1)&&(cable_used_3>=1))&&(AMC_35>=1))&&(FMCb_0>=1))" | "((((Cpt2_5>=1)&&(cable_used_4>=1))&&(AMC_5>=1))&&(FMCb_0>=1))" | "((((Cpt2_5>=1)&&(cable_used_4>=1))&&(AMC_11>=1))&&(FMCb_0>=1))" | "((((Cpt2_5>=1)&&(cable_used_4>=1))&&(AMC_17>=1))&&(FMCb_0>=1))" | "((((Cpt2_5>=1)&&(cable_used_4>=1))&&(AMC_23>=1))&&(FMCb_0>=1))" | "((((Cpt2_5>=1)&&(cable_used_4>=1))&&(AMC_35>=1))&&(FMCb_0>=1))" | "((((Cpt2_5>=1)&&(cable_used_5>=1))&&(AMC_5>=1))&&(FMCb_0>=1))" | "((((Cpt2_5>=1)&&(cable_used_5>=1))&&(AMC_11>=1))&&(FMCb_0>=1))" | "((((Cpt2_5>=1)&&(cable_used_5>=1))&&(AMC_17>=1))&&(FMCb_0>=1))" | "((((Cpt2_5>=1)&&(cable_used_5>=1))&&(AMC_23>=1))&&(FMCb_0>=1))" | "((((Cpt2_5>=1)&&(cable_used_5>=1))&&(AMC_29>=1))&&(FMCb_0>=1))" | G"(((((((MSG_4>=1)&&(R_tout_0>=1))||((MSG_0>=1)&&(R_tout_0>=1)))||((MSG_5>=1)&&(R_tout_0>=1)))||((MSG_2>=1)&&(R_tout_0>=1)))||((MSG_3>=1)&&(R_tout_0>=1)))||((MSG_1>=1)&&(R_tout_0>=1)))")) & F"(((((((MSG_4>=1)&&(R_tout_0>=1))||((MSG_0>=1)&&(R_tout_0>=1)))||((MSG_5>=1)&&(R_tout_0>=1)))||((MSG_2>=1)&&(R_tout_0>=1)))||((MSG_3>=1)&&(R_tout_0>=1)))||((MSG_1>=1)&&(R_tout_0>=1)))")
terminate called after throwing an instance of 'std::bad_alloc'
what(): std::bad_alloc
ITS-tools command line returned an error code 134

BK_STOP 1589893390415

--------------------
content from stderr:

+ export BINDIR=/home/mcc/BenchKit/
+ BINDIR=/home/mcc/BenchKit/
++ pwd
+ export MODEL=/home/mcc/execution
+ MODEL=/home/mcc/execution
+ [[ LTLFireability = StateSpace ]]
+ /home/mcc/BenchKit//runeclipse.sh /home/mcc/execution LTLFireability -its -ltsminpath /home/mcc/BenchKit//lts_install_dir/ -greatspnpath /home/mcc/BenchKit//greatspn/ -order META -manyOrder -smt -timeout 3600
+ ulimit -s 65536
+ [[ -z '' ]]
+ export LTSMIN_MEM_SIZE=8589934592
+ LTSMIN_MEM_SIZE=8589934592
+ /home/mcc/BenchKit//itstools/its-tools -data /home/mcc/execution/workspace -pnfolder /home/mcc/execution -examination LTLFireability -z3path /home/mcc/BenchKit//z3/bin/z3 -yices2path /home/mcc/BenchKit//yices/bin/yices -its -ltsminpath /home/mcc/BenchKit//lts_install_dir/ -greatspnpath /home/mcc/BenchKit//greatspn/ -order META -manyOrder -smt -timeout 3600 -vmargs -Dosgi.locking=none -Declipse.stateSaveDelayInterval=-1 -Dosgi.configuration.area=/tmp/.eclipse -Xss128m -Xms40m -Xmx16000m -Dfile.encoding=UTF-8 -Dosgi.requiredJavaVersion=1.6

Sequence of Actions to be Executed by the VM

This is useful if one wants to reexecute the tool in the VM from the submitted image disk.

set -x
# this is for BenchKit: configuration of major elements for the test
export BK_INPUT="SafeBus-COL-06"
export BK_EXAMINATION="LTLFireability"
export BK_TOOL="itstools"
export BK_RESULT_DIR="/tmp/BK_RESULTS/OUTPUTS"
export BK_TIME_CONFINEMENT="3600"
export BK_MEMORY_CONFINEMENT="16384"

# this is specific to your benchmark or test

export BIN_DIR="$HOME/BenchKit/bin"

# remove the execution directoty if it exists (to avoid increse of .vmdk images)
if [ -d execution ] ; then
rm -rf execution
fi

# this is for BenchKit: explicit launching of the test
echo "====================================================================="
echo " Generated by BenchKit 2-4028"
echo " Executing tool itstools"
echo " Input is SafeBus-COL-06, examination is LTLFireability"
echo " Time confinement is $BK_TIME_CONFINEMENT seconds"
echo " Memory confinement is 16384 MBytes"
echo " Number of cores is 4"
echo " Run identifier is r156-oct2-158972914000346"
echo "====================================================================="
echo
echo "--------------------"
echo "preparation of the directory to be used:"

tar xzf /home/mcc/BenchKit/INPUTS/SafeBus-COL-06.tgz
mv SafeBus-COL-06 execution
cd execution
if [ "LTLFireability" = "ReachabilityDeadlock" ] || [ "LTLFireability" = "UpperBounds" ] || [ "LTLFireability" = "QuasiLiveness" ] || [ "LTLFireability" = "StableMarking" ] || [ "LTLFireability" = "Liveness" ] || [ "LTLFireability" = "OneSafe" ] || [ "LTLFireability" = "StateSpace" ]; then
rm -f GenericPropertiesVerdict.xml
fi
pwd
ls -lh

echo
echo "--------------------"
echo "content from stdout:"
echo
echo "=== Data for post analysis generated by BenchKit (invocation template)"
echo
if [ "LTLFireability" = "UpperBounds" ] ; then
echo "The expected result is a vector of positive values"
echo NUM_VECTOR
elif [ "LTLFireability" != "StateSpace" ] ; then
echo "The expected result is a vector of booleans"
echo BOOL_VECTOR
else
echo "no data necessary for post analysis"
fi
echo
if [ -f "LTLFireability.txt" ] ; then
echo "here is the order used to build the result vector(from text file)"
for x in $(grep Property LTLFireability.txt | cut -d ' ' -f 2 | sort -u) ; do
echo "FORMULA_NAME $x"
done
elif [ -f "LTLFireability.xml" ] ; then # for cunf (txt files deleted;-)
echo echo "here is the order used to build the result vector(from xml file)"
for x in $(grep '' LTLFireability.xml | cut -d '>' -f 2 | cut -d '<' -f 1 | sort -u) ; do
echo "FORMULA_NAME $x"
done
elif [ "LTLFireability" = "ReachabilityDeadlock" ] || [ "LTLFireability" = "QuasiLiveness" ] || [ "LTLFireability" = "StableMarking" ] || [ "LTLFireability" = "Liveness" ] || [ "LTLFireability" = "OneSafe" ] ; then
echo "FORMULA_NAME LTLFireability"
fi
echo
echo "=== Now, execution of the tool begins"
echo
echo -n "BK_START "
date -u +%s%3N
echo
timeout -s 9 $BK_TIME_CONFINEMENT bash -c "/home/mcc/BenchKit/BenchKit_head.sh 2> STDERR ; echo ; echo -n \"BK_STOP \" ; date -u +%s%3N"
if [ $? -eq 137 ] ; then
echo
echo "BK_TIME_CONFINEMENT_REACHED"
fi
echo
echo "--------------------"
echo "content from stderr:"
echo
cat STDERR ;