fond
Model Checking Contest 2020
10th edition, Paris, France, June 23, 2020
Execution of r021-oct2-158897698800258
Last Updated
Jun 28, 2020

About the Execution of ITS-Tools for BridgeAndVehicles-COL-V80P20N50

Execution Summary
Max Memory
Used (MB)
Time wait (ms) CPU Usage (ms) I/O Wait (ms) Computed Result Execution
Status
15736.540 434416.00 3066507.00 6522.70 ?T????????????F? normal

Execution Chart

We display below the execution chart for this examination (boot time has been removed).

Trace from the execution

Formatting '/data/fko/mcc2020-input.r021-oct2-158897698800258.qcow2', fmt=qcow2 size=4294967296 backing_file=/data/fko/mcc2020-input.qcow2 cluster_size=65536 lazy_refcounts=off refcount_bits=16
Waiting for the VM to be ready (probing ssh)
.........................................
=====================================================================
Generated by BenchKit 2-4028
Executing tool itstools
Input is BridgeAndVehicles-COL-V80P20N50, examination is LTLFireability
Time confinement is 3600 seconds
Memory confinement is 16384 MBytes
Number of cores is 4
Run identifier is r021-oct2-158897698800258
=====================================================================

--------------------
preparation of the directory to be used:
/home/mcc/execution
total 232K
-rw-r--r-- 1 mcc users 4.4K Mar 26 02:42 CTLCardinality.txt
-rw-r--r-- 1 mcc users 22K Mar 26 02:41 CTLCardinality.xml
-rw-r--r-- 1 mcc users 3.0K Mar 25 22:12 CTLFireability.txt
-rw-r--r-- 1 mcc users 16K Mar 25 22:10 CTLFireability.xml
-rw-r--r-- 1 mcc users 4.0K Mar 24 05:37 GenericPropertiesDefinition.xml
-rw-r--r-- 1 mcc users 6.1K Mar 24 05:37 GenericPropertiesVerdict.xml
-rw-r--r-- 1 mcc users 3.8K Apr 8 14:43 LTLCardinality.txt
-rw-r--r-- 1 mcc users 24K Apr 28 14:00 LTLCardinality.xml
-rw-r--r-- 1 mcc users 2.6K Apr 8 14:43 LTLFireability.txt
-rw-r--r-- 1 mcc users 17K Apr 28 14:00 LTLFireability.xml
-rw-r--r-- 1 mcc users 3.9K Mar 25 17:40 ReachabilityCardinality.txt
-rw-r--r-- 1 mcc users 18K Mar 25 17:38 ReachabilityCardinality.xml
-rw-r--r-- 1 mcc users 3.7K Mar 25 14:03 ReachabilityFireability.txt
-rw-r--r-- 1 mcc users 19K Mar 25 14:01 ReachabilityFireability.xml
-rw-r--r-- 1 mcc users 1.9K Mar 25 17:55 UpperBounds.txt
-rw-r--r-- 1 mcc users 4.0K Mar 25 17:55 UpperBounds.xml
-rw-r--r-- 1 mcc users 5 Mar 24 05:37 equiv_pt
-rw-r--r-- 1 mcc users 10 Mar 24 05:37 instance
-rw-r--r-- 1 mcc users 5 Mar 24 05:37 iscolored
-rw-r--r-- 1 mcc users 47K Mar 24 05:37 model.pnml

--------------------
content from stdout:

=== Data for post analysis generated by BenchKit (invocation template)

The expected result is a vector of booleans
BOOL_VECTOR

here is the order used to build the result vector(from text file)
FORMULA_NAME BridgeAndVehicles-COL-V80P20N50-00
FORMULA_NAME BridgeAndVehicles-COL-V80P20N50-01
FORMULA_NAME BridgeAndVehicles-COL-V80P20N50-02
FORMULA_NAME BridgeAndVehicles-COL-V80P20N50-03
FORMULA_NAME BridgeAndVehicles-COL-V80P20N50-04
FORMULA_NAME BridgeAndVehicles-COL-V80P20N50-05
FORMULA_NAME BridgeAndVehicles-COL-V80P20N50-06
FORMULA_NAME BridgeAndVehicles-COL-V80P20N50-07
FORMULA_NAME BridgeAndVehicles-COL-V80P20N50-08
FORMULA_NAME BridgeAndVehicles-COL-V80P20N50-09
FORMULA_NAME BridgeAndVehicles-COL-V80P20N50-10
FORMULA_NAME BridgeAndVehicles-COL-V80P20N50-11
FORMULA_NAME BridgeAndVehicles-COL-V80P20N50-12
FORMULA_NAME BridgeAndVehicles-COL-V80P20N50-13
FORMULA_NAME BridgeAndVehicles-COL-V80P20N50-14
FORMULA_NAME BridgeAndVehicles-COL-V80P20N50-15

=== Now, execution of the tool begins

BK_START 1589224655958

[2020-05-11 19:17:39] [INFO ] Running its-tools with arguments : [-pnfolder, /home/mcc/execution, -examination, LTLFireability, -z3path, /home/mcc/BenchKit//z3/bin/z3, -yices2path, /home/mcc/BenchKit//yices/bin/yices, -its, -ltsminpath, /home/mcc/BenchKit//lts_install_dir/, -greatspnpath, /home/mcc/BenchKit//greatspn/, -order, META, -manyOrder, -smt, -timeout, 3600]
[2020-05-11 19:17:39] [INFO ] Parsing pnml file : /home/mcc/execution/model.pnml
[2020-05-11 19:17:39] [INFO ] Detected file is not PT type :http://www.pnml.org/version-2009/grammar/symmetricnet
SLF4J: Failed to load class "org.slf4j.impl.StaticLoggerBinder".
SLF4J: Defaulting to no-operation (NOP) logger implementation
SLF4J: See http://www.slf4j.org/codes.html#StaticLoggerBinder for further details.
[2020-05-11 19:17:39] [INFO ] Load time of PNML (colored model parsed with PNMLFW) : 627 ms
[2020-05-11 19:17:39] [INFO ] sort/places :
sens->CONTROLEUR,CHOIX,VIDANGE,
compteur->COMPTEUR,
Dot->CAPACITE,SORTI_A,ROUTE_A,ATTENTE_A,SUR_PONT_A,SUR_PONT_B,ATTENTE_B,ROUTE_B,SORTI_B,
voitureA->NB_ATTENTE_A,
voitureB->NB_ATTENTE_B,

[2020-05-11 19:17:39] [INFO ] Imported 15 HL places and 11 HL transitions for a total of 228 PT places and 1339138.0 transition bindings in 24 ms.
[2020-05-11 19:17:39] [INFO ] Computed order based on color domains.
[2020-05-11 19:17:40] [INFO ] Unfolded HLPN to a Petri net with 228 places and 8588 transitions in 209 ms.
[2020-05-11 19:17:40] [INFO ] Unfolded HLPN properties in 8 ms.
Parsed 16 properties from file /home/mcc/execution/LTLFireability.xml in 725 ms.
Working with output stream class java.io.PrintStream
Incomplete random walk after 100000 steps, including 147 resets, run finished after 16217 ms. (steps per millisecond=6 ) properties seen :[1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 0]
[2020-05-11 19:17:57] [INFO ] Flow matrix only has 530 transitions (discarded 8058 similar events)
// Phase 1: matrix 530 rows 228 cols
[2020-05-11 19:17:57] [INFO ] Computed 7 place invariants in 27 ms
[2020-05-11 19:17:58] [INFO ] [Real]Absence check using 7 positive place invariants in 166 ms returned unsat
Successfully simplified 1 atomic propositions for a total of 1 simplifications.
[2020-05-11 19:18:01] [INFO ] Initial state reduction rules for CTL removed 2 formulas.
[2020-05-11 19:18:01] [INFO ] Flatten gal took : 2006 ms
FORMULA BridgeAndVehicles-COL-V80P20N50-14 FALSE TECHNIQUES TOPOLOGICAL INITIAL_STATE
FORMULA BridgeAndVehicles-COL-V80P20N50-01 TRUE TECHNIQUES TOPOLOGICAL INITIAL_STATE
[2020-05-11 19:18:02] [INFO ] Flatten gal took : 739 ms
Using solver Z3 to compute partial order matrices.
Built C files in :
/home/mcc/execution
[2020-05-11 19:18:06] [INFO ] Input system was already deterministic with 8588 transitions.
[2020-05-11 19:18:06] [INFO ] Too many transitions (8588) to apply POR reductions. Disabling POR matrices.
[2020-05-11 19:18:06] [INFO ] Applying decomposition
[2020-05-11 19:18:08] [INFO ] Flatten gal took : 1933 ms
[2020-05-11 19:18:09] [INFO ] Built C files in 4699ms conformant to PINS in folder :/home/mcc/execution
Running compilation step : CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit//lts_install_dir//include, -I., -std=c99, -fPIC, -O2, model.c], workingDir=/home/mcc/execution]
Converted graph to binary with : CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.louvain.binaries_1.0.0.202005100927/bin/convert-linux64, -i, /tmp/graph17325189860523414952.txt, -o, /tmp/graph17325189860523414952.bin, -w, /tmp/graph17325189860523414952.weights], workingDir=null]
Built communities with : CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.louvain.binaries_1.0.0.202005100927/bin/louvain-linux64, /tmp/graph17325189860523414952.bin, -l, -1, -v, -w, /tmp/graph17325189860523414952.weights, -q, 0, -e, 0.001], workingDir=null]
[2020-05-11 19:18:11] [INFO ] Decomposing Gal with order
[2020-05-11 19:18:11] [INFO ] Rewriting arrays to variables to allow decomposition.
[2020-05-11 19:18:29] [INFO ] Removed a total of 16991 redundant transitions.
[2020-05-11 19:18:30] [INFO ] Flatten gal took : 6138 ms
[2020-05-11 19:18:44] [INFO ] Fuse similar labels procedure discarded/fused a total of 993 labels/synchronizations in 263 ms.
[2020-05-11 19:18:45] [INFO ] Time to serialize gal into /home/mcc/execution/LTLFireability.pnml.gal : 22 ms
[2020-05-11 19:18:46] [INFO ] Time to serialize properties into /home/mcc/execution/LTLFireability.ltl : 569 ms
Invoking ITS tools like this :CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202005100927/bin/its-ltl-linux64, --gc-threshold, 2000000, -i, /home/mcc/execution/LTLFireability.pnml.gal, -t, CGAL, -LTL, /home/mcc/execution/LTLFireability.ltl, -c, -stutter-deadlock], workingDir=/home/mcc/execution]

its-ltl command run as :

/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202005100927/bin/its-ltl-linux64 --gc-threshold 2000000 -i /home/mcc/execution/LTLFireability.pnml.gal -t CGAL -LTL /home/mcc/execution/LTLFireability.ltl -c -stutter-deadlock
Read 14 LTL properties
Checking formula 0 : !((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((X("(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_21>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_52>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_45>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_76>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_69>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_14>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_11>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_42>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_59>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_28>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_7>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_56>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_25>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_38>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_17>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_66>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_4>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_35>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_48>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_79>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_34>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_27>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_60>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_41>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_51>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_1>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_63>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_24>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_15>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_77>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_37>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_31>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_73>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_18>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_67>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_80>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_5>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_8>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_44>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_70>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_57>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_40>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_9>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_64>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_71>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_16>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_47>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_78>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_23>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_2>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_54>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_33>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_19>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_50>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_6>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_43>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_12>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_61>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_74>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_53>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_30>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_46>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_3>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_22>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_65>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_58>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_20>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_72>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_29>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_32>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_10>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_68>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_49>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_55>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_36>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_62>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_75>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_13>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_39>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_26>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))"))||(X("(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_3>=1))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_17>=1)))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_13>=1)))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_5>=1)))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_9>=1)))||((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_48>=1)))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_33>=1)))||((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_44>=1)))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_29>=1)))||((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_40>=1)))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_25>=1)))||((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_36>=1)))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_21>=1)))||((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_32>=1)))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_49>=1)))||((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_28>=1)))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_45>=1)))||((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_24>=1)))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_41>=1)))||((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_20>=1)))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_37>=1)))||((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_16>=1)))||((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_12>=1)))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_0>=1)))||((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_8>=1)))||((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_4>=1)))||((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_2>=1)))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_18>=1)))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_14>=1)))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_6>=1)))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_10>=1)))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_34>=1)))||((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_47>=1)))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_30>=1)))||((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_43>=1)))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_26>=1)))||((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_39>=1)))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_22>=1)))||((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_35>=1)))||((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_31>=1)))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_46>=1)))||((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_27>=1)))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_42>=1)))||((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_23>=1)))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_38>=1)))||((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_19>=1)))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_1>=1)))||((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_15>=1)))||((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_11>=1)))||((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_7>=1)))||((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_1>=1)))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_15>=1)))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_11>=1)))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_3>=1)))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_7>=1)))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_31>=1)))||((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_46>=1)))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_27>=1)))||((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_42>=1)))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_23>=1)))||((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_38>=1)))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_19>=1)))||((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_34>=1)))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_47>=1)))||((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_30>=1)))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_43>=1)))||((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_26>=1)))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_39>=1)))||((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_22>=1)))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_35>=1)))||((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_18>=1)))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_2>=1)))||((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_14>=1)))||((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_10>=1)))||((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_6>=1)))||((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_0>=1)))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_16>=1)))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_12>=1)))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_4>=1)))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_8>=1)))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_32>=1)))||((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_49>=1)))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_28>=1)))||((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_45>=1)))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_24>=1)))||((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_41>=1)))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_20>=1)))||((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_37>=1)))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_48>=1)))||((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_33>=1)))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_44>=1)))||((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_29>=1)))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_40>=1)))||((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_25>=1)))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_36>=1)))||((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_21>=1)))||((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_17>=1)))||((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_13>=1)))||((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_9>=1)))||((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_5>=1)))")))||("((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_3>=1))"))||("((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_17>=1))"))||("((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_13>=1))"))||("((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_5>=1))"))||("((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_9>=1))"))||("((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_48>=1))"))||("((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_33>=1))"))||("((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_44>=1))"))||("((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_29>=1))"))||("((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_40>=1))"))||("((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_25>=1))"))||("((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_36>=1))"))||("((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_21>=1))"))||("((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_32>=1))"))||("((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_49>=1))"))||("((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_28>=1))"))||("((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_45>=1))"))||("((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_24>=1))"))||("((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_41>=1))"))||("((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_20>=1))"))||("((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_37>=1))"))||("((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_16>=1))"))||("((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_12>=1))"))||("((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_0>=1))"))||("((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_8>=1))"))||("((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_4>=1))"))||("((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_2>=1))"))||("((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_18>=1))"))||("((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_14>=1))"))||("((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_6>=1))"))||("((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_10>=1))"))||("((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_34>=1))"))||("((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_47>=1))"))||("((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_30>=1))"))||("((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_43>=1))"))||("((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_26>=1))"))||("((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_39>=1))"))||("((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_22>=1))"))||("((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_35>=1))"))||("((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_31>=1))"))||("((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_46>=1))"))||("((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_27>=1))"))||("((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_42>=1))"))||("((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_23>=1))"))||("((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_38>=1))"))||("((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_19>=1))"))||("((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_1>=1))"))||("((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_15>=1))"))||("((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_11>=1))"))||("((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_7>=1))"))||("((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_1>=1))"))||("((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_15>=1))"))||("((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_11>=1))"))||("((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_3>=1))"))||("((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_7>=1))"))||("((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_31>=1))"))||("((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_46>=1))"))||("((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_27>=1))"))||("((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_42>=1))"))||("((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_23>=1))"))||("((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_38>=1))"))||("((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_19>=1))"))||("((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_34>=1))"))||("((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_47>=1))"))||("((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_30>=1))"))||("((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_43>=1))"))||("((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_26>=1))"))||("((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_39>=1))"))||("((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_22>=1))"))||("((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_35>=1))"))||("((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_18>=1))"))||("((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_2>=1))"))||("((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_14>=1))"))||("((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_10>=1))"))||("((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_6>=1))"))||("((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_0>=1))"))||("((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_16>=1))"))||("((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_12>=1))"))||("((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_4>=1))"))||("((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_8>=1))"))||("((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_32>=1))"))||("((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_49>=1))"))||("((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_28>=1))"))||("((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_45>=1))"))||("((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_24>=1))"))||("((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_41>=1))"))||("((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_20>=1))"))||("((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_37>=1))"))||("((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_48>=1))"))||("((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_33>=1))"))||("((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_44>=1))"))||("((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_29>=1))"))||("((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_40>=1))"))||("((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_25>=1))"))||("((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_36>=1))"))||("((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_21>=1))"))||("((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_17>=1))"))||("((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_13>=1))"))||("((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_9>=1))"))||("((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_5>=1))"))U(X("(i0.u0.SUR_PONT_A_0>=1)"))))
Formula 0 simplified : !(("((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_0>=1))" | "((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_1>=1))" | "((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_2>=1))" | "((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_3>=1))" | "((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_4>=1))" | "((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_5>=1))" | "((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_6>=1))" | "((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_7>=1))" | "((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_8>=1))" | "((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_9>=1))" | "((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_10>=1))" | "((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_11>=1))" | "((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_12>=1))" | "((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_13>=1))" | "((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_14>=1))" | "((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_15>=1))" | "((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_16>=1))" | "((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_17>=1))" | "((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_18>=1))" | "((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_19>=1))" | "((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_20>=1))" | "((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_21>=1))" | "((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_22>=1))" | "((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_23>=1))" | "((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_24>=1))" | "((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_25>=1))" | "((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_26>=1))" | "((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_27>=1))" | "((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_28>=1))" | "((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_29>=1))" | "((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_30>=1))" | "((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_31>=1))" | "((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_32>=1))" | "((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_33>=1))" | "((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_34>=1))" | "((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_35>=1))" | "((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_36>=1))" | "((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_37>=1))" | "((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_38>=1))" | "((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_39>=1))" | "((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_40>=1))" | "((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_41>=1))" | "((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_42>=1))" | "((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_43>=1))" | "((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_44>=1))" | "((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_45>=1))" | "((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_46>=1))" | "((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_47>=1))" | "((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_48>=1))" | "((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_49>=1))" | "((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_0>=1))" | "((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_1>=1))" | "((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_2>=1))" | "((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_3>=1))" | "((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_4>=1))" | "((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_5>=1))" | "((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_6>=1))" | "((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_7>=1))" | "((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_8>=1))" | "((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_9>=1))" | "((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_10>=1))" | "((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_11>=1))" | "((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_12>=1))" | "((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_13>=1))" | "((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_14>=1))" | "((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_15>=1))" | "((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_16>=1))" | "((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_17>=1))" | "((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_18>=1))" | "((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_19>=1))" | "((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_20>=1))" | "((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_21>=1))" | "((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_22>=1))" | "((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_23>=1))" | "((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_24>=1))" | "((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_25>=1))" | "((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_26>=1))" | "((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_27>=1))" | "((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_28>=1))" | "((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_29>=1))" | "((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_30>=1))" | "((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_31>=1))" | "((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_32>=1))" | "((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_33>=1))" | "((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_34>=1))" | "((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_35>=1))" | "((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_36>=1))" | "((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_37>=1))" | "((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_38>=1))" | "((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_39>=1))" | "((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_40>=1))" | "((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_41>=1))" | "((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_42>=1))" | "((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_43>=1))" | "((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_44>=1))" | "((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_45>=1))" | "((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_46>=1))" | "((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_47>=1))" | "((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_48>=1))" | "((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_49>=1))" | X"(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_21>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_52>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_45>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_76>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_69>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_14>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_11>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_42>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_59>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_28>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_7>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_56>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_25>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_38>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_17>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_66>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_4>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_35>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_48>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_79>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_34>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_27>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_60>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_41>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_51>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_1>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_63>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_24>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_15>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_77>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_37>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_31>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_73>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_18>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_67>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_80>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_5>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_8>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_44>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_70>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_57>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_40>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_9>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_64>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_71>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_16>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_47>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_78>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_23>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_2>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_54>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_33>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_19>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_50>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_6>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_43>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_12>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_61>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_74>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_53>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_30>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_46>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_3>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_22>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_65>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_58>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_20>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_72>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_29>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_32>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_10>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_68>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_49>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_55>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_36>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_62>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_75>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_13>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_39>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))||((((i0.u0.CAPACITE_0>=1)&&(i1.u1.NB_ATTENTE_B_26>=1))&&(i0.u3.ATTENTE_B_0>=1))&&(i2.u5.CONTROLEUR_1>=1)))" | X"(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_3>=1))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_17>=1)))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_13>=1)))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_5>=1)))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_9>=1)))||((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_48>=1)))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_33>=1)))||((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_44>=1)))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_29>=1)))||((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_40>=1)))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_25>=1)))||((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_36>=1)))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_21>=1)))||((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_32>=1)))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_49>=1)))||((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_28>=1)))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_45>=1)))||((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_24>=1)))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_41>=1)))||((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_20>=1)))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_37>=1)))||((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_16>=1)))||((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_12>=1)))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_0>=1)))||((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_8>=1)))||((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_4>=1)))||((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_2>=1)))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_18>=1)))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_14>=1)))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_6>=1)))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_10>=1)))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_34>=1)))||((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_47>=1)))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_30>=1)))||((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_43>=1)))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_26>=1)))||((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_39>=1)))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_22>=1)))||((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_35>=1)))||((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_31>=1)))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_46>=1)))||((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_27>=1)))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_42>=1)))||((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_23>=1)))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_38>=1)))||((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_19>=1)))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_1>=1)))||((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_15>=1)))||((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_11>=1)))||((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_7>=1)))||((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_1>=1)))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_15>=1)))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_11>=1)))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_3>=1)))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_7>=1)))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_31>=1)))||((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_46>=1)))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_27>=1)))||((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_42>=1)))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_23>=1)))||((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_38>=1)))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_19>=1)))||((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_34>=1)))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_47>=1)))||((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_30>=1)))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_43>=1)))||((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_26>=1)))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_39>=1)))||((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_22>=1)))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_35>=1)))||((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_18>=1)))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_2>=1)))||((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_14>=1)))||((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_10>=1)))||((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_6>=1)))||((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_0>=1)))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_16>=1)))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_12>=1)))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_4>=1)))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_8>=1)))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_32>=1)))||((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_49>=1)))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_28>=1)))||((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_45>=1)))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_24>=1)))||((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_41>=1)))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_20>=1)))||((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_37>=1)))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_48>=1)))||((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_33>=1)))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_44>=1)))||((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_29>=1)))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_40>=1)))||((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_25>=1)))||((i0.u0.CHOIX_1>=1)&&(i1.u4.COMPTEUR_36>=1)))||((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_21>=1)))||((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_17>=1)))||((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_13>=1)))||((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_9>=1)))||((i0.u0.CHOIX_0>=1)&&(i1.u4.COMPTEUR_5>=1)))") U X"(i0.u0.SUR_PONT_A_0>=1)")
terminate called after throwing an instance of 'std::bad_alloc'
what(): std::bad_alloc
ITS-tools command line returned an error code 134
[2020-05-11 19:18:47] [INFO ] Applying decomposition
[2020-05-11 19:18:47] [INFO ] Flatten gal took : 808 ms
[2020-05-11 19:18:48] [INFO ] Decomposing Gal with order
[2020-05-11 19:18:48] [INFO ] Rewriting arrays to variables to allow decomposition.
[2020-05-11 19:19:03] [INFO ] Removed a total of 50110 redundant transitions.
[2020-05-11 19:19:04] [INFO ] Flatten gal took : 2610 ms
[2020-05-11 19:19:05] [INFO ] Fuse similar labels procedure discarded/fused a total of 0 labels/synchronizations in 283 ms.
[2020-05-11 19:19:05] [INFO ] Time to serialize gal into /home/mcc/execution/LTLFireability.pnml.gal : 73 ms
[2020-05-11 19:19:05] [INFO ] Time to serialize properties into /home/mcc/execution/LTLFireability.ltl : 332 ms
Invoking ITS tools like this :CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202005100927/bin/its-ltl-linux64, --gc-threshold, 2000000, -i, /home/mcc/execution/LTLFireability.pnml.gal, -t, CGAL, -LTL, /home/mcc/execution/LTLFireability.ltl, -c, -stutter-deadlock], workingDir=/home/mcc/execution]

its-ltl command run as :

/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202005100927/bin/its-ltl-linux64 --gc-threshold 2000000 -i /home/mcc/execution/LTLFireability.pnml.gal -t CGAL -LTL /home/mcc/execution/LTLFireability.ltl -c -stutter-deadlock
Read 14 LTL properties
Checking formula 0 : !((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((X("(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((CAPACITE.CAPACITE_0>=1)&&(voitureB21.NB_ATTENTE_B_21>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB52.NB_ATTENTE_B_52>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB45.NB_ATTENTE_B_45>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB76.NB_ATTENTE_B_76>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB69.NB_ATTENTE_B_69>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB14.NB_ATTENTE_B_14>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB11.NB_ATTENTE_B_11>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB42.NB_ATTENTE_B_42>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB59.NB_ATTENTE_B_59>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB28.NB_ATTENTE_B_28>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB7.NB_ATTENTE_B_7>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB56.NB_ATTENTE_B_56>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB25.NB_ATTENTE_B_25>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB38.NB_ATTENTE_B_38>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB17.NB_ATTENTE_B_17>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB66.NB_ATTENTE_B_66>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB4.NB_ATTENTE_B_4>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB35.NB_ATTENTE_B_35>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB48.NB_ATTENTE_B_48>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB79.NB_ATTENTE_B_79>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB34.NB_ATTENTE_B_34>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB27.NB_ATTENTE_B_27>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB60.NB_ATTENTE_B_60>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB41.NB_ATTENTE_B_41>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB51.NB_ATTENTE_B_51>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB1.NB_ATTENTE_B_1>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB63.NB_ATTENTE_B_63>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB24.NB_ATTENTE_B_24>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB15.NB_ATTENTE_B_15>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB77.NB_ATTENTE_B_77>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB37.NB_ATTENTE_B_37>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB31.NB_ATTENTE_B_31>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB73.NB_ATTENTE_B_73>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB18.NB_ATTENTE_B_18>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB67.NB_ATTENTE_B_67>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB80.NB_ATTENTE_B_80>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB5.NB_ATTENTE_B_5>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB8.NB_ATTENTE_B_8>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB44.NB_ATTENTE_B_44>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB70.NB_ATTENTE_B_70>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB57.NB_ATTENTE_B_57>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB40.NB_ATTENTE_B_40>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB9.NB_ATTENTE_B_9>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB64.NB_ATTENTE_B_64>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB71.NB_ATTENTE_B_71>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB16.NB_ATTENTE_B_16>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB47.NB_ATTENTE_B_47>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB78.NB_ATTENTE_B_78>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB23.NB_ATTENTE_B_23>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB2.NB_ATTENTE_B_2>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB54.NB_ATTENTE_B_54>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB33.NB_ATTENTE_B_33>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB19.NB_ATTENTE_B_19>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB50.NB_ATTENTE_B_50>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB6.NB_ATTENTE_B_6>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB43.NB_ATTENTE_B_43>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB12.NB_ATTENTE_B_12>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB61.NB_ATTENTE_B_61>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB74.NB_ATTENTE_B_74>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB53.NB_ATTENTE_B_53>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB30.NB_ATTENTE_B_30>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB46.NB_ATTENTE_B_46>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB3.NB_ATTENTE_B_3>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB22.NB_ATTENTE_B_22>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB65.NB_ATTENTE_B_65>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB58.NB_ATTENTE_B_58>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB20.NB_ATTENTE_B_20>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB72.NB_ATTENTE_B_72>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB29.NB_ATTENTE_B_29>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB32.NB_ATTENTE_B_32>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB10.NB_ATTENTE_B_10>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB68.NB_ATTENTE_B_68>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB49.NB_ATTENTE_B_49>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB55.NB_ATTENTE_B_55>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB36.NB_ATTENTE_B_36>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB62.NB_ATTENTE_B_62>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB75.NB_ATTENTE_B_75>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB13.NB_ATTENTE_B_13>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB39.NB_ATTENTE_B_39>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB26.NB_ATTENTE_B_26>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))"))||(X("(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((sens0.CHOIX_0>=1)&&(compteur3.COMPTEUR_3>=1))||((sens1.CHOIX_1>=1)&&(compteur17.COMPTEUR_17>=1)))||((sens1.CHOIX_1>=1)&&(compteur13.COMPTEUR_13>=1)))||((sens1.CHOIX_1>=1)&&(compteur5.COMPTEUR_5>=1)))||((sens1.CHOIX_1>=1)&&(compteur9.COMPTEUR_9>=1)))||((sens0.CHOIX_0>=1)&&(compteur48.COMPTEUR_48>=1)))||((sens1.CHOIX_1>=1)&&(compteur33.COMPTEUR_33>=1)))||((sens0.CHOIX_0>=1)&&(compteur44.COMPTEUR_44>=1)))||((sens1.CHOIX_1>=1)&&(compteur29.COMPTEUR_29>=1)))||((sens0.CHOIX_0>=1)&&(compteur40.COMPTEUR_40>=1)))||((sens1.CHOIX_1>=1)&&(compteur25.COMPTEUR_25>=1)))||((sens0.CHOIX_0>=1)&&(compteur36.COMPTEUR_36>=1)))||((sens1.CHOIX_1>=1)&&(compteur21.COMPTEUR_21>=1)))||((sens0.CHOIX_0>=1)&&(compteur32.COMPTEUR_32>=1)))||((sens1.CHOIX_1>=1)&&(compteur49.COMPTEUR_49>=1)))||((sens0.CHOIX_0>=1)&&(compteur28.COMPTEUR_28>=1)))||((sens1.CHOIX_1>=1)&&(compteur45.COMPTEUR_45>=1)))||((sens0.CHOIX_0>=1)&&(compteur24.COMPTEUR_24>=1)))||((sens1.CHOIX_1>=1)&&(compteur41.COMPTEUR_41>=1)))||((sens0.CHOIX_0>=1)&&(compteur20.COMPTEUR_20>=1)))||((sens1.CHOIX_1>=1)&&(compteur37.COMPTEUR_37>=1)))||((sens0.CHOIX_0>=1)&&(compteur16.COMPTEUR_16>=1)))||((sens0.CHOIX_0>=1)&&(compteur12.COMPTEUR_12>=1)))||((sens1.CHOIX_1>=1)&&(compteur0.COMPTEUR_0>=1)))||((sens0.CHOIX_0>=1)&&(compteur8.COMPTEUR_8>=1)))||((sens0.CHOIX_0>=1)&&(compteur4.COMPTEUR_4>=1)))||((sens0.CHOIX_0>=1)&&(compteur2.COMPTEUR_2>=1)))||((sens1.CHOIX_1>=1)&&(compteur18.COMPTEUR_18>=1)))||((sens1.CHOIX_1>=1)&&(compteur14.COMPTEUR_14>=1)))||((sens1.CHOIX_1>=1)&&(compteur6.COMPTEUR_6>=1)))||((sens1.CHOIX_1>=1)&&(compteur10.COMPTEUR_10>=1)))||((sens1.CHOIX_1>=1)&&(compteur34.COMPTEUR_34>=1)))||((sens0.CHOIX_0>=1)&&(compteur47.COMPTEUR_47>=1)))||((sens1.CHOIX_1>=1)&&(compteur30.COMPTEUR_30>=1)))||((sens0.CHOIX_0>=1)&&(compteur43.COMPTEUR_43>=1)))||((sens1.CHOIX_1>=1)&&(compteur26.COMPTEUR_26>=1)))||((sens0.CHOIX_0>=1)&&(compteur39.COMPTEUR_39>=1)))||((sens1.CHOIX_1>=1)&&(compteur22.COMPTEUR_22>=1)))||((sens0.CHOIX_0>=1)&&(compteur35.COMPTEUR_35>=1)))||((sens0.CHOIX_0>=1)&&(compteur31.COMPTEUR_31>=1)))||((sens1.CHOIX_1>=1)&&(compteur46.COMPTEUR_46>=1)))||((sens0.CHOIX_0>=1)&&(compteur27.COMPTEUR_27>=1)))||((sens1.CHOIX_1>=1)&&(compteur42.COMPTEUR_42>=1)))||((sens0.CHOIX_0>=1)&&(compteur23.COMPTEUR_23>=1)))||((sens1.CHOIX_1>=1)&&(compteur38.COMPTEUR_38>=1)))||((sens0.CHOIX_0>=1)&&(compteur19.COMPTEUR_19>=1)))||((sens1.CHOIX_1>=1)&&(compteur1.COMPTEUR_1>=1)))||((sens0.CHOIX_0>=1)&&(compteur15.COMPTEUR_15>=1)))||((sens0.CHOIX_0>=1)&&(compteur11.COMPTEUR_11>=1)))||((sens0.CHOIX_0>=1)&&(compteur7.COMPTEUR_7>=1)))||((sens0.CHOIX_0>=1)&&(compteur1.COMPTEUR_1>=1)))||((sens1.CHOIX_1>=1)&&(compteur15.COMPTEUR_15>=1)))||((sens1.CHOIX_1>=1)&&(compteur11.COMPTEUR_11>=1)))||((sens1.CHOIX_1>=1)&&(compteur3.COMPTEUR_3>=1)))||((sens1.CHOIX_1>=1)&&(compteur7.COMPTEUR_7>=1)))||((sens1.CHOIX_1>=1)&&(compteur31.COMPTEUR_31>=1)))||((sens0.CHOIX_0>=1)&&(compteur46.COMPTEUR_46>=1)))||((sens1.CHOIX_1>=1)&&(compteur27.COMPTEUR_27>=1)))||((sens0.CHOIX_0>=1)&&(compteur42.COMPTEUR_42>=1)))||((sens1.CHOIX_1>=1)&&(compteur23.COMPTEUR_23>=1)))||((sens0.CHOIX_0>=1)&&(compteur38.COMPTEUR_38>=1)))||((sens1.CHOIX_1>=1)&&(compteur19.COMPTEUR_19>=1)))||((sens0.CHOIX_0>=1)&&(compteur34.COMPTEUR_34>=1)))||((sens1.CHOIX_1>=1)&&(compteur47.COMPTEUR_47>=1)))||((sens0.CHOIX_0>=1)&&(compteur30.COMPTEUR_30>=1)))||((sens1.CHOIX_1>=1)&&(compteur43.COMPTEUR_43>=1)))||((sens0.CHOIX_0>=1)&&(compteur26.COMPTEUR_26>=1)))||((sens1.CHOIX_1>=1)&&(compteur39.COMPTEUR_39>=1)))||((sens0.CHOIX_0>=1)&&(compteur22.COMPTEUR_22>=1)))||((sens1.CHOIX_1>=1)&&(compteur35.COMPTEUR_35>=1)))||((sens0.CHOIX_0>=1)&&(compteur18.COMPTEUR_18>=1)))||((sens1.CHOIX_1>=1)&&(compteur2.COMPTEUR_2>=1)))||((sens0.CHOIX_0>=1)&&(compteur14.COMPTEUR_14>=1)))||((sens0.CHOIX_0>=1)&&(compteur10.COMPTEUR_10>=1)))||((sens0.CHOIX_0>=1)&&(compteur6.COMPTEUR_6>=1)))||((sens0.CHOIX_0>=1)&&(compteur0.COMPTEUR_0>=1)))||((sens1.CHOIX_1>=1)&&(compteur16.COMPTEUR_16>=1)))||((sens1.CHOIX_1>=1)&&(compteur12.COMPTEUR_12>=1)))||((sens1.CHOIX_1>=1)&&(compteur4.COMPTEUR_4>=1)))||((sens1.CHOIX_1>=1)&&(compteur8.COMPTEUR_8>=1)))||((sens1.CHOIX_1>=1)&&(compteur32.COMPTEUR_32>=1)))||((sens0.CHOIX_0>=1)&&(compteur49.COMPTEUR_49>=1)))||((sens1.CHOIX_1>=1)&&(compteur28.COMPTEUR_28>=1)))||((sens0.CHOIX_0>=1)&&(compteur45.COMPTEUR_45>=1)))||((sens1.CHOIX_1>=1)&&(compteur24.COMPTEUR_24>=1)))||((sens0.CHOIX_0>=1)&&(compteur41.COMPTEUR_41>=1)))||((sens1.CHOIX_1>=1)&&(compteur20.COMPTEUR_20>=1)))||((sens0.CHOIX_0>=1)&&(compteur37.COMPTEUR_37>=1)))||((sens1.CHOIX_1>=1)&&(compteur48.COMPTEUR_48>=1)))||((sens0.CHOIX_0>=1)&&(compteur33.COMPTEUR_33>=1)))||((sens1.CHOIX_1>=1)&&(compteur44.COMPTEUR_44>=1)))||((sens0.CHOIX_0>=1)&&(compteur29.COMPTEUR_29>=1)))||((sens1.CHOIX_1>=1)&&(compteur40.COMPTEUR_40>=1)))||((sens0.CHOIX_0>=1)&&(compteur25.COMPTEUR_25>=1)))||((sens1.CHOIX_1>=1)&&(compteur36.COMPTEUR_36>=1)))||((sens0.CHOIX_0>=1)&&(compteur21.COMPTEUR_21>=1)))||((sens0.CHOIX_0>=1)&&(compteur17.COMPTEUR_17>=1)))||((sens0.CHOIX_0>=1)&&(compteur13.COMPTEUR_13>=1)))||((sens0.CHOIX_0>=1)&&(compteur9.COMPTEUR_9>=1)))||((sens0.CHOIX_0>=1)&&(compteur5.COMPTEUR_5>=1)))")))||("((sens0.CHOIX_0>=1)&&(compteur3.COMPTEUR_3>=1))"))||("((sens1.CHOIX_1>=1)&&(compteur17.COMPTEUR_17>=1))"))||("((sens1.CHOIX_1>=1)&&(compteur13.COMPTEUR_13>=1))"))||("((sens1.CHOIX_1>=1)&&(compteur5.COMPTEUR_5>=1))"))||("((sens1.CHOIX_1>=1)&&(compteur9.COMPTEUR_9>=1))"))||("((sens0.CHOIX_0>=1)&&(compteur48.COMPTEUR_48>=1))"))||("((sens1.CHOIX_1>=1)&&(compteur33.COMPTEUR_33>=1))"))||("((sens0.CHOIX_0>=1)&&(compteur44.COMPTEUR_44>=1))"))||("((sens1.CHOIX_1>=1)&&(compteur29.COMPTEUR_29>=1))"))||("((sens0.CHOIX_0>=1)&&(compteur40.COMPTEUR_40>=1))"))||("((sens1.CHOIX_1>=1)&&(compteur25.COMPTEUR_25>=1))"))||("((sens0.CHOIX_0>=1)&&(compteur36.COMPTEUR_36>=1))"))||("((sens1.CHOIX_1>=1)&&(compteur21.COMPTEUR_21>=1))"))||("((sens0.CHOIX_0>=1)&&(compteur32.COMPTEUR_32>=1))"))||("((sens1.CHOIX_1>=1)&&(compteur49.COMPTEUR_49>=1))"))||("((sens0.CHOIX_0>=1)&&(compteur28.COMPTEUR_28>=1))"))||("((sens1.CHOIX_1>=1)&&(compteur45.COMPTEUR_45>=1))"))||("((sens0.CHOIX_0>=1)&&(compteur24.COMPTEUR_24>=1))"))||("((sens1.CHOIX_1>=1)&&(compteur41.COMPTEUR_41>=1))"))||("((sens0.CHOIX_0>=1)&&(compteur20.COMPTEUR_20>=1))"))||("((sens1.CHOIX_1>=1)&&(compteur37.COMPTEUR_37>=1))"))||("((sens0.CHOIX_0>=1)&&(compteur16.COMPTEUR_16>=1))"))||("((sens0.CHOIX_0>=1)&&(compteur12.COMPTEUR_12>=1))"))||("((sens1.CHOIX_1>=1)&&(compteur0.COMPTEUR_0>=1))"))||("((sens0.CHOIX_0>=1)&&(compteur8.COMPTEUR_8>=1))"))||("((sens0.CHOIX_0>=1)&&(compteur4.COMPTEUR_4>=1))"))||("((sens0.CHOIX_0>=1)&&(compteur2.COMPTEUR_2>=1))"))||("((sens1.CHOIX_1>=1)&&(compteur18.COMPTEUR_18>=1))"))||("((sens1.CHOIX_1>=1)&&(compteur14.COMPTEUR_14>=1))"))||("((sens1.CHOIX_1>=1)&&(compteur6.COMPTEUR_6>=1))"))||("((sens1.CHOIX_1>=1)&&(compteur10.COMPTEUR_10>=1))"))||("((sens1.CHOIX_1>=1)&&(compteur34.COMPTEUR_34>=1))"))||("((sens0.CHOIX_0>=1)&&(compteur47.COMPTEUR_47>=1))"))||("((sens1.CHOIX_1>=1)&&(compteur30.COMPTEUR_30>=1))"))||("((sens0.CHOIX_0>=1)&&(compteur43.COMPTEUR_43>=1))"))||("((sens1.CHOIX_1>=1)&&(compteur26.COMPTEUR_26>=1))"))||("((sens0.CHOIX_0>=1)&&(compteur39.COMPTEUR_39>=1))"))||("((sens1.CHOIX_1>=1)&&(compteur22.COMPTEUR_22>=1))"))||("((sens0.CHOIX_0>=1)&&(compteur35.COMPTEUR_35>=1))"))||("((sens0.CHOIX_0>=1)&&(compteur31.COMPTEUR_31>=1))"))||("((sens1.CHOIX_1>=1)&&(compteur46.COMPTEUR_46>=1))"))||("((sens0.CHOIX_0>=1)&&(compteur27.COMPTEUR_27>=1))"))||("((sens1.CHOIX_1>=1)&&(compteur42.COMPTEUR_42>=1))"))||("((sens0.CHOIX_0>=1)&&(compteur23.COMPTEUR_23>=1))"))||("((sens1.CHOIX_1>=1)&&(compteur38.COMPTEUR_38>=1))"))||("((sens0.CHOIX_0>=1)&&(compteur19.COMPTEUR_19>=1))"))||("((sens1.CHOIX_1>=1)&&(compteur1.COMPTEUR_1>=1))"))||("((sens0.CHOIX_0>=1)&&(compteur15.COMPTEUR_15>=1))"))||("((sens0.CHOIX_0>=1)&&(compteur11.COMPTEUR_11>=1))"))||("((sens0.CHOIX_0>=1)&&(compteur7.COMPTEUR_7>=1))"))||("((sens0.CHOIX_0>=1)&&(compteur1.COMPTEUR_1>=1))"))||("((sens1.CHOIX_1>=1)&&(compteur15.COMPTEUR_15>=1))"))||("((sens1.CHOIX_1>=1)&&(compteur11.COMPTEUR_11>=1))"))||("((sens1.CHOIX_1>=1)&&(compteur3.COMPTEUR_3>=1))"))||("((sens1.CHOIX_1>=1)&&(compteur7.COMPTEUR_7>=1))"))||("((sens1.CHOIX_1>=1)&&(compteur31.COMPTEUR_31>=1))"))||("((sens0.CHOIX_0>=1)&&(compteur46.COMPTEUR_46>=1))"))||("((sens1.CHOIX_1>=1)&&(compteur27.COMPTEUR_27>=1))"))||("((sens0.CHOIX_0>=1)&&(compteur42.COMPTEUR_42>=1))"))||("((sens1.CHOIX_1>=1)&&(compteur23.COMPTEUR_23>=1))"))||("((sens0.CHOIX_0>=1)&&(compteur38.COMPTEUR_38>=1))"))||("((sens1.CHOIX_1>=1)&&(compteur19.COMPTEUR_19>=1))"))||("((sens0.CHOIX_0>=1)&&(compteur34.COMPTEUR_34>=1))"))||("((sens1.CHOIX_1>=1)&&(compteur47.COMPTEUR_47>=1))"))||("((sens0.CHOIX_0>=1)&&(compteur30.COMPTEUR_30>=1))"))||("((sens1.CHOIX_1>=1)&&(compteur43.COMPTEUR_43>=1))"))||("((sens0.CHOIX_0>=1)&&(compteur26.COMPTEUR_26>=1))"))||("((sens1.CHOIX_1>=1)&&(compteur39.COMPTEUR_39>=1))"))||("((sens0.CHOIX_0>=1)&&(compteur22.COMPTEUR_22>=1))"))||("((sens1.CHOIX_1>=1)&&(compteur35.COMPTEUR_35>=1))"))||("((sens0.CHOIX_0>=1)&&(compteur18.COMPTEUR_18>=1))"))||("((sens1.CHOIX_1>=1)&&(compteur2.COMPTEUR_2>=1))"))||("((sens0.CHOIX_0>=1)&&(compteur14.COMPTEUR_14>=1))"))||("((sens0.CHOIX_0>=1)&&(compteur10.COMPTEUR_10>=1))"))||("((sens0.CHOIX_0>=1)&&(compteur6.COMPTEUR_6>=1))"))||("((sens0.CHOIX_0>=1)&&(compteur0.COMPTEUR_0>=1))"))||("((sens1.CHOIX_1>=1)&&(compteur16.COMPTEUR_16>=1))"))||("((sens1.CHOIX_1>=1)&&(compteur12.COMPTEUR_12>=1))"))||("((sens1.CHOIX_1>=1)&&(compteur4.COMPTEUR_4>=1))"))||("((sens1.CHOIX_1>=1)&&(compteur8.COMPTEUR_8>=1))"))||("((sens1.CHOIX_1>=1)&&(compteur32.COMPTEUR_32>=1))"))||("((sens0.CHOIX_0>=1)&&(compteur49.COMPTEUR_49>=1))"))||("((sens1.CHOIX_1>=1)&&(compteur28.COMPTEUR_28>=1))"))||("((sens0.CHOIX_0>=1)&&(compteur45.COMPTEUR_45>=1))"))||("((sens1.CHOIX_1>=1)&&(compteur24.COMPTEUR_24>=1))"))||("((sens0.CHOIX_0>=1)&&(compteur41.COMPTEUR_41>=1))"))||("((sens1.CHOIX_1>=1)&&(compteur20.COMPTEUR_20>=1))"))||("((sens0.CHOIX_0>=1)&&(compteur37.COMPTEUR_37>=1))"))||("((sens1.CHOIX_1>=1)&&(compteur48.COMPTEUR_48>=1))"))||("((sens0.CHOIX_0>=1)&&(compteur33.COMPTEUR_33>=1))"))||("((sens1.CHOIX_1>=1)&&(compteur44.COMPTEUR_44>=1))"))||("((sens0.CHOIX_0>=1)&&(compteur29.COMPTEUR_29>=1))"))||("((sens1.CHOIX_1>=1)&&(compteur40.COMPTEUR_40>=1))"))||("((sens0.CHOIX_0>=1)&&(compteur25.COMPTEUR_25>=1))"))||("((sens1.CHOIX_1>=1)&&(compteur36.COMPTEUR_36>=1))"))||("((sens0.CHOIX_0>=1)&&(compteur21.COMPTEUR_21>=1))"))||("((sens0.CHOIX_0>=1)&&(compteur17.COMPTEUR_17>=1))"))||("((sens0.CHOIX_0>=1)&&(compteur13.COMPTEUR_13>=1))"))||("((sens0.CHOIX_0>=1)&&(compteur9.COMPTEUR_9>=1))"))||("((sens0.CHOIX_0>=1)&&(compteur5.COMPTEUR_5>=1))"))U(X("(SUR_PONT_A.SUR_PONT_A_0>=1)"))))
Formula 0 simplified : !(("((sens0.CHOIX_0>=1)&&(compteur0.COMPTEUR_0>=1))" | "((sens0.CHOIX_0>=1)&&(compteur1.COMPTEUR_1>=1))" | "((sens0.CHOIX_0>=1)&&(compteur2.COMPTEUR_2>=1))" | "((sens0.CHOIX_0>=1)&&(compteur3.COMPTEUR_3>=1))" | "((sens0.CHOIX_0>=1)&&(compteur4.COMPTEUR_4>=1))" | "((sens0.CHOIX_0>=1)&&(compteur5.COMPTEUR_5>=1))" | "((sens0.CHOIX_0>=1)&&(compteur6.COMPTEUR_6>=1))" | "((sens0.CHOIX_0>=1)&&(compteur7.COMPTEUR_7>=1))" | "((sens0.CHOIX_0>=1)&&(compteur8.COMPTEUR_8>=1))" | "((sens0.CHOIX_0>=1)&&(compteur9.COMPTEUR_9>=1))" | "((sens0.CHOIX_0>=1)&&(compteur10.COMPTEUR_10>=1))" | "((sens0.CHOIX_0>=1)&&(compteur11.COMPTEUR_11>=1))" | "((sens0.CHOIX_0>=1)&&(compteur12.COMPTEUR_12>=1))" | "((sens0.CHOIX_0>=1)&&(compteur13.COMPTEUR_13>=1))" | "((sens0.CHOIX_0>=1)&&(compteur14.COMPTEUR_14>=1))" | "((sens0.CHOIX_0>=1)&&(compteur15.COMPTEUR_15>=1))" | "((sens0.CHOIX_0>=1)&&(compteur16.COMPTEUR_16>=1))" | "((sens0.CHOIX_0>=1)&&(compteur17.COMPTEUR_17>=1))" | "((sens0.CHOIX_0>=1)&&(compteur18.COMPTEUR_18>=1))" | "((sens0.CHOIX_0>=1)&&(compteur19.COMPTEUR_19>=1))" | "((sens0.CHOIX_0>=1)&&(compteur20.COMPTEUR_20>=1))" | "((sens0.CHOIX_0>=1)&&(compteur21.COMPTEUR_21>=1))" | "((sens0.CHOIX_0>=1)&&(compteur22.COMPTEUR_22>=1))" | "((sens0.CHOIX_0>=1)&&(compteur23.COMPTEUR_23>=1))" | "((sens0.CHOIX_0>=1)&&(compteur24.COMPTEUR_24>=1))" | "((sens0.CHOIX_0>=1)&&(compteur25.COMPTEUR_25>=1))" | "((sens0.CHOIX_0>=1)&&(compteur26.COMPTEUR_26>=1))" | "((sens0.CHOIX_0>=1)&&(compteur27.COMPTEUR_27>=1))" | "((sens0.CHOIX_0>=1)&&(compteur28.COMPTEUR_28>=1))" | "((sens0.CHOIX_0>=1)&&(compteur29.COMPTEUR_29>=1))" | "((sens0.CHOIX_0>=1)&&(compteur30.COMPTEUR_30>=1))" | "((sens0.CHOIX_0>=1)&&(compteur31.COMPTEUR_31>=1))" | "((sens0.CHOIX_0>=1)&&(compteur32.COMPTEUR_32>=1))" | "((sens0.CHOIX_0>=1)&&(compteur33.COMPTEUR_33>=1))" | "((sens0.CHOIX_0>=1)&&(compteur34.COMPTEUR_34>=1))" | "((sens0.CHOIX_0>=1)&&(compteur35.COMPTEUR_35>=1))" | "((sens0.CHOIX_0>=1)&&(compteur36.COMPTEUR_36>=1))" | "((sens0.CHOIX_0>=1)&&(compteur37.COMPTEUR_37>=1))" | "((sens0.CHOIX_0>=1)&&(compteur38.COMPTEUR_38>=1))" | "((sens0.CHOIX_0>=1)&&(compteur39.COMPTEUR_39>=1))" | "((sens0.CHOIX_0>=1)&&(compteur40.COMPTEUR_40>=1))" | "((sens0.CHOIX_0>=1)&&(compteur41.COMPTEUR_41>=1))" | "((sens0.CHOIX_0>=1)&&(compteur42.COMPTEUR_42>=1))" | "((sens0.CHOIX_0>=1)&&(compteur43.COMPTEUR_43>=1))" | "((sens0.CHOIX_0>=1)&&(compteur44.COMPTEUR_44>=1))" | "((sens0.CHOIX_0>=1)&&(compteur45.COMPTEUR_45>=1))" | "((sens0.CHOIX_0>=1)&&(compteur46.COMPTEUR_46>=1))" | "((sens0.CHOIX_0>=1)&&(compteur47.COMPTEUR_47>=1))" | "((sens0.CHOIX_0>=1)&&(compteur48.COMPTEUR_48>=1))" | "((sens0.CHOIX_0>=1)&&(compteur49.COMPTEUR_49>=1))" | "((sens1.CHOIX_1>=1)&&(compteur0.COMPTEUR_0>=1))" | "((sens1.CHOIX_1>=1)&&(compteur1.COMPTEUR_1>=1))" | "((sens1.CHOIX_1>=1)&&(compteur2.COMPTEUR_2>=1))" | "((sens1.CHOIX_1>=1)&&(compteur3.COMPTEUR_3>=1))" | "((sens1.CHOIX_1>=1)&&(compteur4.COMPTEUR_4>=1))" | "((sens1.CHOIX_1>=1)&&(compteur5.COMPTEUR_5>=1))" | "((sens1.CHOIX_1>=1)&&(compteur6.COMPTEUR_6>=1))" | "((sens1.CHOIX_1>=1)&&(compteur7.COMPTEUR_7>=1))" | "((sens1.CHOIX_1>=1)&&(compteur8.COMPTEUR_8>=1))" | "((sens1.CHOIX_1>=1)&&(compteur9.COMPTEUR_9>=1))" | "((sens1.CHOIX_1>=1)&&(compteur10.COMPTEUR_10>=1))" | "((sens1.CHOIX_1>=1)&&(compteur11.COMPTEUR_11>=1))" | "((sens1.CHOIX_1>=1)&&(compteur12.COMPTEUR_12>=1))" | "((sens1.CHOIX_1>=1)&&(compteur13.COMPTEUR_13>=1))" | "((sens1.CHOIX_1>=1)&&(compteur14.COMPTEUR_14>=1))" | "((sens1.CHOIX_1>=1)&&(compteur15.COMPTEUR_15>=1))" | "((sens1.CHOIX_1>=1)&&(compteur16.COMPTEUR_16>=1))" | "((sens1.CHOIX_1>=1)&&(compteur17.COMPTEUR_17>=1))" | "((sens1.CHOIX_1>=1)&&(compteur18.COMPTEUR_18>=1))" | "((sens1.CHOIX_1>=1)&&(compteur19.COMPTEUR_19>=1))" | "((sens1.CHOIX_1>=1)&&(compteur20.COMPTEUR_20>=1))" | "((sens1.CHOIX_1>=1)&&(compteur21.COMPTEUR_21>=1))" | "((sens1.CHOIX_1>=1)&&(compteur22.COMPTEUR_22>=1))" | "((sens1.CHOIX_1>=1)&&(compteur23.COMPTEUR_23>=1))" | "((sens1.CHOIX_1>=1)&&(compteur24.COMPTEUR_24>=1))" | "((sens1.CHOIX_1>=1)&&(compteur25.COMPTEUR_25>=1))" | "((sens1.CHOIX_1>=1)&&(compteur26.COMPTEUR_26>=1))" | "((sens1.CHOIX_1>=1)&&(compteur27.COMPTEUR_27>=1))" | "((sens1.CHOIX_1>=1)&&(compteur28.COMPTEUR_28>=1))" | "((sens1.CHOIX_1>=1)&&(compteur29.COMPTEUR_29>=1))" | "((sens1.CHOIX_1>=1)&&(compteur30.COMPTEUR_30>=1))" | "((sens1.CHOIX_1>=1)&&(compteur31.COMPTEUR_31>=1))" | "((sens1.CHOIX_1>=1)&&(compteur32.COMPTEUR_32>=1))" | "((sens1.CHOIX_1>=1)&&(compteur33.COMPTEUR_33>=1))" | "((sens1.CHOIX_1>=1)&&(compteur34.COMPTEUR_34>=1))" | "((sens1.CHOIX_1>=1)&&(compteur35.COMPTEUR_35>=1))" | "((sens1.CHOIX_1>=1)&&(compteur36.COMPTEUR_36>=1))" | "((sens1.CHOIX_1>=1)&&(compteur37.COMPTEUR_37>=1))" | "((sens1.CHOIX_1>=1)&&(compteur38.COMPTEUR_38>=1))" | "((sens1.CHOIX_1>=1)&&(compteur39.COMPTEUR_39>=1))" | "((sens1.CHOIX_1>=1)&&(compteur40.COMPTEUR_40>=1))" | "((sens1.CHOIX_1>=1)&&(compteur41.COMPTEUR_41>=1))" | "((sens1.CHOIX_1>=1)&&(compteur42.COMPTEUR_42>=1))" | "((sens1.CHOIX_1>=1)&&(compteur43.COMPTEUR_43>=1))" | "((sens1.CHOIX_1>=1)&&(compteur44.COMPTEUR_44>=1))" | "((sens1.CHOIX_1>=1)&&(compteur45.COMPTEUR_45>=1))" | "((sens1.CHOIX_1>=1)&&(compteur46.COMPTEUR_46>=1))" | "((sens1.CHOIX_1>=1)&&(compteur47.COMPTEUR_47>=1))" | "((sens1.CHOIX_1>=1)&&(compteur48.COMPTEUR_48>=1))" | "((sens1.CHOIX_1>=1)&&(compteur49.COMPTEUR_49>=1))" | X"(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((CAPACITE.CAPACITE_0>=1)&&(voitureB21.NB_ATTENTE_B_21>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB52.NB_ATTENTE_B_52>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB45.NB_ATTENTE_B_45>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB76.NB_ATTENTE_B_76>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB69.NB_ATTENTE_B_69>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB14.NB_ATTENTE_B_14>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB11.NB_ATTENTE_B_11>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB42.NB_ATTENTE_B_42>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB59.NB_ATTENTE_B_59>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB28.NB_ATTENTE_B_28>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB7.NB_ATTENTE_B_7>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB56.NB_ATTENTE_B_56>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB25.NB_ATTENTE_B_25>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB38.NB_ATTENTE_B_38>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB17.NB_ATTENTE_B_17>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB66.NB_ATTENTE_B_66>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB4.NB_ATTENTE_B_4>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB35.NB_ATTENTE_B_35>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB48.NB_ATTENTE_B_48>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB79.NB_ATTENTE_B_79>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB34.NB_ATTENTE_B_34>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB27.NB_ATTENTE_B_27>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB60.NB_ATTENTE_B_60>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB41.NB_ATTENTE_B_41>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB51.NB_ATTENTE_B_51>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB1.NB_ATTENTE_B_1>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB63.NB_ATTENTE_B_63>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB24.NB_ATTENTE_B_24>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB15.NB_ATTENTE_B_15>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB77.NB_ATTENTE_B_77>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB37.NB_ATTENTE_B_37>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB31.NB_ATTENTE_B_31>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB73.NB_ATTENTE_B_73>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB18.NB_ATTENTE_B_18>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB67.NB_ATTENTE_B_67>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB80.NB_ATTENTE_B_80>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB5.NB_ATTENTE_B_5>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB8.NB_ATTENTE_B_8>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB44.NB_ATTENTE_B_44>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB70.NB_ATTENTE_B_70>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB57.NB_ATTENTE_B_57>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB40.NB_ATTENTE_B_40>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB9.NB_ATTENTE_B_9>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB64.NB_ATTENTE_B_64>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB71.NB_ATTENTE_B_71>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB16.NB_ATTENTE_B_16>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB47.NB_ATTENTE_B_47>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB78.NB_ATTENTE_B_78>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB23.NB_ATTENTE_B_23>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB2.NB_ATTENTE_B_2>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB54.NB_ATTENTE_B_54>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB33.NB_ATTENTE_B_33>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB19.NB_ATTENTE_B_19>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB50.NB_ATTENTE_B_50>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB6.NB_ATTENTE_B_6>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB43.NB_ATTENTE_B_43>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB12.NB_ATTENTE_B_12>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB61.NB_ATTENTE_B_61>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB74.NB_ATTENTE_B_74>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB53.NB_ATTENTE_B_53>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB30.NB_ATTENTE_B_30>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB46.NB_ATTENTE_B_46>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB3.NB_ATTENTE_B_3>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB22.NB_ATTENTE_B_22>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB65.NB_ATTENTE_B_65>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB58.NB_ATTENTE_B_58>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB20.NB_ATTENTE_B_20>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB72.NB_ATTENTE_B_72>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB29.NB_ATTENTE_B_29>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB32.NB_ATTENTE_B_32>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB10.NB_ATTENTE_B_10>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB68.NB_ATTENTE_B_68>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB49.NB_ATTENTE_B_49>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB55.NB_ATTENTE_B_55>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB36.NB_ATTENTE_B_36>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB62.NB_ATTENTE_B_62>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB75.NB_ATTENTE_B_75>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB13.NB_ATTENTE_B_13>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB39.NB_ATTENTE_B_39>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))||((((CAPACITE.CAPACITE_0>=1)&&(voitureB26.NB_ATTENTE_B_26>=1))&&(ATTENTE_B.ATTENTE_B_0>=1))&&(sens1.CONTROLEUR_1>=1)))" | X"(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((sens0.CHOIX_0>=1)&&(compteur3.COMPTEUR_3>=1))||((sens1.CHOIX_1>=1)&&(compteur17.COMPTEUR_17>=1)))||((sens1.CHOIX_1>=1)&&(compteur13.COMPTEUR_13>=1)))||((sens1.CHOIX_1>=1)&&(compteur5.COMPTEUR_5>=1)))||((sens1.CHOIX_1>=1)&&(compteur9.COMPTEUR_9>=1)))||((sens0.CHOIX_0>=1)&&(compteur48.COMPTEUR_48>=1)))||((sens1.CHOIX_1>=1)&&(compteur33.COMPTEUR_33>=1)))||((sens0.CHOIX_0>=1)&&(compteur44.COMPTEUR_44>=1)))||((sens1.CHOIX_1>=1)&&(compteur29.COMPTEUR_29>=1)))||((sens0.CHOIX_0>=1)&&(compteur40.COMPTEUR_40>=1)))||((sens1.CHOIX_1>=1)&&(compteur25.COMPTEUR_25>=1)))||((sens0.CHOIX_0>=1)&&(compteur36.COMPTEUR_36>=1)))||((sens1.CHOIX_1>=1)&&(compteur21.COMPTEUR_21>=1)))||((sens0.CHOIX_0>=1)&&(compteur32.COMPTEUR_32>=1)))||((sens1.CHOIX_1>=1)&&(compteur49.COMPTEUR_49>=1)))||((sens0.CHOIX_0>=1)&&(compteur28.COMPTEUR_28>=1)))||((sens1.CHOIX_1>=1)&&(compteur45.COMPTEUR_45>=1)))||((sens0.CHOIX_0>=1)&&(compteur24.COMPTEUR_24>=1)))||((sens1.CHOIX_1>=1)&&(compteur41.COMPTEUR_41>=1)))||((sens0.CHOIX_0>=1)&&(compteur20.COMPTEUR_20>=1)))||((sens1.CHOIX_1>=1)&&(compteur37.COMPTEUR_37>=1)))||((sens0.CHOIX_0>=1)&&(compteur16.COMPTEUR_16>=1)))||((sens0.CHOIX_0>=1)&&(compteur12.COMPTEUR_12>=1)))||((sens1.CHOIX_1>=1)&&(compteur0.COMPTEUR_0>=1)))||((sens0.CHOIX_0>=1)&&(compteur8.COMPTEUR_8>=1)))||((sens0.CHOIX_0>=1)&&(compteur4.COMPTEUR_4>=1)))||((sens0.CHOIX_0>=1)&&(compteur2.COMPTEUR_2>=1)))||((sens1.CHOIX_1>=1)&&(compteur18.COMPTEUR_18>=1)))||((sens1.CHOIX_1>=1)&&(compteur14.COMPTEUR_14>=1)))||((sens1.CHOIX_1>=1)&&(compteur6.COMPTEUR_6>=1)))||((sens1.CHOIX_1>=1)&&(compteur10.COMPTEUR_10>=1)))||((sens1.CHOIX_1>=1)&&(compteur34.COMPTEUR_34>=1)))||((sens0.CHOIX_0>=1)&&(compteur47.COMPTEUR_47>=1)))||((sens1.CHOIX_1>=1)&&(compteur30.COMPTEUR_30>=1)))||((sens0.CHOIX_0>=1)&&(compteur43.COMPTEUR_43>=1)))||((sens1.CHOIX_1>=1)&&(compteur26.COMPTEUR_26>=1)))||((sens0.CHOIX_0>=1)&&(compteur39.COMPTEUR_39>=1)))||((sens1.CHOIX_1>=1)&&(compteur22.COMPTEUR_22>=1)))||((sens0.CHOIX_0>=1)&&(compteur35.COMPTEUR_35>=1)))||((sens0.CHOIX_0>=1)&&(compteur31.COMPTEUR_31>=1)))||((sens1.CHOIX_1>=1)&&(compteur46.COMPTEUR_46>=1)))||((sens0.CHOIX_0>=1)&&(compteur27.COMPTEUR_27>=1)))||((sens1.CHOIX_1>=1)&&(compteur42.COMPTEUR_42>=1)))||((sens0.CHOIX_0>=1)&&(compteur23.COMPTEUR_23>=1)))||((sens1.CHOIX_1>=1)&&(compteur38.COMPTEUR_38>=1)))||((sens0.CHOIX_0>=1)&&(compteur19.COMPTEUR_19>=1)))||((sens1.CHOIX_1>=1)&&(compteur1.COMPTEUR_1>=1)))||((sens0.CHOIX_0>=1)&&(compteur15.COMPTEUR_15>=1)))||((sens0.CHOIX_0>=1)&&(compteur11.COMPTEUR_11>=1)))||((sens0.CHOIX_0>=1)&&(compteur7.COMPTEUR_7>=1)))||((sens0.CHOIX_0>=1)&&(compteur1.COMPTEUR_1>=1)))||((sens1.CHOIX_1>=1)&&(compteur15.COMPTEUR_15>=1)))||((sens1.CHOIX_1>=1)&&(compteur11.COMPTEUR_11>=1)))||((sens1.CHOIX_1>=1)&&(compteur3.COMPTEUR_3>=1)))||((sens1.CHOIX_1>=1)&&(compteur7.COMPTEUR_7>=1)))||((sens1.CHOIX_1>=1)&&(compteur31.COMPTEUR_31>=1)))||((sens0.CHOIX_0>=1)&&(compteur46.COMPTEUR_46>=1)))||((sens1.CHOIX_1>=1)&&(compteur27.COMPTEUR_27>=1)))||((sens0.CHOIX_0>=1)&&(compteur42.COMPTEUR_42>=1)))||((sens1.CHOIX_1>=1)&&(compteur23.COMPTEUR_23>=1)))||((sens0.CHOIX_0>=1)&&(compteur38.COMPTEUR_38>=1)))||((sens1.CHOIX_1>=1)&&(compteur19.COMPTEUR_19>=1)))||((sens0.CHOIX_0>=1)&&(compteur34.COMPTEUR_34>=1)))||((sens1.CHOIX_1>=1)&&(compteur47.COMPTEUR_47>=1)))||((sens0.CHOIX_0>=1)&&(compteur30.COMPTEUR_30>=1)))||((sens1.CHOIX_1>=1)&&(compteur43.COMPTEUR_43>=1)))||((sens0.CHOIX_0>=1)&&(compteur26.COMPTEUR_26>=1)))||((sens1.CHOIX_1>=1)&&(compteur39.COMPTEUR_39>=1)))||((sens0.CHOIX_0>=1)&&(compteur22.COMPTEUR_22>=1)))||((sens1.CHOIX_1>=1)&&(compteur35.COMPTEUR_35>=1)))||((sens0.CHOIX_0>=1)&&(compteur18.COMPTEUR_18>=1)))||((sens1.CHOIX_1>=1)&&(compteur2.COMPTEUR_2>=1)))||((sens0.CHOIX_0>=1)&&(compteur14.COMPTEUR_14>=1)))||((sens0.CHOIX_0>=1)&&(compteur10.COMPTEUR_10>=1)))||((sens0.CHOIX_0>=1)&&(compteur6.COMPTEUR_6>=1)))||((sens0.CHOIX_0>=1)&&(compteur0.COMPTEUR_0>=1)))||((sens1.CHOIX_1>=1)&&(compteur16.COMPTEUR_16>=1)))||((sens1.CHOIX_1>=1)&&(compteur12.COMPTEUR_12>=1)))||((sens1.CHOIX_1>=1)&&(compteur4.COMPTEUR_4>=1)))||((sens1.CHOIX_1>=1)&&(compteur8.COMPTEUR_8>=1)))||((sens1.CHOIX_1>=1)&&(compteur32.COMPTEUR_32>=1)))||((sens0.CHOIX_0>=1)&&(compteur49.COMPTEUR_49>=1)))||((sens1.CHOIX_1>=1)&&(compteur28.COMPTEUR_28>=1)))||((sens0.CHOIX_0>=1)&&(compteur45.COMPTEUR_45>=1)))||((sens1.CHOIX_1>=1)&&(compteur24.COMPTEUR_24>=1)))||((sens0.CHOIX_0>=1)&&(compteur41.COMPTEUR_41>=1)))||((sens1.CHOIX_1>=1)&&(compteur20.COMPTEUR_20>=1)))||((sens0.CHOIX_0>=1)&&(compteur37.COMPTEUR_37>=1)))||((sens1.CHOIX_1>=1)&&(compteur48.COMPTEUR_48>=1)))||((sens0.CHOIX_0>=1)&&(compteur33.COMPTEUR_33>=1)))||((sens1.CHOIX_1>=1)&&(compteur44.COMPTEUR_44>=1)))||((sens0.CHOIX_0>=1)&&(compteur29.COMPTEUR_29>=1)))||((sens1.CHOIX_1>=1)&&(compteur40.COMPTEUR_40>=1)))||((sens0.CHOIX_0>=1)&&(compteur25.COMPTEUR_25>=1)))||((sens1.CHOIX_1>=1)&&(compteur36.COMPTEUR_36>=1)))||((sens0.CHOIX_0>=1)&&(compteur21.COMPTEUR_21>=1)))||((sens0.CHOIX_0>=1)&&(compteur17.COMPTEUR_17>=1)))||((sens0.CHOIX_0>=1)&&(compteur13.COMPTEUR_13>=1)))||((sens0.CHOIX_0>=1)&&(compteur9.COMPTEUR_9>=1)))||((sens0.CHOIX_0>=1)&&(compteur5.COMPTEUR_5>=1)))") U X"(SUR_PONT_A.SUR_PONT_A_0>=1)")
terminate called after throwing an instance of 'std::bad_alloc'
what(): std::bad_alloc
ITS-tools command line returned an error code 134
[2020-05-11 19:19:09] [INFO ] Flatten gal took : 837 ms
[2020-05-11 19:19:10] [INFO ] Input system was already deterministic with 8588 transitions.
[2020-05-11 19:19:10] [INFO ] Transformed 228 places.
[2020-05-11 19:19:10] [INFO ] Transformed 8588 transitions.
Running greatSPN : CommandLine [args=[/home/mcc/BenchKit//greatspn//bin/pinvar, /home/mcc/execution/gspn], workingDir=/home/mcc/execution]
Run of greatSPN captured in /home/mcc/execution/outPut.txt
Running greatSPN : CommandLine [args=[/home/mcc/BenchKit//greatspn//bin/RGMEDD2, /home/mcc/execution/gspn, -META, -varord-only], workingDir=/home/mcc/execution]
Run of greatSPN captured in /home/mcc/execution/outPut.txt
Using order generated by GreatSPN with heuristic : META
[2020-05-11 19:19:11] [INFO ] Time to serialize gal into /home/mcc/execution/LTLFireability.pnml.gal : 57 ms
[2020-05-11 19:19:11] [INFO ] Time to serialize properties into /home/mcc/execution/LTLFireability.ltl : 181 ms
Invoking ITS tools like this :CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202005100927/bin/its-ltl-linux64, --gc-threshold, 2000000, -i, /home/mcc/execution/LTLFireability.pnml.gal, -t, CGAL, -LTL, /home/mcc/execution/LTLFireability.ltl, -c, -stutter-deadlock, --load-order, /home/mcc/execution/model.ord, --gen-order, FOLLOW], workingDir=/home/mcc/execution]

its-ltl command run as :

/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.202005100927/bin/its-ltl-linux64 --gc-threshold 2000000 -i /home/mcc/execution/LTLFireability.pnml.gal -t CGAL -LTL /home/mcc/execution/LTLFireability.ltl -c -stutter-deadlock --load-order /home/mcc/execution/model.ord --gen-order FOLLOW
Read 14 LTL properties
Successfully loaded order from file /home/mcc/execution/model.ord
ITS-tools command line returned an error code 134
Checking formula 0 : !((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((X("(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((CAPACITE_0>=1)&&(NB_ATTENTE_B_21>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_52>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_45>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_76>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_69>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_14>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_11>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_42>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_59>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_28>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_7>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_56>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_25>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_38>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_17>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_66>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_4>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_35>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_48>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_79>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_34>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_27>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_60>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_41>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_51>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_1>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_63>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_24>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_15>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_77>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_37>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_31>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_73>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_18>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_67>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_80>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_5>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_8>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_44>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_70>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_57>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_40>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_9>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_64>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_71>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_16>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_47>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_78>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_23>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_2>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_54>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_33>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_19>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_50>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_6>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_43>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_12>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_61>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_74>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_53>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_30>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_46>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_3>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_22>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_65>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_58>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_20>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_72>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_29>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_32>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_10>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_68>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_49>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_55>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_36>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_62>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_75>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_13>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_39>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_26>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))"))||(X("(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((CHOIX_0>=1)&&(COMPTEUR_3>=1))||((CHOIX_1>=1)&&(COMPTEUR_17>=1)))||((CHOIX_1>=1)&&(COMPTEUR_13>=1)))||((CHOIX_1>=1)&&(COMPTEUR_5>=1)))||((CHOIX_1>=1)&&(COMPTEUR_9>=1)))||((CHOIX_0>=1)&&(COMPTEUR_48>=1)))||((CHOIX_1>=1)&&(COMPTEUR_33>=1)))||((CHOIX_0>=1)&&(COMPTEUR_44>=1)))||((CHOIX_1>=1)&&(COMPTEUR_29>=1)))||((CHOIX_0>=1)&&(COMPTEUR_40>=1)))||((CHOIX_1>=1)&&(COMPTEUR_25>=1)))||((CHOIX_0>=1)&&(COMPTEUR_36>=1)))||((CHOIX_1>=1)&&(COMPTEUR_21>=1)))||((CHOIX_0>=1)&&(COMPTEUR_32>=1)))||((CHOIX_1>=1)&&(COMPTEUR_49>=1)))||((CHOIX_0>=1)&&(COMPTEUR_28>=1)))||((CHOIX_1>=1)&&(COMPTEUR_45>=1)))||((CHOIX_0>=1)&&(COMPTEUR_24>=1)))||((CHOIX_1>=1)&&(COMPTEUR_41>=1)))||((CHOIX_0>=1)&&(COMPTEUR_20>=1)))||((CHOIX_1>=1)&&(COMPTEUR_37>=1)))||((CHOIX_0>=1)&&(COMPTEUR_16>=1)))||((CHOIX_0>=1)&&(COMPTEUR_12>=1)))||((CHOIX_1>=1)&&(COMPTEUR_0>=1)))||((CHOIX_0>=1)&&(COMPTEUR_8>=1)))||((CHOIX_0>=1)&&(COMPTEUR_4>=1)))||((CHOIX_0>=1)&&(COMPTEUR_2>=1)))||((CHOIX_1>=1)&&(COMPTEUR_18>=1)))||((CHOIX_1>=1)&&(COMPTEUR_14>=1)))||((CHOIX_1>=1)&&(COMPTEUR_6>=1)))||((CHOIX_1>=1)&&(COMPTEUR_10>=1)))||((CHOIX_1>=1)&&(COMPTEUR_34>=1)))||((CHOIX_0>=1)&&(COMPTEUR_47>=1)))||((CHOIX_1>=1)&&(COMPTEUR_30>=1)))||((CHOIX_0>=1)&&(COMPTEUR_43>=1)))||((CHOIX_1>=1)&&(COMPTEUR_26>=1)))||((CHOIX_0>=1)&&(COMPTEUR_39>=1)))||((CHOIX_1>=1)&&(COMPTEUR_22>=1)))||((CHOIX_0>=1)&&(COMPTEUR_35>=1)))||((CHOIX_0>=1)&&(COMPTEUR_31>=1)))||((CHOIX_1>=1)&&(COMPTEUR_46>=1)))||((CHOIX_0>=1)&&(COMPTEUR_27>=1)))||((CHOIX_1>=1)&&(COMPTEUR_42>=1)))||((CHOIX_0>=1)&&(COMPTEUR_23>=1)))||((CHOIX_1>=1)&&(COMPTEUR_38>=1)))||((CHOIX_0>=1)&&(COMPTEUR_19>=1)))||((CHOIX_1>=1)&&(COMPTEUR_1>=1)))||((CHOIX_0>=1)&&(COMPTEUR_15>=1)))||((CHOIX_0>=1)&&(COMPTEUR_11>=1)))||((CHOIX_0>=1)&&(COMPTEUR_7>=1)))||((CHOIX_0>=1)&&(COMPTEUR_1>=1)))||((CHOIX_1>=1)&&(COMPTEUR_15>=1)))||((CHOIX_1>=1)&&(COMPTEUR_11>=1)))||((CHOIX_1>=1)&&(COMPTEUR_3>=1)))||((CHOIX_1>=1)&&(COMPTEUR_7>=1)))||((CHOIX_1>=1)&&(COMPTEUR_31>=1)))||((CHOIX_0>=1)&&(COMPTEUR_46>=1)))||((CHOIX_1>=1)&&(COMPTEUR_27>=1)))||((CHOIX_0>=1)&&(COMPTEUR_42>=1)))||((CHOIX_1>=1)&&(COMPTEUR_23>=1)))||((CHOIX_0>=1)&&(COMPTEUR_38>=1)))||((CHOIX_1>=1)&&(COMPTEUR_19>=1)))||((CHOIX_0>=1)&&(COMPTEUR_34>=1)))||((CHOIX_1>=1)&&(COMPTEUR_47>=1)))||((CHOIX_0>=1)&&(COMPTEUR_30>=1)))||((CHOIX_1>=1)&&(COMPTEUR_43>=1)))||((CHOIX_0>=1)&&(COMPTEUR_26>=1)))||((CHOIX_1>=1)&&(COMPTEUR_39>=1)))||((CHOIX_0>=1)&&(COMPTEUR_22>=1)))||((CHOIX_1>=1)&&(COMPTEUR_35>=1)))||((CHOIX_0>=1)&&(COMPTEUR_18>=1)))||((CHOIX_1>=1)&&(COMPTEUR_2>=1)))||((CHOIX_0>=1)&&(COMPTEUR_14>=1)))||((CHOIX_0>=1)&&(COMPTEUR_10>=1)))||((CHOIX_0>=1)&&(COMPTEUR_6>=1)))||((CHOIX_0>=1)&&(COMPTEUR_0>=1)))||((CHOIX_1>=1)&&(COMPTEUR_16>=1)))||((CHOIX_1>=1)&&(COMPTEUR_12>=1)))||((CHOIX_1>=1)&&(COMPTEUR_4>=1)))||((CHOIX_1>=1)&&(COMPTEUR_8>=1)))||((CHOIX_1>=1)&&(COMPTEUR_32>=1)))||((CHOIX_0>=1)&&(COMPTEUR_49>=1)))||((CHOIX_1>=1)&&(COMPTEUR_28>=1)))||((CHOIX_0>=1)&&(COMPTEUR_45>=1)))||((CHOIX_1>=1)&&(COMPTEUR_24>=1)))||((CHOIX_0>=1)&&(COMPTEUR_41>=1)))||((CHOIX_1>=1)&&(COMPTEUR_20>=1)))||((CHOIX_0>=1)&&(COMPTEUR_37>=1)))||((CHOIX_1>=1)&&(COMPTEUR_48>=1)))||((CHOIX_0>=1)&&(COMPTEUR_33>=1)))||((CHOIX_1>=1)&&(COMPTEUR_44>=1)))||((CHOIX_0>=1)&&(COMPTEUR_29>=1)))||((CHOIX_1>=1)&&(COMPTEUR_40>=1)))||((CHOIX_0>=1)&&(COMPTEUR_25>=1)))||((CHOIX_1>=1)&&(COMPTEUR_36>=1)))||((CHOIX_0>=1)&&(COMPTEUR_21>=1)))||((CHOIX_0>=1)&&(COMPTEUR_17>=1)))||((CHOIX_0>=1)&&(COMPTEUR_13>=1)))||((CHOIX_0>=1)&&(COMPTEUR_9>=1)))||((CHOIX_0>=1)&&(COMPTEUR_5>=1)))")))||("((CHOIX_0>=1)&&(COMPTEUR_3>=1))"))||("((CHOIX_1>=1)&&(COMPTEUR_17>=1))"))||("((CHOIX_1>=1)&&(COMPTEUR_13>=1))"))||("((CHOIX_1>=1)&&(COMPTEUR_5>=1))"))||("((CHOIX_1>=1)&&(COMPTEUR_9>=1))"))||("((CHOIX_0>=1)&&(COMPTEUR_48>=1))"))||("((CHOIX_1>=1)&&(COMPTEUR_33>=1))"))||("((CHOIX_0>=1)&&(COMPTEUR_44>=1))"))||("((CHOIX_1>=1)&&(COMPTEUR_29>=1))"))||("((CHOIX_0>=1)&&(COMPTEUR_40>=1))"))||("((CHOIX_1>=1)&&(COMPTEUR_25>=1))"))||("((CHOIX_0>=1)&&(COMPTEUR_36>=1))"))||("((CHOIX_1>=1)&&(COMPTEUR_21>=1))"))||("((CHOIX_0>=1)&&(COMPTEUR_32>=1))"))||("((CHOIX_1>=1)&&(COMPTEUR_49>=1))"))||("((CHOIX_0>=1)&&(COMPTEUR_28>=1))"))||("((CHOIX_1>=1)&&(COMPTEUR_45>=1))"))||("((CHOIX_0>=1)&&(COMPTEUR_24>=1))"))||("((CHOIX_1>=1)&&(COMPTEUR_41>=1))"))||("((CHOIX_0>=1)&&(COMPTEUR_20>=1))"))||("((CHOIX_1>=1)&&(COMPTEUR_37>=1))"))||("((CHOIX_0>=1)&&(COMPTEUR_16>=1))"))||("((CHOIX_0>=1)&&(COMPTEUR_12>=1))"))||("((CHOIX_1>=1)&&(COMPTEUR_0>=1))"))||("((CHOIX_0>=1)&&(COMPTEUR_8>=1))"))||("((CHOIX_0>=1)&&(COMPTEUR_4>=1))"))||("((CHOIX_0>=1)&&(COMPTEUR_2>=1))"))||("((CHOIX_1>=1)&&(COMPTEUR_18>=1))"))||("((CHOIX_1>=1)&&(COMPTEUR_14>=1))"))||("((CHOIX_1>=1)&&(COMPTEUR_6>=1))"))||("((CHOIX_1>=1)&&(COMPTEUR_10>=1))"))||("((CHOIX_1>=1)&&(COMPTEUR_34>=1))"))||("((CHOIX_0>=1)&&(COMPTEUR_47>=1))"))||("((CHOIX_1>=1)&&(COMPTEUR_30>=1))"))||("((CHOIX_0>=1)&&(COMPTEUR_43>=1))"))||("((CHOIX_1>=1)&&(COMPTEUR_26>=1))"))||("((CHOIX_0>=1)&&(COMPTEUR_39>=1))"))||("((CHOIX_1>=1)&&(COMPTEUR_22>=1))"))||("((CHOIX_0>=1)&&(COMPTEUR_35>=1))"))||("((CHOIX_0>=1)&&(COMPTEUR_31>=1))"))||("((CHOIX_1>=1)&&(COMPTEUR_46>=1))"))||("((CHOIX_0>=1)&&(COMPTEUR_27>=1))"))||("((CHOIX_1>=1)&&(COMPTEUR_42>=1))"))||("((CHOIX_0>=1)&&(COMPTEUR_23>=1))"))||("((CHOIX_1>=1)&&(COMPTEUR_38>=1))"))||("((CHOIX_0>=1)&&(COMPTEUR_19>=1))"))||("((CHOIX_1>=1)&&(COMPTEUR_1>=1))"))||("((CHOIX_0>=1)&&(COMPTEUR_15>=1))"))||("((CHOIX_0>=1)&&(COMPTEUR_11>=1))"))||("((CHOIX_0>=1)&&(COMPTEUR_7>=1))"))||("((CHOIX_0>=1)&&(COMPTEUR_1>=1))"))||("((CHOIX_1>=1)&&(COMPTEUR_15>=1))"))||("((CHOIX_1>=1)&&(COMPTEUR_11>=1))"))||("((CHOIX_1>=1)&&(COMPTEUR_3>=1))"))||("((CHOIX_1>=1)&&(COMPTEUR_7>=1))"))||("((CHOIX_1>=1)&&(COMPTEUR_31>=1))"))||("((CHOIX_0>=1)&&(COMPTEUR_46>=1))"))||("((CHOIX_1>=1)&&(COMPTEUR_27>=1))"))||("((CHOIX_0>=1)&&(COMPTEUR_42>=1))"))||("((CHOIX_1>=1)&&(COMPTEUR_23>=1))"))||("((CHOIX_0>=1)&&(COMPTEUR_38>=1))"))||("((CHOIX_1>=1)&&(COMPTEUR_19>=1))"))||("((CHOIX_0>=1)&&(COMPTEUR_34>=1))"))||("((CHOIX_1>=1)&&(COMPTEUR_47>=1))"))||("((CHOIX_0>=1)&&(COMPTEUR_30>=1))"))||("((CHOIX_1>=1)&&(COMPTEUR_43>=1))"))||("((CHOIX_0>=1)&&(COMPTEUR_26>=1))"))||("((CHOIX_1>=1)&&(COMPTEUR_39>=1))"))||("((CHOIX_0>=1)&&(COMPTEUR_22>=1))"))||("((CHOIX_1>=1)&&(COMPTEUR_35>=1))"))||("((CHOIX_0>=1)&&(COMPTEUR_18>=1))"))||("((CHOIX_1>=1)&&(COMPTEUR_2>=1))"))||("((CHOIX_0>=1)&&(COMPTEUR_14>=1))"))||("((CHOIX_0>=1)&&(COMPTEUR_10>=1))"))||("((CHOIX_0>=1)&&(COMPTEUR_6>=1))"))||("((CHOIX_0>=1)&&(COMPTEUR_0>=1))"))||("((CHOIX_1>=1)&&(COMPTEUR_16>=1))"))||("((CHOIX_1>=1)&&(COMPTEUR_12>=1))"))||("((CHOIX_1>=1)&&(COMPTEUR_4>=1))"))||("((CHOIX_1>=1)&&(COMPTEUR_8>=1))"))||("((CHOIX_1>=1)&&(COMPTEUR_32>=1))"))||("((CHOIX_0>=1)&&(COMPTEUR_49>=1))"))||("((CHOIX_1>=1)&&(COMPTEUR_28>=1))"))||("((CHOIX_0>=1)&&(COMPTEUR_45>=1))"))||("((CHOIX_1>=1)&&(COMPTEUR_24>=1))"))||("((CHOIX_0>=1)&&(COMPTEUR_41>=1))"))||("((CHOIX_1>=1)&&(COMPTEUR_20>=1))"))||("((CHOIX_0>=1)&&(COMPTEUR_37>=1))"))||("((CHOIX_1>=1)&&(COMPTEUR_48>=1))"))||("((CHOIX_0>=1)&&(COMPTEUR_33>=1))"))||("((CHOIX_1>=1)&&(COMPTEUR_44>=1))"))||("((CHOIX_0>=1)&&(COMPTEUR_29>=1))"))||("((CHOIX_1>=1)&&(COMPTEUR_40>=1))"))||("((CHOIX_0>=1)&&(COMPTEUR_25>=1))"))||("((CHOIX_1>=1)&&(COMPTEUR_36>=1))"))||("((CHOIX_0>=1)&&(COMPTEUR_21>=1))"))||("((CHOIX_0>=1)&&(COMPTEUR_17>=1))"))||("((CHOIX_0>=1)&&(COMPTEUR_13>=1))"))||("((CHOIX_0>=1)&&(COMPTEUR_9>=1))"))||("((CHOIX_0>=1)&&(COMPTEUR_5>=1))"))U(X("(SUR_PONT_A_0>=1)"))))
Formula 0 simplified : !(("((CHOIX_0>=1)&&(COMPTEUR_0>=1))" | "((CHOIX_0>=1)&&(COMPTEUR_1>=1))" | "((CHOIX_0>=1)&&(COMPTEUR_2>=1))" | "((CHOIX_0>=1)&&(COMPTEUR_3>=1))" | "((CHOIX_0>=1)&&(COMPTEUR_4>=1))" | "((CHOIX_0>=1)&&(COMPTEUR_5>=1))" | "((CHOIX_0>=1)&&(COMPTEUR_6>=1))" | "((CHOIX_0>=1)&&(COMPTEUR_7>=1))" | "((CHOIX_0>=1)&&(COMPTEUR_8>=1))" | "((CHOIX_0>=1)&&(COMPTEUR_9>=1))" | "((CHOIX_0>=1)&&(COMPTEUR_10>=1))" | "((CHOIX_0>=1)&&(COMPTEUR_11>=1))" | "((CHOIX_0>=1)&&(COMPTEUR_12>=1))" | "((CHOIX_0>=1)&&(COMPTEUR_13>=1))" | "((CHOIX_0>=1)&&(COMPTEUR_14>=1))" | "((CHOIX_0>=1)&&(COMPTEUR_15>=1))" | "((CHOIX_0>=1)&&(COMPTEUR_16>=1))" | "((CHOIX_0>=1)&&(COMPTEUR_17>=1))" | "((CHOIX_0>=1)&&(COMPTEUR_18>=1))" | "((CHOIX_0>=1)&&(COMPTEUR_19>=1))" | "((CHOIX_0>=1)&&(COMPTEUR_20>=1))" | "((CHOIX_0>=1)&&(COMPTEUR_21>=1))" | "((CHOIX_0>=1)&&(COMPTEUR_22>=1))" | "((CHOIX_0>=1)&&(COMPTEUR_23>=1))" | "((CHOIX_0>=1)&&(COMPTEUR_24>=1))" | "((CHOIX_0>=1)&&(COMPTEUR_25>=1))" | "((CHOIX_0>=1)&&(COMPTEUR_26>=1))" | "((CHOIX_0>=1)&&(COMPTEUR_27>=1))" | "((CHOIX_0>=1)&&(COMPTEUR_28>=1))" | "((CHOIX_0>=1)&&(COMPTEUR_29>=1))" | "((CHOIX_0>=1)&&(COMPTEUR_30>=1))" | "((CHOIX_0>=1)&&(COMPTEUR_31>=1))" | "((CHOIX_0>=1)&&(COMPTEUR_32>=1))" | "((CHOIX_0>=1)&&(COMPTEUR_33>=1))" | "((CHOIX_0>=1)&&(COMPTEUR_34>=1))" | "((CHOIX_0>=1)&&(COMPTEUR_35>=1))" | "((CHOIX_0>=1)&&(COMPTEUR_36>=1))" | "((CHOIX_0>=1)&&(COMPTEUR_37>=1))" | "((CHOIX_0>=1)&&(COMPTEUR_38>=1))" | "((CHOIX_0>=1)&&(COMPTEUR_39>=1))" | "((CHOIX_0>=1)&&(COMPTEUR_40>=1))" | "((CHOIX_0>=1)&&(COMPTEUR_41>=1))" | "((CHOIX_0>=1)&&(COMPTEUR_42>=1))" | "((CHOIX_0>=1)&&(COMPTEUR_43>=1))" | "((CHOIX_0>=1)&&(COMPTEUR_44>=1))" | "((CHOIX_0>=1)&&(COMPTEUR_45>=1))" | "((CHOIX_0>=1)&&(COMPTEUR_46>=1))" | "((CHOIX_0>=1)&&(COMPTEUR_47>=1))" | "((CHOIX_0>=1)&&(COMPTEUR_48>=1))" | "((CHOIX_0>=1)&&(COMPTEUR_49>=1))" | "((CHOIX_1>=1)&&(COMPTEUR_0>=1))" | "((CHOIX_1>=1)&&(COMPTEUR_1>=1))" | "((CHOIX_1>=1)&&(COMPTEUR_2>=1))" | "((CHOIX_1>=1)&&(COMPTEUR_3>=1))" | "((CHOIX_1>=1)&&(COMPTEUR_4>=1))" | "((CHOIX_1>=1)&&(COMPTEUR_5>=1))" | "((CHOIX_1>=1)&&(COMPTEUR_6>=1))" | "((CHOIX_1>=1)&&(COMPTEUR_7>=1))" | "((CHOIX_1>=1)&&(COMPTEUR_8>=1))" | "((CHOIX_1>=1)&&(COMPTEUR_9>=1))" | "((CHOIX_1>=1)&&(COMPTEUR_10>=1))" | "((CHOIX_1>=1)&&(COMPTEUR_11>=1))" | "((CHOIX_1>=1)&&(COMPTEUR_12>=1))" | "((CHOIX_1>=1)&&(COMPTEUR_13>=1))" | "((CHOIX_1>=1)&&(COMPTEUR_14>=1))" | "((CHOIX_1>=1)&&(COMPTEUR_15>=1))" | "((CHOIX_1>=1)&&(COMPTEUR_16>=1))" | "((CHOIX_1>=1)&&(COMPTEUR_17>=1))" | "((CHOIX_1>=1)&&(COMPTEUR_18>=1))" | "((CHOIX_1>=1)&&(COMPTEUR_19>=1))" | "((CHOIX_1>=1)&&(COMPTEUR_20>=1))" | "((CHOIX_1>=1)&&(COMPTEUR_21>=1))" | "((CHOIX_1>=1)&&(COMPTEUR_22>=1))" | "((CHOIX_1>=1)&&(COMPTEUR_23>=1))" | "((CHOIX_1>=1)&&(COMPTEUR_24>=1))" | "((CHOIX_1>=1)&&(COMPTEUR_25>=1))" | "((CHOIX_1>=1)&&(COMPTEUR_26>=1))" | "((CHOIX_1>=1)&&(COMPTEUR_27>=1))" | "((CHOIX_1>=1)&&(COMPTEUR_28>=1))" | "((CHOIX_1>=1)&&(COMPTEUR_29>=1))" | "((CHOIX_1>=1)&&(COMPTEUR_30>=1))" | "((CHOIX_1>=1)&&(COMPTEUR_31>=1))" | "((CHOIX_1>=1)&&(COMPTEUR_32>=1))" | "((CHOIX_1>=1)&&(COMPTEUR_33>=1))" | "((CHOIX_1>=1)&&(COMPTEUR_34>=1))" | "((CHOIX_1>=1)&&(COMPTEUR_35>=1))" | "((CHOIX_1>=1)&&(COMPTEUR_36>=1))" | "((CHOIX_1>=1)&&(COMPTEUR_37>=1))" | "((CHOIX_1>=1)&&(COMPTEUR_38>=1))" | "((CHOIX_1>=1)&&(COMPTEUR_39>=1))" | "((CHOIX_1>=1)&&(COMPTEUR_40>=1))" | "((CHOIX_1>=1)&&(COMPTEUR_41>=1))" | "((CHOIX_1>=1)&&(COMPTEUR_42>=1))" | "((CHOIX_1>=1)&&(COMPTEUR_43>=1))" | "((CHOIX_1>=1)&&(COMPTEUR_44>=1))" | "((CHOIX_1>=1)&&(COMPTEUR_45>=1))" | "((CHOIX_1>=1)&&(COMPTEUR_46>=1))" | "((CHOIX_1>=1)&&(COMPTEUR_47>=1))" | "((CHOIX_1>=1)&&(COMPTEUR_48>=1))" | "((CHOIX_1>=1)&&(COMPTEUR_49>=1))" | X"(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((CAPACITE_0>=1)&&(NB_ATTENTE_B_21>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_52>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_45>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_76>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_69>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_14>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_11>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_42>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_59>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_28>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_7>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_56>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_25>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_38>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_17>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_66>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_4>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_35>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_48>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_79>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_34>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_27>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_60>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_41>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_51>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_1>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_63>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_24>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_15>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_77>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_37>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_31>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_73>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_18>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_67>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_80>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_5>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_8>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_44>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_70>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_57>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_40>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_9>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_64>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_71>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_16>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_47>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_78>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_23>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_2>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_54>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_33>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_19>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_50>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_6>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_43>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_12>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_61>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_74>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_53>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_30>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_46>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_3>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_22>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_65>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_58>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_20>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_72>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_29>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_32>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_10>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_68>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_49>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_55>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_36>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_62>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_75>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_13>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_39>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))||((((CAPACITE_0>=1)&&(NB_ATTENTE_B_26>=1))&&(ATTENTE_B_0>=1))&&(CONTROLEUR_1>=1)))" | X"(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((CHOIX_0>=1)&&(COMPTEUR_3>=1))||((CHOIX_1>=1)&&(COMPTEUR_17>=1)))||((CHOIX_1>=1)&&(COMPTEUR_13>=1)))||((CHOIX_1>=1)&&(COMPTEUR_5>=1)))||((CHOIX_1>=1)&&(COMPTEUR_9>=1)))||((CHOIX_0>=1)&&(COMPTEUR_48>=1)))||((CHOIX_1>=1)&&(COMPTEUR_33>=1)))||((CHOIX_0>=1)&&(COMPTEUR_44>=1)))||((CHOIX_1>=1)&&(COMPTEUR_29>=1)))||((CHOIX_0>=1)&&(COMPTEUR_40>=1)))||((CHOIX_1>=1)&&(COMPTEUR_25>=1)))||((CHOIX_0>=1)&&(COMPTEUR_36>=1)))||((CHOIX_1>=1)&&(COMPTEUR_21>=1)))||((CHOIX_0>=1)&&(COMPTEUR_32>=1)))||((CHOIX_1>=1)&&(COMPTEUR_49>=1)))||((CHOIX_0>=1)&&(COMPTEUR_28>=1)))||((CHOIX_1>=1)&&(COMPTEUR_45>=1)))||((CHOIX_0>=1)&&(COMPTEUR_24>=1)))||((CHOIX_1>=1)&&(COMPTEUR_41>=1)))||((CHOIX_0>=1)&&(COMPTEUR_20>=1)))||((CHOIX_1>=1)&&(COMPTEUR_37>=1)))||((CHOIX_0>=1)&&(COMPTEUR_16>=1)))||((CHOIX_0>=1)&&(COMPTEUR_12>=1)))||((CHOIX_1>=1)&&(COMPTEUR_0>=1)))||((CHOIX_0>=1)&&(COMPTEUR_8>=1)))||((CHOIX_0>=1)&&(COMPTEUR_4>=1)))||((CHOIX_0>=1)&&(COMPTEUR_2>=1)))||((CHOIX_1>=1)&&(COMPTEUR_18>=1)))||((CHOIX_1>=1)&&(COMPTEUR_14>=1)))||((CHOIX_1>=1)&&(COMPTEUR_6>=1)))||((CHOIX_1>=1)&&(COMPTEUR_10>=1)))||((CHOIX_1>=1)&&(COMPTEUR_34>=1)))||((CHOIX_0>=1)&&(COMPTEUR_47>=1)))||((CHOIX_1>=1)&&(COMPTEUR_30>=1)))||((CHOIX_0>=1)&&(COMPTEUR_43>=1)))||((CHOIX_1>=1)&&(COMPTEUR_26>=1)))||((CHOIX_0>=1)&&(COMPTEUR_39>=1)))||((CHOIX_1>=1)&&(COMPTEUR_22>=1)))||((CHOIX_0>=1)&&(COMPTEUR_35>=1)))||((CHOIX_0>=1)&&(COMPTEUR_31>=1)))||((CHOIX_1>=1)&&(COMPTEUR_46>=1)))||((CHOIX_0>=1)&&(COMPTEUR_27>=1)))||((CHOIX_1>=1)&&(COMPTEUR_42>=1)))||((CHOIX_0>=1)&&(COMPTEUR_23>=1)))||((CHOIX_1>=1)&&(COMPTEUR_38>=1)))||((CHOIX_0>=1)&&(COMPTEUR_19>=1)))||((CHOIX_1>=1)&&(COMPTEUR_1>=1)))||((CHOIX_0>=1)&&(COMPTEUR_15>=1)))||((CHOIX_0>=1)&&(COMPTEUR_11>=1)))||((CHOIX_0>=1)&&(COMPTEUR_7>=1)))||((CHOIX_0>=1)&&(COMPTEUR_1>=1)))||((CHOIX_1>=1)&&(COMPTEUR_15>=1)))||((CHOIX_1>=1)&&(COMPTEUR_11>=1)))||((CHOIX_1>=1)&&(COMPTEUR_3>=1)))||((CHOIX_1>=1)&&(COMPTEUR_7>=1)))||((CHOIX_1>=1)&&(COMPTEUR_31>=1)))||((CHOIX_0>=1)&&(COMPTEUR_46>=1)))||((CHOIX_1>=1)&&(COMPTEUR_27>=1)))||((CHOIX_0>=1)&&(COMPTEUR_42>=1)))||((CHOIX_1>=1)&&(COMPTEUR_23>=1)))||((CHOIX_0>=1)&&(COMPTEUR_38>=1)))||((CHOIX_1>=1)&&(COMPTEUR_19>=1)))||((CHOIX_0>=1)&&(COMPTEUR_34>=1)))||((CHOIX_1>=1)&&(COMPTEUR_47>=1)))||((CHOIX_0>=1)&&(COMPTEUR_30>=1)))||((CHOIX_1>=1)&&(COMPTEUR_43>=1)))||((CHOIX_0>=1)&&(COMPTEUR_26>=1)))||((CHOIX_1>=1)&&(COMPTEUR_39>=1)))||((CHOIX_0>=1)&&(COMPTEUR_22>=1)))||((CHOIX_1>=1)&&(COMPTEUR_35>=1)))||((CHOIX_0>=1)&&(COMPTEUR_18>=1)))||((CHOIX_1>=1)&&(COMPTEUR_2>=1)))||((CHOIX_0>=1)&&(COMPTEUR_14>=1)))||((CHOIX_0>=1)&&(COMPTEUR_10>=1)))||((CHOIX_0>=1)&&(COMPTEUR_6>=1)))||((CHOIX_0>=1)&&(COMPTEUR_0>=1)))||((CHOIX_1>=1)&&(COMPTEUR_16>=1)))||((CHOIX_1>=1)&&(COMPTEUR_12>=1)))||((CHOIX_1>=1)&&(COMPTEUR_4>=1)))||((CHOIX_1>=1)&&(COMPTEUR_8>=1)))||((CHOIX_1>=1)&&(COMPTEUR_32>=1)))||((CHOIX_0>=1)&&(COMPTEUR_49>=1)))||((CHOIX_1>=1)&&(COMPTEUR_28>=1)))||((CHOIX_0>=1)&&(COMPTEUR_45>=1)))||((CHOIX_1>=1)&&(COMPTEUR_24>=1)))||((CHOIX_0>=1)&&(COMPTEUR_41>=1)))||((CHOIX_1>=1)&&(COMPTEUR_20>=1)))||((CHOIX_0>=1)&&(COMPTEUR_37>=1)))||((CHOIX_1>=1)&&(COMPTEUR_48>=1)))||((CHOIX_0>=1)&&(COMPTEUR_33>=1)))||((CHOIX_1>=1)&&(COMPTEUR_44>=1)))||((CHOIX_0>=1)&&(COMPTEUR_29>=1)))||((CHOIX_1>=1)&&(COMPTEUR_40>=1)))||((CHOIX_0>=1)&&(COMPTEUR_25>=1)))||((CHOIX_1>=1)&&(COMPTEUR_36>=1)))||((CHOIX_0>=1)&&(COMPTEUR_21>=1)))||((CHOIX_0>=1)&&(COMPTEUR_17>=1)))||((CHOIX_0>=1)&&(COMPTEUR_13>=1)))||((CHOIX_0>=1)&&(COMPTEUR_9>=1)))||((CHOIX_0>=1)&&(COMPTEUR_5>=1)))") U X"(SUR_PONT_A_0>=1)")
terminate called after throwing an instance of 'std::bad_alloc'
what(): std::bad_alloc
WARNING : LTS min runner thread failed on error :java.lang.RuntimeException: Compilation or link of executable timed out.java.util.concurrent.TimeoutException: Subprocess running CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit//lts_install_dir//include, -I., -std=c99, -fPIC, -O2, model.c], workingDir=/home/mcc/execution] killed by timeout after 400 SECONDS
java.lang.RuntimeException: Compilation or link of executable timed out.java.util.concurrent.TimeoutException: Subprocess running CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit//lts_install_dir//include, -I., -std=c99, -fPIC, -O2, model.c], workingDir=/home/mcc/execution] killed by timeout after 400 SECONDS
at fr.lip6.move.gal.application.LTSminRunner$1.run(LTSminRunner.java:80)
at java.base/java.lang.Thread.run(Thread.java:834)

BK_STOP 1589225090374

--------------------
content from stderr:

+ export BINDIR=/home/mcc/BenchKit/
+ BINDIR=/home/mcc/BenchKit/
++ pwd
+ export MODEL=/home/mcc/execution
+ MODEL=/home/mcc/execution
+ [[ LTLFireability = StateSpace ]]
+ /home/mcc/BenchKit//runeclipse.sh /home/mcc/execution LTLFireability -its -ltsminpath /home/mcc/BenchKit//lts_install_dir/ -greatspnpath /home/mcc/BenchKit//greatspn/ -order META -manyOrder -smt -timeout 3600
+ ulimit -s 65536
+ [[ -z '' ]]
+ export LTSMIN_MEM_SIZE=8589934592
+ LTSMIN_MEM_SIZE=8589934592
+ /home/mcc/BenchKit//itstools/its-tools -data /home/mcc/execution/workspace -pnfolder /home/mcc/execution -examination LTLFireability -z3path /home/mcc/BenchKit//z3/bin/z3 -yices2path /home/mcc/BenchKit//yices/bin/yices -its -ltsminpath /home/mcc/BenchKit//lts_install_dir/ -greatspnpath /home/mcc/BenchKit//greatspn/ -order META -manyOrder -smt -timeout 3600 -vmargs -Dosgi.locking=none -Declipse.stateSaveDelayInterval=-1 -Dosgi.configuration.area=/tmp/.eclipse -Xss128m -Xms40m -Xmx16000m -Dfile.encoding=UTF-8 -Dosgi.requiredJavaVersion=1.6

Sequence of Actions to be Executed by the VM

This is useful if one wants to reexecute the tool in the VM from the submitted image disk.

set -x
# this is for BenchKit: configuration of major elements for the test
export BK_INPUT="BridgeAndVehicles-COL-V80P20N50"
export BK_EXAMINATION="LTLFireability"
export BK_TOOL="itstools"
export BK_RESULT_DIR="/tmp/BK_RESULTS/OUTPUTS"
export BK_TIME_CONFINEMENT="3600"
export BK_MEMORY_CONFINEMENT="16384"

# this is specific to your benchmark or test

export BIN_DIR="$HOME/BenchKit/bin"

# remove the execution directoty if it exists (to avoid increse of .vmdk images)
if [ -d execution ] ; then
rm -rf execution
fi

# this is for BenchKit: explicit launching of the test
echo "====================================================================="
echo " Generated by BenchKit 2-4028"
echo " Executing tool itstools"
echo " Input is BridgeAndVehicles-COL-V80P20N50, examination is LTLFireability"
echo " Time confinement is $BK_TIME_CONFINEMENT seconds"
echo " Memory confinement is 16384 MBytes"
echo " Number of cores is 4"
echo " Run identifier is r021-oct2-158897698800258"
echo "====================================================================="
echo
echo "--------------------"
echo "preparation of the directory to be used:"

tar xzf /home/mcc/BenchKit/INPUTS/BridgeAndVehicles-COL-V80P20N50.tgz
mv BridgeAndVehicles-COL-V80P20N50 execution
cd execution
if [ "LTLFireability" = "ReachabilityDeadlock" ] || [ "LTLFireability" = "UpperBounds" ] || [ "LTLFireability" = "QuasiLiveness" ] || [ "LTLFireability" = "StableMarking" ] || [ "LTLFireability" = "Liveness" ] || [ "LTLFireability" = "OneSafe" ] || [ "LTLFireability" = "StateSpace" ]; then
rm -f GenericPropertiesVerdict.xml
fi
pwd
ls -lh

echo
echo "--------------------"
echo "content from stdout:"
echo
echo "=== Data for post analysis generated by BenchKit (invocation template)"
echo
if [ "LTLFireability" = "UpperBounds" ] ; then
echo "The expected result is a vector of positive values"
echo NUM_VECTOR
elif [ "LTLFireability" != "StateSpace" ] ; then
echo "The expected result is a vector of booleans"
echo BOOL_VECTOR
else
echo "no data necessary for post analysis"
fi
echo
if [ -f "LTLFireability.txt" ] ; then
echo "here is the order used to build the result vector(from text file)"
for x in $(grep Property LTLFireability.txt | cut -d ' ' -f 2 | sort -u) ; do
echo "FORMULA_NAME $x"
done
elif [ -f "LTLFireability.xml" ] ; then # for cunf (txt files deleted;-)
echo echo "here is the order used to build the result vector(from xml file)"
for x in $(grep '' LTLFireability.xml | cut -d '>' -f 2 | cut -d '<' -f 1 | sort -u) ; do
echo "FORMULA_NAME $x"
done
elif [ "LTLFireability" = "ReachabilityDeadlock" ] || [ "LTLFireability" = "QuasiLiveness" ] || [ "LTLFireability" = "StableMarking" ] || [ "LTLFireability" = "Liveness" ] || [ "LTLFireability" = "OneSafe" ] ; then
echo "FORMULA_NAME LTLFireability"
fi
echo
echo "=== Now, execution of the tool begins"
echo
echo -n "BK_START "
date -u +%s%3N
echo
timeout -s 9 $BK_TIME_CONFINEMENT bash -c "/home/mcc/BenchKit/BenchKit_head.sh 2> STDERR ; echo ; echo -n \"BK_STOP \" ; date -u +%s%3N"
if [ $? -eq 137 ] ; then
echo
echo "BK_TIME_CONFINEMENT_REACHED"
fi
echo
echo "--------------------"
echo "content from stderr:"
echo
cat STDERR ;