fond
Model Checking Contest 2018
8th edition, Bratislava, Slovakia, June 26, 2018
Execution of r188-qhx2-152732140200048
Last Updated
June 26, 2018

About the Execution of ITS-Tools for QuasiCertifProtocol-COL-18

Execution Summary
Max Memory
Used (MB)
Time wait (ms) CPU Usage (ms) I/O Wait (ms) Computed Result Execution
Status
15760.280 3387204.00 4425629.00 7016.60 FFFFFTF?FFFFFTFT normal

Execution Chart

We display below the execution chart for this examination (boot time has been removed).

Trace from the execution

Waiting for the VM to be ready (probing ssh)
..........................................................................................................................................
/home/mcc/execution
total 264K
-rw-r--r-- 1 mcc users 3.8K May 15 18:54 CTLCardinality.txt
-rw-r--r-- 1 mcc users 21K May 15 18:54 CTLCardinality.xml
-rw-r--r-- 1 mcc users 3.0K May 15 18:54 CTLFireability.txt
-rw-r--r-- 1 mcc users 20K May 15 18:54 CTLFireability.xml
-rw-r--r-- 1 mcc users 4.0K May 15 18:50 GenericPropertiesDefinition.xml
-rw-r--r-- 1 mcc users 6.4K May 15 18:50 GenericPropertiesVerdict.xml
-rw-r--r-- 1 mcc users 2.7K May 26 09:27 LTLCardinality.txt
-rw-r--r-- 1 mcc users 12K May 26 09:27 LTLCardinality.xml
-rw-r--r-- 1 mcc users 2.0K May 26 09:27 LTLFireability.txt
-rw-r--r-- 1 mcc users 8.8K May 26 09:27 LTLFireability.xml
-rw-r--r-- 1 mcc users 3.7K May 15 18:54 ReachabilityCardinality.txt
-rw-r--r-- 1 mcc users 18K May 15 18:54 ReachabilityCardinality.xml
-rw-r--r-- 1 mcc users 117 May 15 18:54 ReachabilityDeadlock.txt
-rw-r--r-- 1 mcc users 355 May 15 18:54 ReachabilityDeadlock.xml
-rw-r--r-- 1 mcc users 2.8K May 15 18:54 ReachabilityFireability.txt
-rw-r--r-- 1 mcc users 15K May 15 18:54 ReachabilityFireability.xml
-rw-r--r-- 1 mcc users 1.8K May 15 18:54 UpperBounds.txt
-rw-r--r-- 1 mcc users 3.8K May 15 18:54 UpperBounds.xml
-rw-r--r-- 1 mcc users 5 May 15 18:50 equiv_pt
-rw-r--r-- 1 mcc users 3 May 15 18:50 instance
-rw-r--r-- 1 mcc users 5 May 15 18:50 iscolored
-rw-r--r-- 1 mcc users 93K May 15 18:50 model.pnml
=====================================================================
Generated by BenchKit 2-3637
Executing tool itstools
Input is QuasiCertifProtocol-COL-18, examination is LTLFireability
Time confinement is 3600 seconds
Memory confinement is 16384 MBytes
Number of cores is 4
Run identifier is r188-qhx2-152732140200048
=====================================================================


--------------------
content from stdout:

=== Data for post analysis generated by BenchKit (invocation template)

The expected result is a vector of booleans
BOOL_VECTOR

here is the order used to build the result vector(from text file)
FORMULA_NAME QuasiCertifProtocol-COL-18-LTLFireability-00
FORMULA_NAME QuasiCertifProtocol-COL-18-LTLFireability-01
FORMULA_NAME QuasiCertifProtocol-COL-18-LTLFireability-02
FORMULA_NAME QuasiCertifProtocol-COL-18-LTLFireability-03
FORMULA_NAME QuasiCertifProtocol-COL-18-LTLFireability-04
FORMULA_NAME QuasiCertifProtocol-COL-18-LTLFireability-05
FORMULA_NAME QuasiCertifProtocol-COL-18-LTLFireability-06
FORMULA_NAME QuasiCertifProtocol-COL-18-LTLFireability-07
FORMULA_NAME QuasiCertifProtocol-COL-18-LTLFireability-08
FORMULA_NAME QuasiCertifProtocol-COL-18-LTLFireability-09
FORMULA_NAME QuasiCertifProtocol-COL-18-LTLFireability-10
FORMULA_NAME QuasiCertifProtocol-COL-18-LTLFireability-11
FORMULA_NAME QuasiCertifProtocol-COL-18-LTLFireability-12
FORMULA_NAME QuasiCertifProtocol-COL-18-LTLFireability-13
FORMULA_NAME QuasiCertifProtocol-COL-18-LTLFireability-14
FORMULA_NAME QuasiCertifProtocol-COL-18-LTLFireability-15

=== Now, execution of the tool begins

BK_START 1528281467033

10:38:11.801 [main] ERROR PNML validation - The rng grammar file can't be accessed : www.pnml.org
10:38:11.805 [main] ERROR import - Grammar file errors have been raised, the validation can't be done, process will continue without Grammar validation
Using solver Z3 to compute partial order matrices.
Built C files in :
/home/mcc/execution
Invoking ITS tools like this :CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.201805151631/bin/its-ltl-linux64, --gc-threshold, 2000000, -i, /home/mcc/execution/LTLFireability.pnml.gal, -t, CGAL, -LTL, /home/mcc/execution/LTLFireability.ltl, -c, -stutter-deadlock], workingDir=/home/mcc/execution]

its-ltl command run as :

/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.201805151631/bin/its-ltl-linux64 --gc-threshold 2000000 -i /home/mcc/execution/LTLFireability.pnml.gal -t CGAL -LTL /home/mcc/execution/LTLFireability.ltl -c -stutter-deadlock
Read 16 LTL properties
Checking formula 0 : !(((G(("((((((((((((((((((((((((((((((((((((((tsid0.s5_0>=1)&&(tsidxtsid8.n8_8>=1))&&(tsidxtsid12.n8_12>=1))&&(tsidxtsid14.n8_14>=1))&&(tsidxtsid6.n8_6>=1))&&(tsidxtsid1.n8_1>=1))&&(tsidxtsid4.n8_4>=1))&&(tsidxtsid7.n8_7>=1))&&(tsidxtsid17.n8_17>=1))&&(tsidxtsid3.n8_3>=1))&&(tsidxtsid13.n8_13>=1))&&(tsidxtsid18.n8_18>=1))&&(tsidxtsid2.n8_2>=1))&&(tsidxtsid9.n8_9>=1))&&(tsidxtsid15.n8_15>=1))&&(tsidxtsid11.n8_11>=1))&&(tsidxtsid16.n8_16>=1))&&(tsidxtsid0.n8_0>=1))&&(tsidxtsid5.n8_5>=1))&&(tsidxtsid10.n8_10>=1))||((((((((((((((((((((tsid1.s5_1>=1)&&(tsidxtsid27.n8_27>=1))&&(tsidxtsid31.n8_31>=1))&&(tsidxtsid33.n8_33>=1))&&(tsidxtsid25.n8_25>=1))&&(tsidxtsid20.n8_20>=1))&&(tsidxtsid23.n8_23>=1))&&(tsidxtsid26.n8_26>=1))&&(tsidxtsid36.n8_36>=1))&&(tsidxtsid22.n8_22>=1))&&(tsidxtsid32.n8_32>=1))&&(tsidxtsid37.n8_37>=1))&&(tsidxtsid21.n8_21>=1))&&(tsidxtsid28.n8_28>=1))&&(tsidxtsid34.n8_34>=1))&&(tsidxtsid30.n8_30>=1))&&(tsidxtsid35.n8_35>=1))&&(tsidxtsid19.n8_19>=1))&&(tsidxtsid24.n8_24>=1))&&(tsidxtsid29.n8_29>=1)))||((((((((((((((((((((tsid2.s5_2>=1)&&(tsidxtsid46.n8_46>=1))&&(tsidxtsid50.n8_50>=1))&&(tsidxtsid52.n8_52>=1))&&(tsidxtsid44.n8_44>=1))&&(tsidxtsid39.n8_39>=1))&&(tsidxtsid42.n8_42>=1))&&(tsidxtsid45.n8_45>=1))&&(tsidxtsid55.n8_55>=1))&&(tsidxtsid41.n8_41>=1))&&(tsidxtsid51.n8_51>=1))&&(tsidxtsid56.n8_56>=1))&&(tsidxtsid40.n8_40>=1))&&(tsidxtsid47.n8_47>=1))&&(tsidxtsid53.n8_53>=1))&&(tsidxtsid49.n8_49>=1))&&(tsidxtsid54.n8_54>=1))&&(tsidxtsid38.n8_38>=1))&&(tsidxtsid43.n8_43>=1))&&(tsidxtsid48.n8_48>=1)))||((((((((((((((((((((tsid3.s5_3>=1)&&(tsidxtsid65.n8_65>=1))&&(tsidxtsid69.n8_69>=1))&&(tsidxtsid71.n8_71>=1))&&(tsidxtsid63.n8_63>=1))&&(tsidxtsid58.n8_58>=1))&&(tsidxtsid61.n8_61>=1))&&(tsidxtsid64.n8_64>=1))&&(tsidxtsid74.n8_74>=1))&&(tsidxtsid60.n8_60>=1))&&(tsidxtsid70.n8_70>=1))&&(tsidxtsid75.n8_75>=1))&&(tsidxtsid59.n8_59>=1))&&(tsidxtsid66.n8_66>=1))&&(tsidxtsid72.n8_72>=1))&&(tsidxtsid68.n8_68>=1))&&(tsidxtsid73.n8_73>=1))&&(tsidxtsid57.n8_57>=1))&&(tsidxtsid62.n8_62>=1))&&(tsidxtsid67.n8_67>=1)))||((((((((((((((((((((tsid4.s5_4>=1)&&(tsidxtsid84.n8_84>=1))&&(tsidxtsid88.n8_88>=1))&&(tsidxtsid90.n8_90>=1))&&(tsidxtsid82.n8_82>=1))&&(tsidxtsid77.n8_77>=1))&&(tsidxtsid80.n8_80>=1))&&(tsidxtsid83.n8_83>=1))&&(tsidxtsid93.n8_93>=1))&&(tsidxtsid79.n8_79>=1))&&(tsidxtsid89.n8_89>=1))&&(tsidxtsid94.n8_94>=1))&&(tsidxtsid78.n8_78>=1))&&(tsidxtsid85.n8_85>=1))&&(tsidxtsid91.n8_91>=1))&&(tsidxtsid87.n8_87>=1))&&(tsidxtsid92.n8_92>=1))&&(tsidxtsid76.n8_76>=1))&&(tsidxtsid81.n8_81>=1))&&(tsidxtsid86.n8_86>=1)))||((((((((((((((((((((tsid5.s5_5>=1)&&(tsidxtsid103.n8_103>=1))&&(tsidxtsid107.n8_107>=1))&&(tsidxtsid109.n8_109>=1))&&(tsidxtsid101.n8_101>=1))&&(tsidxtsid96.n8_96>=1))&&(tsidxtsid99.n8_99>=1))&&(tsidxtsid102.n8_102>=1))&&(tsidxtsid112.n8_112>=1))&&(tsidxtsid98.n8_98>=1))&&(tsidxtsid108.n8_108>=1))&&(tsidxtsid113.n8_113>=1))&&(tsidxtsid97.n8_97>=1))&&(tsidxtsid104.n8_104>=1))&&(tsidxtsid110.n8_110>=1))&&(tsidxtsid106.n8_106>=1))&&(tsidxtsid111.n8_111>=1))&&(tsidxtsid95.n8_95>=1))&&(tsidxtsid100.n8_100>=1))&&(tsidxtsid105.n8_105>=1)))||((((((((((((((((((((tsid6.s5_6>=1)&&(tsidxtsid122.n8_122>=1))&&(tsidxtsid126.n8_126>=1))&&(tsidxtsid128.n8_128>=1))&&(tsidxtsid120.n8_120>=1))&&(tsidxtsid115.n8_115>=1))&&(tsidxtsid118.n8_118>=1))&&(tsidxtsid121.n8_121>=1))&&(tsidxtsid131.n8_131>=1))&&(tsidxtsid117.n8_117>=1))&&(tsidxtsid127.n8_127>=1))&&(tsidxtsid132.n8_132>=1))&&(tsidxtsid116.n8_116>=1))&&(tsidxtsid123.n8_123>=1))&&(tsidxtsid129.n8_129>=1))&&(tsidxtsid125.n8_125>=1))&&(tsidxtsid130.n8_130>=1))&&(tsidxtsid114.n8_114>=1))&&(tsidxtsid119.n8_119>=1))&&(tsidxtsid124.n8_124>=1)))||((((((((((((((((((((tsid7.s5_7>=1)&&(tsidxtsid141.n8_141>=1))&&(tsidxtsid145.n8_145>=1))&&(tsidxtsid147.n8_147>=1))&&(tsidxtsid139.n8_139>=1))&&(tsidxtsid134.n8_134>=1))&&(tsidxtsid137.n8_137>=1))&&(tsidxtsid140.n8_140>=1))&&(tsidxtsid150.n8_150>=1))&&(tsidxtsid136.n8_136>=1))&&(tsidxtsid146.n8_146>=1))&&(tsidxtsid151.n8_151>=1))&&(tsidxtsid135.n8_135>=1))&&(tsidxtsid142.n8_142>=1))&&(tsidxtsid148.n8_148>=1))&&(tsidxtsid144.n8_144>=1))&&(tsidxtsid149.n8_149>=1))&&(tsidxtsid133.n8_133>=1))&&(tsidxtsid138.n8_138>=1))&&(tsidxtsid143.n8_143>=1)))||((((((((((((((((((((tsid8.s5_8>=1)&&(tsidxtsid160.n8_160>=1))&&(tsidxtsid164.n8_164>=1))&&(tsidxtsid166.n8_166>=1))&&(tsidxtsid158.n8_158>=1))&&(tsidxtsid153.n8_153>=1))&&(tsidxtsid156.n8_156>=1))&&(tsidxtsid159.n8_159>=1))&&(tsidxtsid169.n8_169>=1))&&(tsidxtsid155.n8_155>=1))&&(tsidxtsid165.n8_165>=1))&&(tsidxtsid170.n8_170>=1))&&(tsidxtsid154.n8_154>=1))&&(tsidxtsid161.n8_161>=1))&&(tsidxtsid167.n8_167>=1))&&(tsidxtsid163.n8_163>=1))&&(tsidxtsid168.n8_168>=1))&&(tsidxtsid152.n8_152>=1))&&(tsidxtsid157.n8_157>=1))&&(tsidxtsid162.n8_162>=1)))||((((((((((((((((((((tsid9.s5_9>=1)&&(tsidxtsid179.n8_179>=1))&&(tsidxtsid183.n8_183>=1))&&(tsidxtsid185.n8_185>=1))&&(tsidxtsid177.n8_177>=1))&&(tsidxtsid172.n8_172>=1))&&(tsidxtsid175.n8_175>=1))&&(tsidxtsid178.n8_178>=1))&&(tsidxtsid188.n8_188>=1))&&(tsidxtsid174.n8_174>=1))&&(tsidxtsid184.n8_184>=1))&&(tsidxtsid189.n8_189>=1))&&(tsidxtsid173.n8_173>=1))&&(tsidxtsid180.n8_180>=1))&&(tsidxtsid186.n8_186>=1))&&(tsidxtsid182.n8_182>=1))&&(tsidxtsid187.n8_187>=1))&&(tsidxtsid171.n8_171>=1))&&(tsidxtsid176.n8_176>=1))&&(tsidxtsid181.n8_181>=1)))||((((((((((((((((((((tsid10.s5_10>=1)&&(tsidxtsid198.n8_198>=1))&&(tsidxtsid202.n8_202>=1))&&(tsidxtsid204.n8_204>=1))&&(tsidxtsid196.n8_196>=1))&&(tsidxtsid191.n8_191>=1))&&(tsidxtsid194.n8_194>=1))&&(tsidxtsid197.n8_197>=1))&&(tsidxtsid207.n8_207>=1))&&(tsidxtsid193.n8_193>=1))&&(tsidxtsid203.n8_203>=1))&&(tsidxtsid208.n8_208>=1))&&(tsidxtsid192.n8_192>=1))&&(tsidxtsid199.n8_199>=1))&&(tsidxtsid205.n8_205>=1))&&(tsidxtsid201.n8_201>=1))&&(tsidxtsid206.n8_206>=1))&&(tsidxtsid190.n8_190>=1))&&(tsidxtsid195.n8_195>=1))&&(tsidxtsid200.n8_200>=1)))||((((((((((((((((((((tsid11.s5_11>=1)&&(tsidxtsid217.n8_217>=1))&&(tsidxtsid221.n8_221>=1))&&(tsidxtsid223.n8_223>=1))&&(tsidxtsid215.n8_215>=1))&&(tsidxtsid210.n8_210>=1))&&(tsidxtsid213.n8_213>=1))&&(tsidxtsid216.n8_216>=1))&&(tsidxtsid226.n8_226>=1))&&(tsidxtsid212.n8_212>=1))&&(tsidxtsid222.n8_222>=1))&&(tsidxtsid227.n8_227>=1))&&(tsidxtsid211.n8_211>=1))&&(tsidxtsid218.n8_218>=1))&&(tsidxtsid224.n8_224>=1))&&(tsidxtsid220.n8_220>=1))&&(tsidxtsid225.n8_225>=1))&&(tsidxtsid209.n8_209>=1))&&(tsidxtsid214.n8_214>=1))&&(tsidxtsid219.n8_219>=1)))||((((((((((((((((((((tsid12.s5_12>=1)&&(tsidxtsid236.n8_236>=1))&&(tsidxtsid240.n8_240>=1))&&(tsidxtsid242.n8_242>=1))&&(tsidxtsid234.n8_234>=1))&&(tsidxtsid229.n8_229>=1))&&(tsidxtsid232.n8_232>=1))&&(tsidxtsid235.n8_235>=1))&&(tsidxtsid245.n8_245>=1))&&(tsidxtsid231.n8_231>=1))&&(tsidxtsid241.n8_241>=1))&&(tsidxtsid246.n8_246>=1))&&(tsidxtsid230.n8_230>=1))&&(tsidxtsid237.n8_237>=1))&&(tsidxtsid243.n8_243>=1))&&(tsidxtsid239.n8_239>=1))&&(tsidxtsid244.n8_244>=1))&&(tsidxtsid228.n8_228>=1))&&(tsidxtsid233.n8_233>=1))&&(tsidxtsid238.n8_238>=1)))||((((((((((((((((((((tsid13.s5_13>=1)&&(tsidxtsid255.n8_255>=1))&&(tsidxtsid259.n8_259>=1))&&(tsidxtsid261.n8_261>=1))&&(tsidxtsid253.n8_253>=1))&&(tsidxtsid248.n8_248>=1))&&(tsidxtsid251.n8_251>=1))&&(tsidxtsid254.n8_254>=1))&&(tsidxtsid264.n8_264>=1))&&(tsidxtsid250.n8_250>=1))&&(tsidxtsid260.n8_260>=1))&&(tsidxtsid265.n8_265>=1))&&(tsidxtsid249.n8_249>=1))&&(tsidxtsid256.n8_256>=1))&&(tsidxtsid262.n8_262>=1))&&(tsidxtsid258.n8_258>=1))&&(tsidxtsid263.n8_263>=1))&&(tsidxtsid247.n8_247>=1))&&(tsidxtsid252.n8_252>=1))&&(tsidxtsid257.n8_257>=1)))||((((((((((((((((((((tsid14.s5_14>=1)&&(tsidxtsid274.n8_274>=1))&&(tsidxtsid278.n8_278>=1))&&(tsidxtsid280.n8_280>=1))&&(tsidxtsid272.n8_272>=1))&&(tsidxtsid267.n8_267>=1))&&(tsidxtsid270.n8_270>=1))&&(tsidxtsid273.n8_273>=1))&&(tsidxtsid283.n8_283>=1))&&(tsidxtsid269.n8_269>=1))&&(tsidxtsid279.n8_279>=1))&&(tsidxtsid284.n8_284>=1))&&(tsidxtsid268.n8_268>=1))&&(tsidxtsid275.n8_275>=1))&&(tsidxtsid281.n8_281>=1))&&(tsidxtsid277.n8_277>=1))&&(tsidxtsid282.n8_282>=1))&&(tsidxtsid266.n8_266>=1))&&(tsidxtsid271.n8_271>=1))&&(tsidxtsid276.n8_276>=1)))||((((((((((((((((((((tsid15.s5_15>=1)&&(tsidxtsid293.n8_293>=1))&&(tsidxtsid297.n8_297>=1))&&(tsidxtsid299.n8_299>=1))&&(tsidxtsid291.n8_291>=1))&&(tsidxtsid286.n8_286>=1))&&(tsidxtsid289.n8_289>=1))&&(tsidxtsid292.n8_292>=1))&&(tsidxtsid302.n8_302>=1))&&(tsidxtsid288.n8_288>=1))&&(tsidxtsid298.n8_298>=1))&&(tsidxtsid303.n8_303>=1))&&(tsidxtsid287.n8_287>=1))&&(tsidxtsid294.n8_294>=1))&&(tsidxtsid300.n8_300>=1))&&(tsidxtsid296.n8_296>=1))&&(tsidxtsid301.n8_301>=1))&&(tsidxtsid285.n8_285>=1))&&(tsidxtsid290.n8_290>=1))&&(tsidxtsid295.n8_295>=1)))||((((((((((((((((((((tsid16.s5_16>=1)&&(tsidxtsid312.n8_312>=1))&&(tsidxtsid316.n8_316>=1))&&(tsidxtsid318.n8_318>=1))&&(tsidxtsid310.n8_310>=1))&&(tsidxtsid305.n8_305>=1))&&(tsidxtsid308.n8_308>=1))&&(tsidxtsid311.n8_311>=1))&&(tsidxtsid321.n8_321>=1))&&(tsidxtsid307.n8_307>=1))&&(tsidxtsid317.n8_317>=1))&&(tsidxtsid322.n8_322>=1))&&(tsidxtsid306.n8_306>=1))&&(tsidxtsid313.n8_313>=1))&&(tsidxtsid319.n8_319>=1))&&(tsidxtsid315.n8_315>=1))&&(tsidxtsid320.n8_320>=1))&&(tsidxtsid304.n8_304>=1))&&(tsidxtsid309.n8_309>=1))&&(tsidxtsid314.n8_314>=1)))||((((((((((((((((((((tsid17.s5_17>=1)&&(tsidxtsid331.n8_331>=1))&&(tsidxtsid335.n8_335>=1))&&(tsidxtsid337.n8_337>=1))&&(tsidxtsid329.n8_329>=1))&&(tsidxtsid324.n8_324>=1))&&(tsidxtsid327.n8_327>=1))&&(tsidxtsid330.n8_330>=1))&&(tsidxtsid340.n8_340>=1))&&(tsidxtsid326.n8_326>=1))&&(tsidxtsid336.n8_336>=1))&&(tsidxtsid341.n8_341>=1))&&(tsidxtsid325.n8_325>=1))&&(tsidxtsid332.n8_332>=1))&&(tsidxtsid338.n8_338>=1))&&(tsidxtsid334.n8_334>=1))&&(tsidxtsid339.n8_339>=1))&&(tsidxtsid323.n8_323>=1))&&(tsidxtsid328.n8_328>=1))&&(tsidxtsid333.n8_333>=1)))||((((((((((((((((((((tsid18.s5_18>=1)&&(tsidxtsid350.n8_350>=1))&&(tsidxtsid354.n8_354>=1))&&(tsidxtsid356.n8_356>=1))&&(tsidxtsid348.n8_348>=1))&&(tsidxtsid343.n8_343>=1))&&(tsidxtsid346.n8_346>=1))&&(tsidxtsid349.n8_349>=1))&&(tsidxtsid359.n8_359>=1))&&(tsidxtsid345.n8_345>=1))&&(tsidxtsid355.n8_355>=1))&&(tsidxtsid360.n8_360>=1))&&(tsidxtsid344.n8_344>=1))&&(tsidxtsid351.n8_351>=1))&&(tsidxtsid357.n8_357>=1))&&(tsidxtsid353.n8_353>=1))&&(tsidxtsid358.n8_358>=1))&&(tsidxtsid342.n8_342>=1))&&(tsidxtsid347.n8_347>=1))&&(tsidxtsid352.n8_352>=1)))")U("((((((((((((((((((((malicious_reservoir.malicious_reservoir_0>=1)&&(tsid0.c1_0>=1))||((malicious_reservoir.malicious_reservoir_0>=1)&&(tsid1.c1_1>=1)))||((malicious_reservoir.malicious_reservoir_0>=1)&&(tsid2.c1_2>=1)))||((malicious_reservoir.malicious_reservoir_0>=1)&&(tsid3.c1_3>=1)))||((malicious_reservoir.malicious_reservoir_0>=1)&&(tsid4.c1_4>=1)))||((malicious_reservoir.malicious_reservoir_0>=1)&&(tsid5.c1_5>=1)))||((malicious_reservoir.malicious_reservoir_0>=1)&&(tsid6.c1_6>=1)))||((malicious_reservoir.malicious_reservoir_0>=1)&&(tsid7.c1_7>=1)))||((malicious_reservoir.malicious_reservoir_0>=1)&&(tsid8.c1_8>=1)))||((malicious_reservoir.malicious_reservoir_0>=1)&&(tsid9.c1_9>=1)))||((malicious_reservoir.malicious_reservoir_0>=1)&&(tsid10.c1_10>=1)))||((malicious_reservoir.malicious_reservoir_0>=1)&&(tsid11.c1_11>=1)))||((malicious_reservoir.malicious_reservoir_0>=1)&&(tsid12.c1_12>=1)))||((malicious_reservoir.malicious_reservoir_0>=1)&&(tsid13.c1_13>=1)))||((malicious_reservoir.malicious_reservoir_0>=1)&&(tsid14.c1_14>=1)))||((malicious_reservoir.malicious_reservoir_0>=1)&&(tsid15.c1_15>=1)))||((malicious_reservoir.malicious_reservoir_0>=1)&&(tsid16.c1_16>=1)))||((malicious_reservoir.malicious_reservoir_0>=1)&&(tsid17.c1_17>=1)))||((malicious_reservoir.malicious_reservoir_0>=1)&&(tsid18.c1_18>=1)))")))U(F(G(X("((((((((((((((((((((malicious_reservoir.malicious_reservoir_0>=1)&&(tsid0.c1_0>=1))||((malicious_reservoir.malicious_reservoir_0>=1)&&(tsid1.c1_1>=1)))||((malicious_reservoir.malicious_reservoir_0>=1)&&(tsid2.c1_2>=1)))||((malicious_reservoir.malicious_reservoir_0>=1)&&(tsid3.c1_3>=1)))||((malicious_reservoir.malicious_reservoir_0>=1)&&(tsid4.c1_4>=1)))||((malicious_reservoir.malicious_reservoir_0>=1)&&(tsid5.c1_5>=1)))||((malicious_reservoir.malicious_reservoir_0>=1)&&(tsid6.c1_6>=1)))||((malicious_reservoir.malicious_reservoir_0>=1)&&(tsid7.c1_7>=1)))||((malicious_reservoir.malicious_reservoir_0>=1)&&(tsid8.c1_8>=1)))||((malicious_reservoir.malicious_reservoir_0>=1)&&(tsid9.c1_9>=1)))||((malicious_reservoir.malicious_reservoir_0>=1)&&(tsid10.c1_10>=1)))||((malicious_reservoir.malicious_reservoir_0>=1)&&(tsid11.c1_11>=1)))||((malicious_reservoir.malicious_reservoir_0>=1)&&(tsid12.c1_12>=1)))||((malicious_reservoir.malicious_reservoir_0>=1)&&(tsid13.c1_13>=1)))||((malicious_reservoir.malicious_reservoir_0>=1)&&(tsid14.c1_14>=1)))||((malicious_reservoir.malicious_reservoir_0>=1)&&(tsid15.c1_15>=1)))||((malicious_reservoir.malicious_reservoir_0>=1)&&(tsid16.c1_16>=1)))||((malicious_reservoir.malicious_reservoir_0>=1)&&(tsid17.c1_17>=1)))||((malicious_reservoir.malicious_reservoir_0>=1)&&(tsid18.c1_18>=1)))"))))))
Formula 0 simplified : !(G("((((((((((((((((((((((((((((((((((((((tsid0.s5_0>=1)&&(tsidxtsid8.n8_8>=1))&&(tsidxtsid12.n8_12>=1))&&(tsidxtsid14.n8_14>=1))&&(tsidxtsid6.n8_6>=1))&&(tsidxtsid1.n8_1>=1))&&(tsidxtsid4.n8_4>=1))&&(tsidxtsid7.n8_7>=1))&&(tsidxtsid17.n8_17>=1))&&(tsidxtsid3.n8_3>=1))&&(tsidxtsid13.n8_13>=1))&&(tsidxtsid18.n8_18>=1))&&(tsidxtsid2.n8_2>=1))&&(tsidxtsid9.n8_9>=1))&&(tsidxtsid15.n8_15>=1))&&(tsidxtsid11.n8_11>=1))&&(tsidxtsid16.n8_16>=1))&&(tsidxtsid0.n8_0>=1))&&(tsidxtsid5.n8_5>=1))&&(tsidxtsid10.n8_10>=1))||((((((((((((((((((((tsid1.s5_1>=1)&&(tsidxtsid27.n8_27>=1))&&(tsidxtsid31.n8_31>=1))&&(tsidxtsid33.n8_33>=1))&&(tsidxtsid25.n8_25>=1))&&(tsidxtsid20.n8_20>=1))&&(tsidxtsid23.n8_23>=1))&&(tsidxtsid26.n8_26>=1))&&(tsidxtsid36.n8_36>=1))&&(tsidxtsid22.n8_22>=1))&&(tsidxtsid32.n8_32>=1))&&(tsidxtsid37.n8_37>=1))&&(tsidxtsid21.n8_21>=1))&&(tsidxtsid28.n8_28>=1))&&(tsidxtsid34.n8_34>=1))&&(tsidxtsid30.n8_30>=1))&&(tsidxtsid35.n8_35>=1))&&(tsidxtsid19.n8_19>=1))&&(tsidxtsid24.n8_24>=1))&&(tsidxtsid29.n8_29>=1)))||((((((((((((((((((((tsid2.s5_2>=1)&&(tsidxtsid46.n8_46>=1))&&(tsidxtsid50.n8_50>=1))&&(tsidxtsid52.n8_52>=1))&&(tsidxtsid44.n8_44>=1))&&(tsidxtsid39.n8_39>=1))&&(tsidxtsid42.n8_42>=1))&&(tsidxtsid45.n8_45>=1))&&(tsidxtsid55.n8_55>=1))&&(tsidxtsid41.n8_41>=1))&&(tsidxtsid51.n8_51>=1))&&(tsidxtsid56.n8_56>=1))&&(tsidxtsid40.n8_40>=1))&&(tsidxtsid47.n8_47>=1))&&(tsidxtsid53.n8_53>=1))&&(tsidxtsid49.n8_49>=1))&&(tsidxtsid54.n8_54>=1))&&(tsidxtsid38.n8_38>=1))&&(tsidxtsid43.n8_43>=1))&&(tsidxtsid48.n8_48>=1)))||((((((((((((((((((((tsid3.s5_3>=1)&&(tsidxtsid65.n8_65>=1))&&(tsidxtsid69.n8_69>=1))&&(tsidxtsid71.n8_71>=1))&&(tsidxtsid63.n8_63>=1))&&(tsidxtsid58.n8_58>=1))&&(tsidxtsid61.n8_61>=1))&&(tsidxtsid64.n8_64>=1))&&(tsidxtsid74.n8_74>=1))&&(tsidxtsid60.n8_60>=1))&&(tsidxtsid70.n8_70>=1))&&(tsidxtsid75.n8_75>=1))&&(tsidxtsid59.n8_59>=1))&&(tsidxtsid66.n8_66>=1))&&(tsidxtsid72.n8_72>=1))&&(tsidxtsid68.n8_68>=1))&&(tsidxtsid73.n8_73>=1))&&(tsidxtsid57.n8_57>=1))&&(tsidxtsid62.n8_62>=1))&&(tsidxtsid67.n8_67>=1)))||((((((((((((((((((((tsid4.s5_4>=1)&&(tsidxtsid84.n8_84>=1))&&(tsidxtsid88.n8_88>=1))&&(tsidxtsid90.n8_90>=1))&&(tsidxtsid82.n8_82>=1))&&(tsidxtsid77.n8_77>=1))&&(tsidxtsid80.n8_80>=1))&&(tsidxtsid83.n8_83>=1))&&(tsidxtsid93.n8_93>=1))&&(tsidxtsid79.n8_79>=1))&&(tsidxtsid89.n8_89>=1))&&(tsidxtsid94.n8_94>=1))&&(tsidxtsid78.n8_78>=1))&&(tsidxtsid85.n8_85>=1))&&(tsidxtsid91.n8_91>=1))&&(tsidxtsid87.n8_87>=1))&&(tsidxtsid92.n8_92>=1))&&(tsidxtsid76.n8_76>=1))&&(tsidxtsid81.n8_81>=1))&&(tsidxtsid86.n8_86>=1)))||((((((((((((((((((((tsid5.s5_5>=1)&&(tsidxtsid103.n8_103>=1))&&(tsidxtsid107.n8_107>=1))&&(tsidxtsid109.n8_109>=1))&&(tsidxtsid101.n8_101>=1))&&(tsidxtsid96.n8_96>=1))&&(tsidxtsid99.n8_99>=1))&&(tsidxtsid102.n8_102>=1))&&(tsidxtsid112.n8_112>=1))&&(tsidxtsid98.n8_98>=1))&&(tsidxtsid108.n8_108>=1))&&(tsidxtsid113.n8_113>=1))&&(tsidxtsid97.n8_97>=1))&&(tsidxtsid104.n8_104>=1))&&(tsidxtsid110.n8_110>=1))&&(tsidxtsid106.n8_106>=1))&&(tsidxtsid111.n8_111>=1))&&(tsidxtsid95.n8_95>=1))&&(tsidxtsid100.n8_100>=1))&&(tsidxtsid105.n8_105>=1)))||((((((((((((((((((((tsid6.s5_6>=1)&&(tsidxtsid122.n8_122>=1))&&(tsidxtsid126.n8_126>=1))&&(tsidxtsid128.n8_128>=1))&&(tsidxtsid120.n8_120>=1))&&(tsidxtsid115.n8_115>=1))&&(tsidxtsid118.n8_118>=1))&&(tsidxtsid121.n8_121>=1))&&(tsidxtsid131.n8_131>=1))&&(tsidxtsid117.n8_117>=1))&&(tsidxtsid127.n8_127>=1))&&(tsidxtsid132.n8_132>=1))&&(tsidxtsid116.n8_116>=1))&&(tsidxtsid123.n8_123>=1))&&(tsidxtsid129.n8_129>=1))&&(tsidxtsid125.n8_125>=1))&&(tsidxtsid130.n8_130>=1))&&(tsidxtsid114.n8_114>=1))&&(tsidxtsid119.n8_119>=1))&&(tsidxtsid124.n8_124>=1)))||((((((((((((((((((((tsid7.s5_7>=1)&&(tsidxtsid141.n8_141>=1))&&(tsidxtsid145.n8_145>=1))&&(tsidxtsid147.n8_147>=1))&&(tsidxtsid139.n8_139>=1))&&(tsidxtsid134.n8_134>=1))&&(tsidxtsid137.n8_137>=1))&&(tsidxtsid140.n8_140>=1))&&(tsidxtsid150.n8_150>=1))&&(tsidxtsid136.n8_136>=1))&&(tsidxtsid146.n8_146>=1))&&(tsidxtsid151.n8_151>=1))&&(tsidxtsid135.n8_135>=1))&&(tsidxtsid142.n8_142>=1))&&(tsidxtsid148.n8_148>=1))&&(tsidxtsid144.n8_144>=1))&&(tsidxtsid149.n8_149>=1))&&(tsidxtsid133.n8_133>=1))&&(tsidxtsid138.n8_138>=1))&&(tsidxtsid143.n8_143>=1)))||((((((((((((((((((((tsid8.s5_8>=1)&&(tsidxtsid160.n8_160>=1))&&(tsidxtsid164.n8_164>=1))&&(tsidxtsid166.n8_166>=1))&&(tsidxtsid158.n8_158>=1))&&(tsidxtsid153.n8_153>=1))&&(tsidxtsid156.n8_156>=1))&&(tsidxtsid159.n8_159>=1))&&(tsidxtsid169.n8_169>=1))&&(tsidxtsid155.n8_155>=1))&&(tsidxtsid165.n8_165>=1))&&(tsidxtsid170.n8_170>=1))&&(tsidxtsid154.n8_154>=1))&&(tsidxtsid161.n8_161>=1))&&(tsidxtsid167.n8_167>=1))&&(tsidxtsid163.n8_163>=1))&&(tsidxtsid168.n8_168>=1))&&(tsidxtsid152.n8_152>=1))&&(tsidxtsid157.n8_157>=1))&&(tsidxtsid162.n8_162>=1)))||((((((((((((((((((((tsid9.s5_9>=1)&&(tsidxtsid179.n8_179>=1))&&(tsidxtsid183.n8_183>=1))&&(tsidxtsid185.n8_185>=1))&&(tsidxtsid177.n8_177>=1))&&(tsidxtsid172.n8_172>=1))&&(tsidxtsid175.n8_175>=1))&&(tsidxtsid178.n8_178>=1))&&(tsidxtsid188.n8_188>=1))&&(tsidxtsid174.n8_174>=1))&&(tsidxtsid184.n8_184>=1))&&(tsidxtsid189.n8_189>=1))&&(tsidxtsid173.n8_173>=1))&&(tsidxtsid180.n8_180>=1))&&(tsidxtsid186.n8_186>=1))&&(tsidxtsid182.n8_182>=1))&&(tsidxtsid187.n8_187>=1))&&(tsidxtsid171.n8_171>=1))&&(tsidxtsid176.n8_176>=1))&&(tsidxtsid181.n8_181>=1)))||((((((((((((((((((((tsid10.s5_10>=1)&&(tsidxtsid198.n8_198>=1))&&(tsidxtsid202.n8_202>=1))&&(tsidxtsid204.n8_204>=1))&&(tsidxtsid196.n8_196>=1))&&(tsidxtsid191.n8_191>=1))&&(tsidxtsid194.n8_194>=1))&&(tsidxtsid197.n8_197>=1))&&(tsidxtsid207.n8_207>=1))&&(tsidxtsid193.n8_193>=1))&&(tsidxtsid203.n8_203>=1))&&(tsidxtsid208.n8_208>=1))&&(tsidxtsid192.n8_192>=1))&&(tsidxtsid199.n8_199>=1))&&(tsidxtsid205.n8_205>=1))&&(tsidxtsid201.n8_201>=1))&&(tsidxtsid206.n8_206>=1))&&(tsidxtsid190.n8_190>=1))&&(tsidxtsid195.n8_195>=1))&&(tsidxtsid200.n8_200>=1)))||((((((((((((((((((((tsid11.s5_11>=1)&&(tsidxtsid217.n8_217>=1))&&(tsidxtsid221.n8_221>=1))&&(tsidxtsid223.n8_223>=1))&&(tsidxtsid215.n8_215>=1))&&(tsidxtsid210.n8_210>=1))&&(tsidxtsid213.n8_213>=1))&&(tsidxtsid216.n8_216>=1))&&(tsidxtsid226.n8_226>=1))&&(tsidxtsid212.n8_212>=1))&&(tsidxtsid222.n8_222>=1))&&(tsidxtsid227.n8_227>=1))&&(tsidxtsid211.n8_211>=1))&&(tsidxtsid218.n8_218>=1))&&(tsidxtsid224.n8_224>=1))&&(tsidxtsid220.n8_220>=1))&&(tsidxtsid225.n8_225>=1))&&(tsidxtsid209.n8_209>=1))&&(tsidxtsid214.n8_214>=1))&&(tsidxtsid219.n8_219>=1)))||((((((((((((((((((((tsid12.s5_12>=1)&&(tsidxtsid236.n8_236>=1))&&(tsidxtsid240.n8_240>=1))&&(tsidxtsid242.n8_242>=1))&&(tsidxtsid234.n8_234>=1))&&(tsidxtsid229.n8_229>=1))&&(tsidxtsid232.n8_232>=1))&&(tsidxtsid235.n8_235>=1))&&(tsidxtsid245.n8_245>=1))&&(tsidxtsid231.n8_231>=1))&&(tsidxtsid241.n8_241>=1))&&(tsidxtsid246.n8_246>=1))&&(tsidxtsid230.n8_230>=1))&&(tsidxtsid237.n8_237>=1))&&(tsidxtsid243.n8_243>=1))&&(tsidxtsid239.n8_239>=1))&&(tsidxtsid244.n8_244>=1))&&(tsidxtsid228.n8_228>=1))&&(tsidxtsid233.n8_233>=1))&&(tsidxtsid238.n8_238>=1)))||((((((((((((((((((((tsid13.s5_13>=1)&&(tsidxtsid255.n8_255>=1))&&(tsidxtsid259.n8_259>=1))&&(tsidxtsid261.n8_261>=1))&&(tsidxtsid253.n8_253>=1))&&(tsidxtsid248.n8_248>=1))&&(tsidxtsid251.n8_251>=1))&&(tsidxtsid254.n8_254>=1))&&(tsidxtsid264.n8_264>=1))&&(tsidxtsid250.n8_250>=1))&&(tsidxtsid260.n8_260>=1))&&(tsidxtsid265.n8_265>=1))&&(tsidxtsid249.n8_249>=1))&&(tsidxtsid256.n8_256>=1))&&(tsidxtsid262.n8_262>=1))&&(tsidxtsid258.n8_258>=1))&&(tsidxtsid263.n8_263>=1))&&(tsidxtsid247.n8_247>=1))&&(tsidxtsid252.n8_252>=1))&&(tsidxtsid257.n8_257>=1)))||((((((((((((((((((((tsid14.s5_14>=1)&&(tsidxtsid274.n8_274>=1))&&(tsidxtsid278.n8_278>=1))&&(tsidxtsid280.n8_280>=1))&&(tsidxtsid272.n8_272>=1))&&(tsidxtsid267.n8_267>=1))&&(tsidxtsid270.n8_270>=1))&&(tsidxtsid273.n8_273>=1))&&(tsidxtsid283.n8_283>=1))&&(tsidxtsid269.n8_269>=1))&&(tsidxtsid279.n8_279>=1))&&(tsidxtsid284.n8_284>=1))&&(tsidxtsid268.n8_268>=1))&&(tsidxtsid275.n8_275>=1))&&(tsidxtsid281.n8_281>=1))&&(tsidxtsid277.n8_277>=1))&&(tsidxtsid282.n8_282>=1))&&(tsidxtsid266.n8_266>=1))&&(tsidxtsid271.n8_271>=1))&&(tsidxtsid276.n8_276>=1)))||((((((((((((((((((((tsid15.s5_15>=1)&&(tsidxtsid293.n8_293>=1))&&(tsidxtsid297.n8_297>=1))&&(tsidxtsid299.n8_299>=1))&&(tsidxtsid291.n8_291>=1))&&(tsidxtsid286.n8_286>=1))&&(tsidxtsid289.n8_289>=1))&&(tsidxtsid292.n8_292>=1))&&(tsidxtsid302.n8_302>=1))&&(tsidxtsid288.n8_288>=1))&&(tsidxtsid298.n8_298>=1))&&(tsidxtsid303.n8_303>=1))&&(tsidxtsid287.n8_287>=1))&&(tsidxtsid294.n8_294>=1))&&(tsidxtsid300.n8_300>=1))&&(tsidxtsid296.n8_296>=1))&&(tsidxtsid301.n8_301>=1))&&(tsidxtsid285.n8_285>=1))&&(tsidxtsid290.n8_290>=1))&&(tsidxtsid295.n8_295>=1)))||((((((((((((((((((((tsid16.s5_16>=1)&&(tsidxtsid312.n8_312>=1))&&(tsidxtsid316.n8_316>=1))&&(tsidxtsid318.n8_318>=1))&&(tsidxtsid310.n8_310>=1))&&(tsidxtsid305.n8_305>=1))&&(tsidxtsid308.n8_308>=1))&&(tsidxtsid311.n8_311>=1))&&(tsidxtsid321.n8_321>=1))&&(tsidxtsid307.n8_307>=1))&&(tsidxtsid317.n8_317>=1))&&(tsidxtsid322.n8_322>=1))&&(tsidxtsid306.n8_306>=1))&&(tsidxtsid313.n8_313>=1))&&(tsidxtsid319.n8_319>=1))&&(tsidxtsid315.n8_315>=1))&&(tsidxtsid320.n8_320>=1))&&(tsidxtsid304.n8_304>=1))&&(tsidxtsid309.n8_309>=1))&&(tsidxtsid314.n8_314>=1)))||((((((((((((((((((((tsid17.s5_17>=1)&&(tsidxtsid331.n8_331>=1))&&(tsidxtsid335.n8_335>=1))&&(tsidxtsid337.n8_337>=1))&&(tsidxtsid329.n8_329>=1))&&(tsidxtsid324.n8_324>=1))&&(tsidxtsid327.n8_327>=1))&&(tsidxtsid330.n8_330>=1))&&(tsidxtsid340.n8_340>=1))&&(tsidxtsid326.n8_326>=1))&&(tsidxtsid336.n8_336>=1))&&(tsidxtsid341.n8_341>=1))&&(tsidxtsid325.n8_325>=1))&&(tsidxtsid332.n8_332>=1))&&(tsidxtsid338.n8_338>=1))&&(tsidxtsid334.n8_334>=1))&&(tsidxtsid339.n8_339>=1))&&(tsidxtsid323.n8_323>=1))&&(tsidxtsid328.n8_328>=1))&&(tsidxtsid333.n8_333>=1)))||((((((((((((((((((((tsid18.s5_18>=1)&&(tsidxtsid350.n8_350>=1))&&(tsidxtsid354.n8_354>=1))&&(tsidxtsid356.n8_356>=1))&&(tsidxtsid348.n8_348>=1))&&(tsidxtsid343.n8_343>=1))&&(tsidxtsid346.n8_346>=1))&&(tsidxtsid349.n8_349>=1))&&(tsidxtsid359.n8_359>=1))&&(tsidxtsid345.n8_345>=1))&&(tsidxtsid355.n8_355>=1))&&(tsidxtsid360.n8_360>=1))&&(tsidxtsid344.n8_344>=1))&&(tsidxtsid351.n8_351>=1))&&(tsidxtsid357.n8_357>=1))&&(tsidxtsid353.n8_353>=1))&&(tsidxtsid358.n8_358>=1))&&(tsidxtsid342.n8_342>=1))&&(tsidxtsid347.n8_347>=1))&&(tsidxtsid352.n8_352>=1)))" U "((((((((((((((((((((malicious_reservoir.malicious_reservoir_0>=1)&&(tsid0.c1_0>=1))||((malicious_reservoir.malicious_reservoir_0>=1)&&(tsid1.c1_1>=1)))||((malicious_reservoir.malicious_reservoir_0>=1)&&(tsid2.c1_2>=1)))||((malicious_reservoir.malicious_reservoir_0>=1)&&(tsid3.c1_3>=1)))||((malicious_reservoir.malicious_reservoir_0>=1)&&(tsid4.c1_4>=1)))||((malicious_reservoir.malicious_reservoir_0>=1)&&(tsid5.c1_5>=1)))||((malicious_reservoir.malicious_reservoir_0>=1)&&(tsid6.c1_6>=1)))||((malicious_reservoir.malicious_reservoir_0>=1)&&(tsid7.c1_7>=1)))||((malicious_reservoir.malicious_reservoir_0>=1)&&(tsid8.c1_8>=1)))||((malicious_reservoir.malicious_reservoir_0>=1)&&(tsid9.c1_9>=1)))||((malicious_reservoir.malicious_reservoir_0>=1)&&(tsid10.c1_10>=1)))||((malicious_reservoir.malicious_reservoir_0>=1)&&(tsid11.c1_11>=1)))||((malicious_reservoir.malicious_reservoir_0>=1)&&(tsid12.c1_12>=1)))||((malicious_reservoir.malicious_reservoir_0>=1)&&(tsid13.c1_13>=1)))||((malicious_reservoir.malicious_reservoir_0>=1)&&(tsid14.c1_14>=1)))||((malicious_reservoir.malicious_reservoir_0>=1)&&(tsid15.c1_15>=1)))||((malicious_reservoir.malicious_reservoir_0>=1)&&(tsid16.c1_16>=1)))||((malicious_reservoir.malicious_reservoir_0>=1)&&(tsid17.c1_17>=1)))||((malicious_reservoir.malicious_reservoir_0>=1)&&(tsid18.c1_18>=1)))") U FGX"((((((((((((((((((((malicious_reservoir.malicious_reservoir_0>=1)&&(tsid0.c1_0>=1))||((malicious_reservoir.malicious_reservoir_0>=1)&&(tsid1.c1_1>=1)))||((malicious_reservoir.malicious_reservoir_0>=1)&&(tsid2.c1_2>=1)))||((malicious_reservoir.malicious_reservoir_0>=1)&&(tsid3.c1_3>=1)))||((malicious_reservoir.malicious_reservoir_0>=1)&&(tsid4.c1_4>=1)))||((malicious_reservoir.malicious_reservoir_0>=1)&&(tsid5.c1_5>=1)))||((malicious_reservoir.malicious_reservoir_0>=1)&&(tsid6.c1_6>=1)))||((malicious_reservoir.malicious_reservoir_0>=1)&&(tsid7.c1_7>=1)))||((malicious_reservoir.malicious_reservoir_0>=1)&&(tsid8.c1_8>=1)))||((malicious_reservoir.malicious_reservoir_0>=1)&&(tsid9.c1_9>=1)))||((malicious_reservoir.malicious_reservoir_0>=1)&&(tsid10.c1_10>=1)))||((malicious_reservoir.malicious_reservoir_0>=1)&&(tsid11.c1_11>=1)))||((malicious_reservoir.malicious_reservoir_0>=1)&&(tsid12.c1_12>=1)))||((malicious_reservoir.malicious_reservoir_0>=1)&&(tsid13.c1_13>=1)))||((malicious_reservoir.malicious_reservoir_0>=1)&&(tsid14.c1_14>=1)))||((malicious_reservoir.malicious_reservoir_0>=1)&&(tsid15.c1_15>=1)))||((malicious_reservoir.malicious_reservoir_0>=1)&&(tsid16.c1_16>=1)))||((malicious_reservoir.malicious_reservoir_0>=1)&&(tsid17.c1_17>=1)))||((malicious_reservoir.malicious_reservoir_0>=1)&&(tsid18.c1_18>=1)))")
built 89 ordering constraints for composite.
Presburger conditions satisfied. Using coverability to approximate state space in K-Induction.
// Phase 1: matrix 296 rows 1398 cols
invariant :tsidxtsid13:n7_13 + -1'tsidxtsid18:n7_18 + -1'tsid13:Cstart_13 + tsid18:Cstart_18 = 0
invariant :tsidxtsid44:n8_44 + -1'tsidxtsid56:n8_56 + tsid6:Cstart_6 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid207:n9_207 + -1'tsid10:SstopOK_10 + tsid17:CstopOK_17 = 0
invariant :tsidxtsid347:n7_347 + -1'tsidxtsid360:n7_360 + -1'tsid5:Cstart_5 + tsid18:Cstart_18 = 0
invariant :tsidxtsid282:n7_282 + -1'tsidxtsid284:n7_284 + -1'tsid16:Cstart_16 + tsid18:Cstart_18 = 0
invariant :tsidxtsid196:n8_196 + -1'tsidxtsid208:n8_208 + tsid6:Cstart_6 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid355:n7_355 + -1'tsidxtsid360:n7_360 + -1'tsid13:Cstart_13 + tsid18:Cstart_18 = 0
invariant :tsidxtsid220:n9_220 + -1'tsid11:SstopOK_11 + tsid11:CstopOK_11 = 0
invariant :tsidxtsid2:n7_2 + -1'tsidxtsid18:n7_18 + -1'tsid2:Cstart_2 + tsid18:Cstart_18 = 0
invariant :tsidxtsid244:n8_244 + -1'tsidxtsid246:n8_246 + tsid16:Cstart_16 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid121:n8_121 + -1'tsidxtsid132:n8_132 + tsid7:Cstart_7 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid224:n7_224 + -1'tsidxtsid227:n7_227 + -1'tsid15:Cstart_15 + tsid18:Cstart_18 = 0
invariant :tsidxtsid186:n9_186 + -1'tsid9:SstopOK_9 + tsid15:CstopOK_15 = 0
invariant :tsidxtsid296:n9_296 + tsid11:CstopOK_11 + -1'tsid15:SstopOK_15 = 0
invariant :tsidxtsid106:n8_106 + -1'tsidxtsid113:n8_113 + tsid11:Cstart_11 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid143:n8_143 + -1'tsidxtsid151:n8_151 + tsid10:Cstart_10 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid56:n9_56 + -1'tsid2:SstopOK_2 + tsid18:CstopOK_18 = 0
invariant :tsidxtsid317:n8_317 + -1'tsidxtsid322:n8_322 + tsid13:Cstart_13 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid103:n8_103 + -1'tsidxtsid113:n8_113 + tsid8:Cstart_8 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid149:n8_149 + -1'tsidxtsid151:n8_151 + tsid16:Cstart_16 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid148:n7_148 + -1'tsidxtsid151:n7_151 + -1'tsid15:Cstart_15 + tsid18:Cstart_18 = 0
invariant :tsidxtsid353:n8_353 + -1'tsidxtsid360:n8_360 + tsid11:Cstart_11 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid35:n8_35 + -1'tsidxtsid37:n8_37 + tsid16:Cstart_16 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid324:n7_324 + -1'tsidxtsid341:n7_341 + -1'tsid1:Cstart_1 + tsid18:Cstart_18 = 0
invariant :tsidxtsid86:n8_86 + -1'tsidxtsid94:n8_94 + tsid10:Cstart_10 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid14:n9_14 + -1'tsid0:SstopOK_0 + tsid14:CstopOK_14 = 0
invariant :tsidxtsid147:n9_147 + -1'tsid7:SstopOK_7 + tsid14:CstopOK_14 = 0
invariant :tsidxtsid98:n9_98 + tsid3:CstopOK_3 + -1'tsid5:SstopOK_5 = 0
invariant :tsidxtsid89:n7_89 + -1'tsidxtsid94:n7_94 + -1'tsid13:Cstart_13 + tsid18:Cstart_18 = 0
invariant :tsidxtsid62:n9_62 + -1'tsid3:SstopOK_3 + tsid5:CstopOK_5 = 0
invariant :tsidxtsid139:n9_139 + tsid6:CstopOK_6 + -1'tsid7:SstopOK_7 = 0
invariant :tsidxtsid331:n8_331 + -1'tsidxtsid341:n8_341 + tsid8:Cstart_8 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid203:n9_203 + -1'tsid10:SstopOK_10 + tsid13:CstopOK_13 = 0
invariant :tsid2:n4_2 + tsid2:n3_2 + -1'tsid18:n4_18 + -1'tsid18:n3_18 = 0
invariant :tsidxtsid233:n8_233 + -1'tsidxtsid246:n8_246 + tsid5:Cstart_5 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid309:n8_309 + -1'tsidxtsid322:n8_322 + tsid5:Cstart_5 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid271:n7_271 + -1'tsidxtsid284:n7_284 + -1'tsid5:Cstart_5 + tsid18:Cstart_18 = 0
invariant :tsidxtsid137:n7_137 + -1'tsidxtsid151:n7_151 + -1'tsid4:Cstart_4 + tsid18:Cstart_18 = 0
invariant :tsidxtsid218:n7_218 + -1'tsidxtsid227:n7_227 + -1'tsid9:Cstart_9 + tsid18:Cstart_18 = 0
invariant :tsidxtsid36:n8_36 + -1'tsidxtsid37:n8_37 + tsid17:Cstart_17 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid73:n7_73 + -1'tsidxtsid75:n7_75 + -1'tsid16:Cstart_16 + tsid18:Cstart_18 = 0
invariant :tsidxtsid9:n7_9 + -1'tsidxtsid18:n7_18 + -1'tsid9:Cstart_9 + tsid18:Cstart_18 = 0
invariant :tsidxtsid152:n7_152 + -1'tsidxtsid170:n7_170 + -1'tsid0:Cstart_0 + tsid18:Cstart_18 = 0
invariant :tsidxtsid278:n7_278 + -1'tsidxtsid284:n7_284 + -1'tsid12:Cstart_12 + tsid18:Cstart_18 = 0
invariant :tsidxtsid285:n7_285 + -1'tsidxtsid303:n7_303 + -1'tsid0:Cstart_0 + tsid18:Cstart_18 = 0
invariant :tsidxtsid280:n8_280 + -1'tsidxtsid284:n8_284 + tsid14:Cstart_14 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid332:n8_332 + -1'tsidxtsid341:n8_341 + tsid9:Cstart_9 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid91:n8_91 + -1'tsidxtsid94:n8_94 + tsid15:Cstart_15 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid180:n9_180 + -1'tsid9:SstopOK_9 + tsid9:CstopOK_9 = 0
invariant :tsidxtsid12:n9_12 + -1'tsid0:SstopOK_0 + tsid12:CstopOK_12 = 0
invariant :tsidxtsid45:n7_45 + -1'tsidxtsid56:n7_56 + -1'tsid7:Cstart_7 + tsid18:Cstart_18 = 0
invariant :tsidxtsid187:n8_187 + -1'tsidxtsid189:n8_189 + tsid16:Cstart_16 + -1'tsid18:Cstart_18 = 0
invariant :tsid15:n6_15 + tsid15:n5_15 + -1'tsid18:n6_18 + -1'tsid18:n5_18 = 0
invariant :tsidxtsid95:n9_95 + tsid0:CstopOK_0 + -1'tsid5:SstopOK_5 = 0
invariant :tsidxtsid252:n7_252 + -1'tsidxtsid265:n7_265 + -1'tsid5:Cstart_5 + tsid18:Cstart_18 = 0
invariant :tsidxtsid76:n7_76 + -1'tsidxtsid94:n7_94 + -1'tsid0:Cstart_0 + tsid18:Cstart_18 = 0
invariant :tsidxtsid289:n7_289 + -1'tsidxtsid303:n7_303 + -1'tsid4:Cstart_4 + tsid18:Cstart_18 = 0
invariant :tsidxtsid182:n9_182 + -1'tsid9:SstopOK_9 + tsid11:CstopOK_11 = 0
invariant :tsidxtsid68:n7_68 + -1'tsidxtsid75:n7_75 + -1'tsid11:Cstart_11 + tsid18:Cstart_18 = 0
invariant :tsidxtsid128:n8_128 + -1'tsidxtsid132:n8_132 + tsid14:Cstart_14 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid69:n9_69 + -1'tsid3:SstopOK_3 + tsid12:CstopOK_12 = 0
invariant :tsidxtsid22:n8_22 + -1'tsidxtsid37:n8_37 + tsid3:Cstart_3 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid218:n9_218 + tsid9:CstopOK_9 + -1'tsid11:SstopOK_11 = 0
invariant :tsidxtsid64:n7_64 + -1'tsidxtsid75:n7_75 + -1'tsid7:Cstart_7 + tsid18:Cstart_18 = 0
invariant :tsidxtsid79:n8_79 + -1'tsidxtsid94:n8_94 + tsid3:Cstart_3 + -1'tsid18:Cstart_18 = 0
invariant :tsid11:n6_11 + tsid11:n5_11 + -1'tsid18:n6_18 + -1'tsid18:n5_18 = 0
invariant :tsidxtsid17:n8_17 + -1'tsidxtsid18:n8_18 + tsid17:Cstart_17 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid152:n9_152 + tsid0:CstopOK_0 + -1'tsid8:SstopOK_8 = 0
invariant :tsidxtsid141:n8_141 + -1'tsidxtsid151:n8_151 + tsid8:Cstart_8 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid212:n9_212 + tsid3:CstopOK_3 + -1'tsid11:SstopOK_11 = 0
invariant :tsidxtsid16:n9_16 + -1'tsid0:SstopOK_0 + tsid16:CstopOK_16 = 0
invariant :tsidxtsid340:n7_340 + -1'tsidxtsid341:n7_341 + -1'tsid17:Cstart_17 + tsid18:Cstart_18 = 0
invariant :tsidxtsid306:n9_306 + tsid2:CstopOK_2 + -1'tsid16:SstopOK_16 = 0
invariant :tsidxtsid166:n8_166 + -1'tsidxtsid170:n8_170 + tsid14:Cstart_14 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid321:n7_321 + -1'tsidxtsid322:n7_322 + -1'tsid17:Cstart_17 + tsid18:Cstart_18 = 0
invariant :tsidxtsid294:n7_294 + -1'tsidxtsid303:n7_303 + -1'tsid9:Cstart_9 + tsid18:Cstart_18 = 0
invariant :tsidxtsid293:n8_293 + -1'tsidxtsid303:n8_303 + tsid8:Cstart_8 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid163:n7_163 + -1'tsidxtsid170:n7_170 + -1'tsid11:Cstart_11 + tsid18:Cstart_18 = 0
invariant :tsidxtsid200:n7_200 + -1'tsidxtsid208:n7_208 + -1'tsid10:Cstart_10 + tsid18:Cstart_18 = 0
invariant :tsid4:n6_4 + tsid4:n5_4 + -1'tsid18:n6_18 + -1'tsid18:n5_18 = 0
invariant :tsidxtsid134:n7_134 + -1'tsidxtsid151:n7_151 + -1'tsid1:Cstart_1 + tsid18:Cstart_18 = 0
invariant :tsidxtsid145:n8_145 + -1'tsidxtsid151:n8_151 + tsid12:Cstart_12 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid103:n7_103 + -1'tsidxtsid113:n7_113 + -1'tsid8:Cstart_8 + tsid18:Cstart_18 = 0
invariant :tsidxtsid80:n7_80 + -1'tsidxtsid94:n7_94 + -1'tsid4:Cstart_4 + tsid18:Cstart_18 = 0
invariant :tsidxtsid98:n7_98 + -1'tsidxtsid113:n7_113 + -1'tsid3:Cstart_3 + tsid18:Cstart_18 = 0
invariant :tsidxtsid188:n9_188 + -1'tsid9:SstopOK_9 + tsid17:CstopOK_17 = 0
invariant :tsidxtsid153:n9_153 + tsid1:CstopOK_1 + -1'tsid8:SstopOK_8 = 0
invariant :tsidxtsid235:n7_235 + -1'tsidxtsid246:n7_246 + -1'tsid7:Cstart_7 + tsid18:Cstart_18 = 0
invariant :tsidxtsid291:n7_291 + -1'tsidxtsid303:n7_303 + -1'tsid6:Cstart_6 + tsid18:Cstart_18 = 0
invariant :tsidxtsid144:n9_144 + -1'tsid7:SstopOK_7 + tsid11:CstopOK_11 = 0
invariant :tsidxtsid122:n7_122 + -1'tsidxtsid132:n7_132 + -1'tsid8:Cstart_8 + tsid18:Cstart_18 = 0
invariant :tsidxtsid335:n8_335 + -1'tsidxtsid341:n8_341 + tsid12:Cstart_12 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid156:n8_156 + -1'tsidxtsid170:n8_170 + tsid4:Cstart_4 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid24:n7_24 + -1'tsidxtsid37:n7_37 + -1'tsid5:Cstart_5 + tsid18:Cstart_18 = 0
invariant :tsidxtsid63:n7_63 + -1'tsidxtsid75:n7_75 + -1'tsid6:Cstart_6 + tsid18:Cstart_18 = 0
invariant :tsid3:n4_3 + tsid3:n3_3 + -1'tsid18:n4_18 + -1'tsid18:n3_18 = 0
invariant :tsidxtsid285:n8_285 + -1'tsidxtsid303:n8_303 + tsid0:Cstart_0 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid202:n7_202 + -1'tsidxtsid208:n7_208 + -1'tsid12:Cstart_12 + tsid18:Cstart_18 = 0
invariant :tsidxtsid0:n9_0 + -1'tsid0:SstopOK_0 + tsid0:CstopOK_0 = 0
invariant :tsidxtsid191:n8_191 + -1'tsidxtsid208:n8_208 + tsid1:Cstart_1 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid174:n9_174 + tsid3:CstopOK_3 + -1'tsid9:SstopOK_9 = 0
invariant :tsidxtsid66:n7_66 + -1'tsidxtsid75:n7_75 + -1'tsid9:Cstart_9 + tsid18:Cstart_18 = 0
invariant :tsid2:n2_2 + tsid2:n1_2 + -1'tsid18:n2_18 + -1'tsid18:n1_18 = 0
invariant :tsidxtsid142:n9_142 + -1'tsid7:SstopOK_7 + tsid9:CstopOK_9 = 0
invariant :tsidxtsid108:n8_108 + -1'tsidxtsid113:n8_113 + tsid13:Cstart_13 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid327:n7_327 + -1'tsidxtsid341:n7_341 + -1'tsid4:Cstart_4 + tsid18:Cstart_18 = 0
invariant :tsidxtsid288:n9_288 + tsid3:CstopOK_3 + -1'tsid15:SstopOK_15 = 0
invariant :tsidxtsid254:n7_254 + -1'tsidxtsid265:n7_265 + -1'tsid7:Cstart_7 + tsid18:Cstart_18 = 0
invariant :tsidxtsid224:n9_224 + -1'tsid11:SstopOK_11 + tsid15:CstopOK_15 = 0
invariant :tsidxtsid88:n9_88 + -1'tsid4:SstopOK_4 + tsid12:CstopOK_12 = 0
invariant :tsidxtsid23:n8_23 + -1'tsidxtsid37:n8_37 + tsid4:Cstart_4 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid55:n9_55 + -1'tsid2:SstopOK_2 + tsid17:CstopOK_17 = 0
invariant :tsidxtsid84:n8_84 + -1'tsidxtsid94:n8_94 + tsid8:Cstart_8 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid48:n9_48 + -1'tsid2:SstopOK_2 + tsid10:CstopOK_10 = 0
invariant :tsidxtsid41:n9_41 + -1'tsid2:SstopOK_2 + tsid3:CstopOK_3 = 0
invariant :tsidxtsid276:n7_276 + -1'tsidxtsid284:n7_284 + -1'tsid10:Cstart_10 + tsid18:Cstart_18 = 0
invariant :tsidxtsid292:n9_292 + tsid7:CstopOK_7 + -1'tsid15:SstopOK_15 = 0
invariant :tsid13:n4_13 + tsid13:n3_13 + -1'tsid18:n4_18 + -1'tsid18:n3_18 = 0
invariant :tsidxtsid114:n8_114 + -1'tsidxtsid132:n8_132 + tsid0:Cstart_0 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid292:n7_292 + -1'tsidxtsid303:n7_303 + -1'tsid7:Cstart_7 + tsid18:Cstart_18 = 0
invariant :tsidxtsid124:n7_124 + -1'tsidxtsid132:n7_132 + -1'tsid10:Cstart_10 + tsid18:Cstart_18 = 0
invariant :tsidxtsid297:n7_297 + -1'tsidxtsid303:n7_303 + -1'tsid12:Cstart_12 + tsid18:Cstart_18 = 0
invariant :tsid6:n6_6 + tsid6:n5_6 + -1'tsid18:n6_18 + -1'tsid18:n5_18 = 0
invariant :tsidxtsid255:n9_255 + tsid8:CstopOK_8 + -1'tsid13:SstopOK_13 = 0
invariant :tsidxtsid280:n7_280 + -1'tsidxtsid284:n7_284 + -1'tsid14:Cstart_14 + tsid18:Cstart_18 = 0
invariant :tsidxtsid297:n8_297 + -1'tsidxtsid303:n8_303 + tsid12:Cstart_12 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid342:n7_342 + -1'tsidxtsid360:n7_360 + -1'tsid0:Cstart_0 + tsid18:Cstart_18 = 0
invariant :tsidxtsid248:n7_248 + -1'tsidxtsid265:n7_265 + -1'tsid1:Cstart_1 + tsid18:Cstart_18 = 0
invariant :tsidxtsid16:n7_16 + -1'tsidxtsid18:n7_18 + -1'tsid16:Cstart_16 + tsid18:Cstart_18 = 0
invariant :tsidxtsid47:n8_47 + -1'tsidxtsid56:n8_56 + tsid9:Cstart_9 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid51:n8_51 + -1'tsidxtsid56:n8_56 + tsid13:Cstart_13 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid46:n8_46 + -1'tsidxtsid56:n8_56 + tsid8:Cstart_8 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid305:n8_305 + -1'tsidxtsid322:n8_322 + tsid1:Cstart_1 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid245:n9_245 + -1'tsid12:SstopOK_12 + tsid17:CstopOK_17 = 0
invariant :tsidxtsid142:n7_142 + -1'tsidxtsid151:n7_151 + -1'tsid9:Cstart_9 + tsid18:Cstart_18 = 0
invariant :tsidxtsid229:n8_229 + -1'tsidxtsid246:n8_246 + tsid1:Cstart_1 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid244:n9_244 + -1'tsid12:SstopOK_12 + tsid16:CstopOK_16 = 0
invariant :tsidxtsid272:n8_272 + -1'tsidxtsid284:n8_284 + tsid6:Cstart_6 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid97:n8_97 + -1'tsidxtsid113:n8_113 + tsid2:Cstart_2 + -1'tsid18:Cstart_18 = 0
invariant :tsid7:n4_7 + tsid7:n3_7 + -1'tsid18:n4_18 + -1'tsid18:n3_18 = 0
invariant :tsidxtsid165:n7_165 + -1'tsidxtsid170:n7_170 + -1'tsid13:Cstart_13 + tsid18:Cstart_18 = 0
invariant :tsidxtsid19:n9_19 + tsid0:CstopOK_0 + -1'tsid1:SstopOK_1 = 0
invariant :tsidxtsid87:n9_87 + -1'tsid4:SstopOK_4 + tsid11:CstopOK_11 = 0
invariant :tsidxtsid109:n7_109 + -1'tsidxtsid113:n7_113 + -1'tsid14:Cstart_14 + tsid18:Cstart_18 = 0
invariant :tsidxtsid323:n7_323 + -1'tsidxtsid341:n7_341 + -1'tsid0:Cstart_0 + tsid18:Cstart_18 = 0
invariant :tsidxtsid211:n7_211 + -1'tsidxtsid227:n7_227 + -1'tsid2:Cstart_2 + tsid18:Cstart_18 = 0
invariant :tsidxtsid195:n9_195 + tsid5:CstopOK_5 + -1'tsid10:SstopOK_10 = 0
invariant :tsidxtsid182:n8_182 + -1'tsidxtsid189:n8_189 + tsid11:Cstart_11 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid19:n8_19 + -1'tsidxtsid37:n8_37 + tsid0:Cstart_0 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid44:n7_44 + -1'tsidxtsid56:n7_56 + -1'tsid6:Cstart_6 + tsid18:Cstart_18 = 0
invariant :tsidxtsid102:n7_102 + -1'tsidxtsid113:n7_113 + -1'tsid7:Cstart_7 + tsid18:Cstart_18 = 0
invariant :tsidxtsid161:n8_161 + -1'tsidxtsid170:n8_170 + tsid9:Cstart_9 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid196:n7_196 + -1'tsidxtsid208:n7_208 + -1'tsid6:Cstart_6 + tsid18:Cstart_18 = 0
invariant :tsidxtsid270:n7_270 + -1'tsidxtsid284:n7_284 + -1'tsid4:Cstart_4 + tsid18:Cstart_18 = 0
invariant :tsidxtsid319:n8_319 + -1'tsidxtsid322:n8_322 + tsid15:Cstart_15 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid99:n7_99 + -1'tsidxtsid113:n7_113 + -1'tsid4:Cstart_4 + tsid18:Cstart_18 = 0
invariant :tsidxtsid29:n8_29 + -1'tsidxtsid37:n8_37 + tsid10:Cstart_10 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid249:n7_249 + -1'tsidxtsid265:n7_265 + -1'tsid2:Cstart_2 + tsid18:Cstart_18 = 0
invariant :tsidxtsid48:n7_48 + -1'tsidxtsid56:n7_56 + -1'tsid10:Cstart_10 + tsid18:Cstart_18 = 0
invariant :tsidxtsid240:n7_240 + -1'tsidxtsid246:n7_246 + -1'tsid12:Cstart_12 + tsid18:Cstart_18 = 0
invariant :tsidxtsid131:n8_131 + -1'tsidxtsid132:n8_132 + tsid17:Cstart_17 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid100:n8_100 + -1'tsidxtsid113:n8_113 + tsid5:Cstart_5 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid43:n9_43 + -1'tsid2:SstopOK_2 + tsid5:CstopOK_5 = 0
invariant :tsidxtsid191:n9_191 + tsid1:CstopOK_1 + -1'tsid10:SstopOK_10 = 0
invariant :tsidxtsid20:n9_20 + -1'tsid1:SstopOK_1 + tsid1:CstopOK_1 = 0
invariant :tsidxtsid147:n7_147 + -1'tsidxtsid151:n7_151 + -1'tsid14:Cstart_14 + tsid18:Cstart_18 = 0
invariant :tsidxtsid102:n8_102 + -1'tsidxtsid113:n8_113 + tsid7:Cstart_7 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid23:n7_23 + -1'tsidxtsid37:n7_37 + -1'tsid4:Cstart_4 + tsid18:Cstart_18 = 0
invariant :tsidxtsid324:n9_324 + tsid1:CstopOK_1 + -1'tsid17:SstopOK_17 = 0
invariant :tsidxtsid286:n8_286 + -1'tsidxtsid303:n8_303 + tsid1:Cstart_1 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid148:n8_148 + -1'tsidxtsid151:n8_151 + tsid15:Cstart_15 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid178:n9_178 + tsid7:CstopOK_7 + -1'tsid9:SstopOK_9 = 0
invariant :tsidxtsid115:n8_115 + -1'tsidxtsid132:n8_132 + tsid1:Cstart_1 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid354:n7_354 + -1'tsidxtsid360:n7_360 + -1'tsid12:Cstart_12 + tsid18:Cstart_18 = 0
invariant :tsidxtsid313:n7_313 + -1'tsidxtsid322:n7_322 + -1'tsid9:Cstart_9 + tsid18:Cstart_18 = 0
invariant :tsidxtsid91:n7_91 + -1'tsidxtsid94:n7_94 + -1'tsid15:Cstart_15 + tsid18:Cstart_18 = 0
invariant :tsidxtsid169:n7_169 + -1'tsidxtsid170:n7_170 + -1'tsid17:Cstart_17 + tsid18:Cstart_18 = 0
invariant :tsidxtsid50:n9_50 + -1'tsid2:SstopOK_2 + tsid12:CstopOK_12 = 0
invariant :tsidxtsid206:n8_206 + -1'tsidxtsid208:n8_208 + tsid16:Cstart_16 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid133:n9_133 + tsid0:CstopOK_0 + -1'tsid7:SstopOK_7 = 0
invariant :tsidxtsid34:n8_34 + -1'tsidxtsid37:n8_37 + tsid15:Cstart_15 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid50:n8_50 + -1'tsidxtsid56:n8_56 + tsid12:Cstart_12 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid136:n9_136 + tsid3:CstopOK_3 + -1'tsid7:SstopOK_7 = 0
invariant :tsidxtsid302:n9_302 + -1'tsid15:SstopOK_15 + tsid17:CstopOK_17 = 0
invariant :tsidxtsid299:n9_299 + tsid14:CstopOK_14 + -1'tsid15:SstopOK_15 = 0
invariant :tsidxtsid124:n8_124 + -1'tsidxtsid132:n8_132 + tsid10:Cstart_10 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid16:n8_16 + -1'tsidxtsid18:n8_18 + tsid16:Cstart_16 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid269:n9_269 + tsid3:CstopOK_3 + -1'tsid14:SstopOK_14 = 0
invariant :tsidxtsid20:n7_20 + -1'tsidxtsid37:n7_37 + -1'tsid1:Cstart_1 + tsid18:Cstart_18 = 0
invariant :tsidxtsid273:n8_273 + -1'tsidxtsid284:n8_284 + tsid7:Cstart_7 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid236:n7_236 + -1'tsidxtsid246:n7_246 + -1'tsid8:Cstart_8 + tsid18:Cstart_18 = 0
invariant :tsidxtsid83:n9_83 + -1'tsid4:SstopOK_4 + tsid7:CstopOK_7 = 0
invariant :tsidxtsid67:n8_67 + -1'tsidxtsid75:n8_75 + tsid10:Cstart_10 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid204:n8_204 + -1'tsidxtsid208:n8_208 + tsid14:Cstart_14 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid150:n9_150 + -1'tsid7:SstopOK_7 + tsid17:CstopOK_17 = 0
invariant :tsidxtsid293:n7_293 + -1'tsidxtsid303:n7_303 + -1'tsid8:Cstart_8 + tsid18:Cstart_18 = 0
invariant :tsid9:n4_9 + tsid9:n3_9 + -1'tsid18:n4_18 + -1'tsid18:n3_18 = 0
invariant :tsidxtsid81:n7_81 + -1'tsidxtsid94:n7_94 + -1'tsid5:Cstart_5 + tsid18:Cstart_18 = 0
invariant :tsidxtsid114:n7_114 + -1'tsidxtsid132:n7_132 + -1'tsid0:Cstart_0 + tsid18:Cstart_18 = 0
invariant :tsidxtsid239:n7_239 + -1'tsidxtsid246:n7_246 + -1'tsid11:Cstart_11 + tsid18:Cstart_18 = 0
invariant :tsidxtsid245:n8_245 + -1'tsidxtsid246:n8_246 + tsid17:Cstart_17 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid145:n9_145 + -1'tsid7:SstopOK_7 + tsid12:CstopOK_12 = 0
invariant :tsidxtsid51:n7_51 + -1'tsidxtsid56:n7_56 + -1'tsid13:Cstart_13 + tsid18:Cstart_18 = 0
invariant :tsidxtsid261:n7_261 + -1'tsidxtsid265:n7_265 + -1'tsid14:Cstart_14 + tsid18:Cstart_18 = 0
invariant :tsidxtsid93:n9_93 + -1'tsid4:SstopOK_4 + tsid17:CstopOK_17 = 0
invariant :tsidxtsid52:n7_52 + -1'tsidxtsid56:n7_56 + -1'tsid14:Cstart_14 + tsid18:Cstart_18 = 0
invariant :tsidxtsid121:n7_121 + -1'tsidxtsid132:n7_132 + -1'tsid7:Cstart_7 + tsid18:Cstart_18 = 0
invariant :tsidxtsid322:n9_322 + -1'tsid16:SstopOK_16 + tsid18:CstopOK_18 = 0
invariant :tsidxtsid259:n8_259 + -1'tsidxtsid265:n8_265 + tsid12:Cstart_12 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid106:n7_106 + -1'tsidxtsid113:n7_113 + -1'tsid11:Cstart_11 + tsid18:Cstart_18 = 0
invariant :tsidxtsid248:n9_248 + tsid1:CstopOK_1 + -1'tsid13:SstopOK_13 = 0
invariant :tsidxtsid39:n7_39 + -1'tsidxtsid56:n7_56 + -1'tsid1:Cstart_1 + tsid18:Cstart_18 = 0
invariant :tsidxtsid222:n8_222 + -1'tsidxtsid227:n8_227 + tsid13:Cstart_13 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid192:n9_192 + tsid2:CstopOK_2 + -1'tsid10:SstopOK_10 = 0
invariant :tsidxtsid78:n7_78 + -1'tsidxtsid94:n7_94 + -1'tsid2:Cstart_2 + tsid18:Cstart_18 = 0
invariant :tsidxtsid352:n7_352 + -1'tsidxtsid360:n7_360 + -1'tsid10:Cstart_10 + tsid18:Cstart_18 = 0
invariant :tsidxtsid218:n8_218 + -1'tsidxtsid227:n8_227 + tsid9:Cstart_9 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid74:n7_74 + -1'tsidxtsid75:n7_75 + -1'tsid17:Cstart_17 + tsid18:Cstart_18 = 0
invariant :tsidxtsid68:n8_68 + -1'tsidxtsid75:n8_75 + tsid11:Cstart_11 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid148:n9_148 + -1'tsid7:SstopOK_7 + tsid15:CstopOK_15 = 0
invariant :tsidxtsid171:n7_171 + -1'tsidxtsid189:n7_189 + -1'tsid0:Cstart_0 + tsid18:Cstart_18 = 0
invariant :tsidxtsid269:n7_269 + -1'tsidxtsid284:n7_284 + -1'tsid3:Cstart_3 + tsid18:Cstart_18 = 0
invariant :tsidxtsid133:n8_133 + -1'tsidxtsid151:n8_151 + tsid0:Cstart_0 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid318:n9_318 + tsid14:CstopOK_14 + -1'tsid16:SstopOK_16 = 0
invariant :tsidxtsid288:n8_288 + -1'tsidxtsid303:n8_303 + tsid3:Cstart_3 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid332:n7_332 + -1'tsidxtsid341:n7_341 + -1'tsid9:Cstart_9 + tsid18:Cstart_18 = 0
invariant :tsidxtsid281:n7_281 + -1'tsidxtsid284:n7_284 + -1'tsid15:Cstart_15 + tsid18:Cstart_18 = 0
invariant :tsidxtsid354:n9_354 + tsid12:CstopOK_12 + -1'tsid18:SstopOK_18 = 0
invariant :tsidxtsid268:n9_268 + tsid2:CstopOK_2 + -1'tsid14:SstopOK_14 = 0
invariant :tsidxtsid83:n7_83 + -1'tsidxtsid94:n7_94 + -1'tsid7:Cstart_7 + tsid18:Cstart_18 = 0
invariant :tsidxtsid58:n8_58 + -1'tsidxtsid75:n8_75 + tsid1:Cstart_1 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid6:n7_6 + -1'tsidxtsid18:n7_18 + -1'tsid6:Cstart_6 + tsid18:Cstart_18 = 0
invariant :tsidxtsid85:n8_85 + -1'tsidxtsid94:n8_94 + tsid9:Cstart_9 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid306:n8_306 + -1'tsidxtsid322:n8_322 + tsid2:Cstart_2 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid306:n7_306 + -1'tsidxtsid322:n7_322 + -1'tsid2:Cstart_2 + tsid18:Cstart_18 = 0
invariant :tsidxtsid294:n9_294 + tsid9:CstopOK_9 + -1'tsid15:SstopOK_15 = 0
invariant :tsidxtsid270:n9_270 + tsid4:CstopOK_4 + -1'tsid14:SstopOK_14 = 0
invariant :tsidxtsid328:n7_328 + -1'tsidxtsid341:n7_341 + -1'tsid5:Cstart_5 + tsid18:Cstart_18 = 0
invariant :tsidxtsid112:n7_112 + -1'tsidxtsid113:n7_113 + -1'tsid17:Cstart_17 + tsid18:Cstart_18 = 0
invariant :tsidxtsid256:n8_256 + -1'tsidxtsid265:n8_265 + tsid9:Cstart_9 + -1'tsid18:Cstart_18 = 0
invariant :tsid8:n4_8 + tsid8:n3_8 + -1'tsid18:n4_18 + -1'tsid18:n3_18 = 0
invariant :tsidxtsid81:n8_81 + -1'tsidxtsid94:n8_94 + tsid5:Cstart_5 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid247:n8_247 + -1'tsidxtsid265:n8_265 + tsid0:Cstart_0 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid240:n8_240 + -1'tsidxtsid246:n8_246 + tsid12:Cstart_12 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid135:n8_135 + -1'tsidxtsid151:n8_151 + tsid2:Cstart_2 + -1'tsid18:Cstart_18 = 0
invariant :tsid2:n6_2 + tsid2:n5_2 + -1'tsid18:n6_18 + -1'tsid18:n5_18 = 0
invariant :tsidxtsid289:n8_289 + -1'tsidxtsid303:n8_303 + tsid4:Cstart_4 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid132:n9_132 + -1'tsid6:SstopOK_6 + tsid18:CstopOK_18 = 0
invariant :tsidxtsid136:n7_136 + -1'tsidxtsid151:n7_151 + -1'tsid3:Cstart_3 + tsid18:Cstart_18 = 0
invariant :tsidxtsid104:n9_104 + -1'tsid5:SstopOK_5 + tsid9:CstopOK_9 = 0
invariant :tsidxtsid298:n8_298 + -1'tsidxtsid303:n8_303 + tsid13:Cstart_13 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid173:n9_173 + tsid2:CstopOK_2 + -1'tsid9:SstopOK_9 = 0
invariant :tsidxtsid186:n7_186 + -1'tsidxtsid189:n7_189 + -1'tsid15:Cstart_15 + tsid18:Cstart_18 = 0
invariant :tsid1:n4_1 + tsid1:n3_1 + -1'tsid18:n4_18 + -1'tsid18:n3_18 = 0
invariant :tsidxtsid217:n7_217 + -1'tsidxtsid227:n7_227 + -1'tsid8:Cstart_8 + tsid18:Cstart_18 = 0
invariant :tsidxtsid340:n9_340 + -1'tsid17:SstopOK_17 + tsid17:CstopOK_17 = 0
invariant :tsidxtsid252:n8_252 + -1'tsidxtsid265:n8_265 + tsid5:Cstart_5 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid250:n9_250 + tsid3:CstopOK_3 + -1'tsid13:SstopOK_13 = 0
invariant :tsidxtsid8:n7_8 + -1'tsidxtsid18:n7_18 + -1'tsid8:Cstart_8 + tsid18:Cstart_18 = 0
invariant :tsidxtsid84:n7_84 + -1'tsidxtsid94:n7_94 + -1'tsid8:Cstart_8 + tsid18:Cstart_18 = 0
invariant :tsidxtsid326:n8_326 + -1'tsidxtsid341:n8_341 + tsid3:Cstart_3 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid72:n8_72 + -1'tsidxtsid75:n8_75 + tsid15:Cstart_15 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid343:n9_343 + tsid1:CstopOK_1 + -1'tsid18:SstopOK_18 = 0
invariant :tsidxtsid189:n9_189 + -1'tsid9:SstopOK_9 + tsid18:CstopOK_18 = 0
invariant :tsidxtsid158:n9_158 + tsid6:CstopOK_6 + -1'tsid8:SstopOK_8 = 0
invariant :tsidxtsid332:n9_332 + tsid9:CstopOK_9 + -1'tsid17:SstopOK_17 = 0
invariant :tsidxtsid89:n9_89 + -1'tsid4:SstopOK_4 + tsid13:CstopOK_13 = 0
invariant :tsidxtsid3:n7_3 + -1'tsidxtsid18:n7_18 + -1'tsid3:Cstart_3 + tsid18:Cstart_18 = 0
invariant :tsidxtsid36:n7_36 + -1'tsidxtsid37:n7_37 + -1'tsid17:Cstart_17 + tsid18:Cstart_18 = 0
invariant :tsidxtsid238:n7_238 + -1'tsidxtsid246:n7_246 + -1'tsid10:Cstart_10 + tsid18:Cstart_18 = 0
invariant :tsid5:n2_5 + tsid5:n1_5 + -1'tsid18:n2_18 + -1'tsid18:n1_18 = 0
invariant :tsidxtsid101:n8_101 + -1'tsidxtsid113:n8_113 + tsid6:Cstart_6 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid105:n8_105 + -1'tsidxtsid113:n8_113 + tsid10:Cstart_10 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid293:n9_293 + tsid8:CstopOK_8 + -1'tsid15:SstopOK_15 = 0
invariant :tsidxtsid174:n7_174 + -1'tsidxtsid189:n7_189 + -1'tsid3:Cstart_3 + tsid18:Cstart_18 = 0
invariant :tsidxtsid87:n7_87 + -1'tsidxtsid94:n7_94 + -1'tsid11:Cstart_11 + tsid18:Cstart_18 = 0
invariant :tsidxtsid152:n8_152 + -1'tsidxtsid170:n8_170 + tsid0:Cstart_0 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid248:n8_248 + -1'tsidxtsid265:n8_265 + tsid1:Cstart_1 + -1'tsid18:Cstart_18 = 0
invariant :tsid8:n6_8 + tsid8:n5_8 + -1'tsid18:n6_18 + -1'tsid18:n5_18 = 0
invariant :tsidxtsid334:n8_334 + -1'tsidxtsid341:n8_341 + tsid11:Cstart_11 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid33:n7_33 + -1'tsidxtsid37:n7_37 + -1'tsid14:Cstart_14 + tsid18:Cstart_18 = 0
invariant :tsidxtsid107:n7_107 + -1'tsidxtsid113:n7_113 + -1'tsid12:Cstart_12 + tsid18:Cstart_18 = 0
invariant :tsidxtsid312:n9_312 + tsid8:CstopOK_8 + -1'tsid16:SstopOK_16 = 0
invariant :tsidxtsid337:n9_337 + tsid14:CstopOK_14 + -1'tsid17:SstopOK_17 = 0
invariant :tsidxtsid296:n8_296 + -1'tsidxtsid303:n8_303 + tsid11:Cstart_11 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid287:n9_287 + tsid2:CstopOK_2 + -1'tsid15:SstopOK_15 = 0
invariant :tsidxtsid185:n7_185 + -1'tsidxtsid189:n7_189 + -1'tsid14:Cstart_14 + tsid18:Cstart_18 = 0
invariant :tsidxtsid228:n7_228 + -1'tsidxtsid246:n7_246 + -1'tsid0:Cstart_0 + tsid18:Cstart_18 = 0
invariant :tsidxtsid28:n8_28 + -1'tsidxtsid37:n8_37 + tsid9:Cstart_9 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid251:n8_251 + -1'tsidxtsid265:n8_265 + tsid4:Cstart_4 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid279:n8_279 + -1'tsidxtsid284:n8_284 + tsid13:Cstart_13 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid344:n8_344 + -1'tsidxtsid360:n8_360 + tsid2:Cstart_2 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid324:n8_324 + -1'tsidxtsid341:n8_341 + tsid1:Cstart_1 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid345:n7_345 + -1'tsidxtsid360:n7_360 + -1'tsid3:Cstart_3 + tsid18:Cstart_18 = 0
invariant :tsidxtsid38:n8_38 + -1'tsidxtsid56:n8_56 + tsid0:Cstart_0 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid10:n9_10 + -1'tsid0:SstopOK_0 + tsid10:CstopOK_10 = 0
invariant :tsidxtsid29:n9_29 + -1'tsid1:SstopOK_1 + tsid10:CstopOK_10 = 0
invariant :tsidxtsid112:n9_112 + -1'tsid5:SstopOK_5 + tsid17:CstopOK_17 = 0
invariant :tsidxtsid24:n9_24 + -1'tsid1:SstopOK_1 + tsid5:CstopOK_5 = 0
invariant :tsidxtsid259:n9_259 + tsid12:CstopOK_12 + -1'tsid13:SstopOK_13 = 0
invariant :tsidxtsid79:n7_79 + -1'tsidxtsid94:n7_94 + -1'tsid3:Cstart_3 + tsid18:Cstart_18 = 0
invariant :tsidxtsid45:n8_45 + -1'tsidxtsid56:n8_56 + tsid7:Cstart_7 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid65:n8_65 + -1'tsidxtsid75:n8_75 + tsid8:Cstart_8 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid127:n9_127 + -1'tsid6:SstopOK_6 + tsid13:CstopOK_13 = 0
invariant :tsidxtsid44:n9_44 + -1'tsid2:SstopOK_2 + tsid6:CstopOK_6 = 0
invariant :CstopAbort:CstopAbort_0 + tsid0:c1_0 + tsid0:Cstart_0 + tsid0:CstopOK_0 + tsid1:c1_1 + tsid1:Cstart_1 + tsid1:CstopOK_1 + tsid2:c1_2 + tsid2:Cstart_2 + tsid2:CstopOK_2 + tsid3:c1_3 + tsid3:Cstart_3 + tsid3:CstopOK_3 + tsid4:c1_4 + tsid4:Cstart_4 + tsid4:CstopOK_4 + tsid5:c1_5 + tsid5:Cstart_5 + tsid5:CstopOK_5 + tsid6:c1_6 + tsid6:Cstart_6 + tsid6:CstopOK_6 + tsid7:c1_7 + tsid7:Cstart_7 + tsid7:CstopOK_7 + tsid8:c1_8 + tsid8:Cstart_8 + tsid8:CstopOK_8 + tsid9:c1_9 + tsid9:Cstart_9 + tsid9:CstopOK_9 + tsid10:c1_10 + tsid10:Cstart_10 + tsid10:CstopOK_10 + tsid11:c1_11 + tsid11:Cstart_11 + tsid11:CstopOK_11 + tsid12:c1_12 + tsid12:Cstart_12 + tsid12:CstopOK_12 + tsid13:c1_13 + tsid13:Cstart_13 + tsid13:CstopOK_13 + tsid14:c1_14 + tsid14:Cstart_14 + tsid14:CstopOK_14 + tsid15:c1_15 + tsid15:Cstart_15 + tsid15:CstopOK_15 + tsid16:c1_16 + tsid16:Cstart_16 + tsid16:CstopOK_16 + tsid17:c1_17 + tsid17:Cstart_17 + tsid17:CstopOK_17 + tsid18:c1_18 + tsid18:Cstart_18 + tsid18:CstopOK_18 = 19
invariant :tsidxtsid188:n7_188 + -1'tsidxtsid189:n7_189 + -1'tsid17:Cstart_17 + tsid18:Cstart_18 = 0
invariant :tsid17:n6_17 + tsid17:n5_17 + -1'tsid18:n6_18 + -1'tsid18:n5_18 = 0
invariant :tsidxtsid299:n8_299 + -1'tsidxtsid303:n8_303 + tsid14:Cstart_14 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid317:n9_317 + tsid13:CstopOK_13 + -1'tsid16:SstopOK_16 = 0
invariant :tsidxtsid144:n7_144 + -1'tsidxtsid151:n7_151 + -1'tsid11:Cstart_11 + tsid18:Cstart_18 = 0
invariant :tsidxtsid144:n8_144 + -1'tsidxtsid151:n8_151 + tsid11:Cstart_11 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid21:n7_21 + -1'tsidxtsid37:n7_37 + -1'tsid2:Cstart_2 + tsid18:Cstart_18 = 0
invariant :tsidxtsid223:n9_223 + -1'tsid11:SstopOK_11 + tsid14:CstopOK_14 = 0
invariant :tsidxtsid190:n8_190 + -1'tsidxtsid208:n8_208 + tsid0:Cstart_0 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid22:n9_22 + -1'tsid1:SstopOK_1 + tsid3:CstopOK_3 = 0
invariant :tsidxtsid283:n8_283 + -1'tsidxtsid284:n8_284 + tsid17:Cstart_17 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid156:n9_156 + tsid4:CstopOK_4 + -1'tsid8:SstopOK_8 = 0
invariant :tsidxtsid290:n7_290 + -1'tsidxtsid303:n7_303 + -1'tsid5:Cstart_5 + tsid18:Cstart_18 = 0
invariant :tsidxtsid90:n9_90 + -1'tsid4:SstopOK_4 + tsid14:CstopOK_14 = 0
invariant :tsidxtsid300:n7_300 + -1'tsidxtsid303:n7_303 + -1'tsid15:Cstart_15 + tsid18:Cstart_18 = 0
invariant :tsidxtsid160:n7_160 + -1'tsidxtsid170:n7_170 + -1'tsid8:Cstart_8 + tsid18:Cstart_18 = 0
invariant :tsidxtsid194:n8_194 + -1'tsidxtsid208:n8_208 + tsid4:Cstart_4 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid221:n8_221 + -1'tsidxtsid227:n8_227 + tsid12:Cstart_12 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid201:n7_201 + -1'tsidxtsid208:n7_208 + -1'tsid11:Cstart_11 + tsid18:Cstart_18 = 0
invariant :tsidxtsid354:n8_354 + -1'tsidxtsid360:n8_360 + tsid12:Cstart_12 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid31:n7_31 + -1'tsidxtsid37:n7_37 + -1'tsid12:Cstart_12 + tsid18:Cstart_18 = 0
invariant :tsidxtsid215:n7_215 + -1'tsidxtsid227:n7_227 + -1'tsid6:Cstart_6 + tsid18:Cstart_18 = 0
invariant :tsidxtsid197:n8_197 + -1'tsidxtsid208:n8_208 + tsid7:Cstart_7 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid0:n8_0 + -1'tsidxtsid18:n8_18 + tsid0:Cstart_0 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid46:n7_46 + -1'tsidxtsid56:n7_56 + -1'tsid8:Cstart_8 + tsid18:Cstart_18 = 0
invariant :tsidxtsid117:n7_117 + -1'tsidxtsid132:n7_132 + -1'tsid3:Cstart_3 + tsid18:Cstart_18 = 0
invariant :tsidxtsid181:n9_181 + -1'tsid9:SstopOK_9 + tsid10:CstopOK_10 = 0
invariant :tsidxtsid319:n7_319 + -1'tsidxtsid322:n7_322 + -1'tsid15:Cstart_15 + tsid18:Cstart_18 = 0
invariant :tsidxtsid326:n9_326 + tsid3:CstopOK_3 + -1'tsid17:SstopOK_17 = 0
invariant :tsidxtsid353:n9_353 + tsid11:CstopOK_11 + -1'tsid18:SstopOK_18 = 0
invariant :tsidxtsid346:n7_346 + -1'tsidxtsid360:n7_360 + -1'tsid4:Cstart_4 + tsid18:Cstart_18 = 0
invariant :tsidxtsid320:n7_320 + -1'tsidxtsid322:n7_322 + -1'tsid16:Cstart_16 + tsid18:Cstart_18 = 0
invariant :tsidxtsid267:n7_267 + -1'tsidxtsid284:n7_284 + -1'tsid1:Cstart_1 + tsid18:Cstart_18 = 0
invariant :tsidxtsid176:n9_176 + tsid5:CstopOK_5 + -1'tsid9:SstopOK_9 = 0
invariant :tsidxtsid259:n7_259 + -1'tsidxtsid265:n7_265 + -1'tsid12:Cstart_12 + tsid18:Cstart_18 = 0
invariant :tsidxtsid143:n7_143 + -1'tsidxtsid151:n7_151 + -1'tsid10:Cstart_10 + tsid18:Cstart_18 = 0
invariant :tsidxtsid329:n9_329 + tsid6:CstopOK_6 + -1'tsid17:SstopOK_17 = 0
invariant :tsidxtsid330:n9_330 + tsid7:CstopOK_7 + -1'tsid17:SstopOK_17 = 0
invariant :tsidxtsid227:n9_227 + -1'tsid11:SstopOK_11 + tsid18:CstopOK_18 = 0
invariant :tsidxtsid200:n9_200 + -1'tsid10:SstopOK_10 + tsid10:CstopOK_10 = 0
invariant :tsidxtsid338:n7_338 + -1'tsidxtsid341:n7_341 + -1'tsid15:Cstart_15 + tsid18:Cstart_18 = 0
invariant :tsidxtsid85:n9_85 + -1'tsid4:SstopOK_4 + tsid9:CstopOK_9 = 0
invariant :tsidxtsid349:n8_349 + -1'tsidxtsid360:n8_360 + tsid7:Cstart_7 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid352:n9_352 + tsid10:CstopOK_10 + -1'tsid18:SstopOK_18 = 0
invariant :tsidxtsid274:n9_274 + tsid8:CstopOK_8 + -1'tsid14:SstopOK_14 = 0
invariant :tsidxtsid216:n7_216 + -1'tsidxtsid227:n7_227 + -1'tsid7:Cstart_7 + tsid18:Cstart_18 = 0
invariant :tsidxtsid5:n8_5 + -1'tsidxtsid18:n8_18 + tsid5:Cstart_5 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid283:n9_283 + -1'tsid14:SstopOK_14 + tsid17:CstopOK_17 = 0
invariant :tsidxtsid198:n9_198 + tsid8:CstopOK_8 + -1'tsid10:SstopOK_10 = 0
invariant :tsidxtsid359:n7_359 + -1'tsidxtsid360:n7_360 + -1'tsid17:Cstart_17 + tsid18:Cstart_18 = 0
invariant :tsidxtsid72:n9_72 + -1'tsid3:SstopOK_3 + tsid15:CstopOK_15 = 0
invariant :tsidxtsid338:n8_338 + -1'tsidxtsid341:n8_341 + tsid15:Cstart_15 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid96:n7_96 + -1'tsidxtsid113:n7_113 + -1'tsid1:Cstart_1 + tsid18:Cstart_18 = 0
invariant :tsidxtsid192:n7_192 + -1'tsidxtsid208:n7_208 + -1'tsid2:Cstart_2 + tsid18:Cstart_18 = 0
invariant :tsidxtsid233:n9_233 + tsid5:CstopOK_5 + -1'tsid12:SstopOK_12 = 0
invariant :tsidxtsid351:n8_351 + -1'tsidxtsid360:n8_360 + tsid9:Cstart_9 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid201:n9_201 + -1'tsid10:SstopOK_10 + tsid11:CstopOK_11 = 0
invariant :tsidxtsid42:n8_42 + -1'tsidxtsid56:n8_56 + tsid4:Cstart_4 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid296:n7_296 + -1'tsidxtsid303:n7_303 + -1'tsid11:Cstart_11 + tsid18:Cstart_18 = 0
invariant :tsidxtsid1:n9_1 + -1'tsid0:SstopOK_0 + tsid1:CstopOK_1 = 0
invariant :tsidxtsid272:n9_272 + tsid6:CstopOK_6 + -1'tsid14:SstopOK_14 = 0
invariant :tsidxtsid301:n8_301 + -1'tsidxtsid303:n8_303 + tsid16:Cstart_16 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid47:n7_47 + -1'tsidxtsid56:n7_56 + -1'tsid9:Cstart_9 + tsid18:Cstart_18 = 0
invariant :tsidxtsid243:n7_243 + -1'tsidxtsid246:n7_246 + -1'tsid15:Cstart_15 + tsid18:Cstart_18 = 0
invariant :tsidxtsid92:n7_92 + -1'tsidxtsid94:n7_94 + -1'tsid16:Cstart_16 + tsid18:Cstart_18 = 0
invariant :tsidxtsid307:n7_307 + -1'tsidxtsid322:n7_322 + -1'tsid3:Cstart_3 + tsid18:Cstart_18 = 0
invariant :tsidxtsid288:n7_288 + -1'tsidxtsid303:n7_303 + -1'tsid3:Cstart_3 + tsid18:Cstart_18 = 0
invariant :tsidxtsid265:n9_265 + -1'tsid13:SstopOK_13 + tsid18:CstopOK_18 = 0
invariant :tsidxtsid273:n9_273 + tsid7:CstopOK_7 + -1'tsid14:SstopOK_14 = 0
invariant :tsidxtsid154:n9_154 + tsid2:CstopOK_2 + -1'tsid8:SstopOK_8 = 0
invariant :tsidxtsid162:n9_162 + -1'tsid8:SstopOK_8 + tsid10:CstopOK_10 = 0
invariant :tsidxtsid352:n8_352 + -1'tsidxtsid360:n8_360 + tsid10:Cstart_10 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid190:n7_190 + -1'tsidxtsid208:n7_208 + -1'tsid0:Cstart_0 + tsid18:Cstart_18 = 0
invariant :tsidxtsid269:n8_269 + -1'tsidxtsid284:n8_284 + tsid3:Cstart_3 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid119:n7_119 + -1'tsidxtsid132:n7_132 + -1'tsid5:Cstart_5 + tsid18:Cstart_18 = 0
invariant :tsidxtsid47:n9_47 + -1'tsid2:SstopOK_2 + tsid9:CstopOK_9 = 0
invariant :tsidxtsid138:n7_138 + -1'tsidxtsid151:n7_151 + -1'tsid5:Cstart_5 + tsid18:Cstart_18 = 0
invariant :tsidxtsid157:n9_157 + tsid5:CstopOK_5 + -1'tsid8:SstopOK_8 = 0
invariant :tsidxtsid358:n9_358 + tsid16:CstopOK_16 + -1'tsid18:SstopOK_18 = 0
invariant :tsidxtsid69:n7_69 + -1'tsidxtsid75:n7_75 + -1'tsid12:Cstart_12 + tsid18:Cstart_18 = 0
invariant :tsidxtsid183:n9_183 + -1'tsid9:SstopOK_9 + tsid12:CstopOK_12 = 0
invariant :tsidxtsid59:n9_59 + tsid2:CstopOK_2 + -1'tsid3:SstopOK_3 = 0
invariant :tsidxtsid312:n7_312 + -1'tsidxtsid322:n7_322 + -1'tsid8:Cstart_8 + tsid18:Cstart_18 = 0
invariant :tsidxtsid252:n9_252 + tsid5:CstopOK_5 + -1'tsid13:SstopOK_13 = 0
invariant :tsidxtsid112:n8_112 + -1'tsidxtsid113:n8_113 + tsid17:Cstart_17 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid308:n9_308 + tsid4:CstopOK_4 + -1'tsid16:SstopOK_16 = 0
invariant :tsidxtsid315:n7_315 + -1'tsidxtsid322:n7_322 + -1'tsid11:Cstart_11 + tsid18:Cstart_18 = 0
invariant :tsidxtsid350:n8_350 + -1'tsidxtsid360:n8_360 + tsid8:Cstart_8 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid179:n9_179 + tsid8:CstopOK_8 + -1'tsid9:SstopOK_9 = 0
invariant :tsidxtsid50:n7_50 + -1'tsidxtsid56:n7_56 + -1'tsid12:Cstart_12 + tsid18:Cstart_18 = 0
invariant :tsidxtsid336:n9_336 + tsid13:CstopOK_13 + -1'tsid17:SstopOK_17 = 0
invariant :tsidxtsid264:n8_264 + -1'tsidxtsid265:n8_265 + tsid17:Cstart_17 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid179:n7_179 + -1'tsidxtsid189:n7_189 + -1'tsid8:Cstart_8 + tsid18:Cstart_18 = 0
invariant :tsidxtsid277:n7_277 + -1'tsidxtsid284:n7_284 + -1'tsid11:Cstart_11 + tsid18:Cstart_18 = 0
invariant :tsidxtsid331:n9_331 + tsid8:CstopOK_8 + -1'tsid17:SstopOK_17 = 0
invariant :tsidxtsid207:n7_207 + -1'tsidxtsid208:n7_208 + -1'tsid17:Cstart_17 + tsid18:Cstart_18 = 0
invariant :tsid12:n6_12 + tsid12:n5_12 + -1'tsid18:n6_18 + -1'tsid18:n5_18 = 0
invariant :tsid9:n6_9 + tsid9:n5_9 + -1'tsid18:n6_18 + -1'tsid18:n5_18 = 0
invariant :tsidxtsid89:n8_89 + -1'tsidxtsid94:n8_94 + tsid13:Cstart_13 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid168:n8_168 + -1'tsidxtsid170:n8_170 + tsid16:Cstart_16 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid182:n7_182 + -1'tsidxtsid189:n7_189 + -1'tsid11:Cstart_11 + tsid18:Cstart_18 = 0
invariant :tsidxtsid355:n9_355 + tsid13:CstopOK_13 + -1'tsid18:SstopOK_18 = 0
invariant :tsidxtsid181:n8_181 + -1'tsidxtsid189:n8_189 + tsid10:Cstart_10 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid281:n8_281 + -1'tsidxtsid284:n8_284 + tsid15:Cstart_15 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid188:n8_188 + -1'tsidxtsid189:n8_189 + tsid17:Cstart_17 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid167:n7_167 + -1'tsidxtsid170:n7_170 + -1'tsid15:Cstart_15 + tsid18:Cstart_18 = 0
invariant :tsidxtsid108:n7_108 + -1'tsidxtsid113:n7_113 + -1'tsid13:Cstart_13 + tsid18:Cstart_18 = 0
invariant :tsidxtsid253:n9_253 + tsid6:CstopOK_6 + -1'tsid13:SstopOK_13 = 0
invariant :tsid12:n4_12 + tsid12:n3_12 + -1'tsid18:n4_18 + -1'tsid18:n3_18 = 0
invariant :tsidxtsid49:n9_49 + -1'tsid2:SstopOK_2 + tsid11:CstopOK_11 = 0
invariant :tsidxtsid219:n7_219 + -1'tsidxtsid227:n7_227 + -1'tsid10:Cstart_10 + tsid18:Cstart_18 = 0
invariant :tsidxtsid66:n8_66 + -1'tsidxtsid75:n8_75 + tsid9:Cstart_9 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid195:n7_195 + -1'tsidxtsid208:n7_208 + -1'tsid5:Cstart_5 + tsid18:Cstart_18 = 0
invariant :tsidxtsid12:n7_12 + -1'tsidxtsid18:n7_18 + -1'tsid12:Cstart_12 + tsid18:Cstart_18 = 0
invariant :tsidxtsid21:n9_21 + -1'tsid1:SstopOK_1 + tsid2:CstopOK_2 = 0
invariant :tsidxtsid133:n7_133 + -1'tsidxtsid151:n7_151 + -1'tsid0:Cstart_0 + tsid18:Cstart_18 = 0
invariant :tsidxtsid149:n9_149 + -1'tsid7:SstopOK_7 + tsid16:CstopOK_16 = 0
invariant :tsidxtsid28:n9_28 + -1'tsid1:SstopOK_1 + tsid9:CstopOK_9 = 0
invariant :tsidxtsid4:n7_4 + -1'tsidxtsid18:n7_18 + -1'tsid4:Cstart_4 + tsid18:Cstart_18 = 0
invariant :tsid16:n6_16 + tsid16:n5_16 + -1'tsid18:n6_18 + -1'tsid18:n5_18 = 0
invariant :tsidxtsid111:n9_111 + -1'tsid5:SstopOK_5 + tsid16:CstopOK_16 = 0
invariant :tsidxtsid27:n8_27 + -1'tsidxtsid37:n8_37 + tsid8:Cstart_8 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid140:n7_140 + -1'tsidxtsid151:n7_151 + -1'tsid7:Cstart_7 + tsid18:Cstart_18 = 0
invariant :tsidxtsid187:n9_187 + -1'tsid9:SstopOK_9 + tsid16:CstopOK_16 = 0
invariant :tsidxtsid206:n7_206 + -1'tsidxtsid208:n7_208 + -1'tsid16:Cstart_16 + tsid18:Cstart_18 = 0
invariant :tsidxtsid167:n9_167 + -1'tsid8:SstopOK_8 + tsid15:CstopOK_15 = 0
invariant :tsid5:n4_5 + tsid5:n3_5 + -1'tsid18:n4_18 + -1'tsid18:n3_18 = 0
invariant :tsidxtsid108:n9_108 + -1'tsid5:SstopOK_5 + tsid13:CstopOK_13 = 0
invariant :tsidxtsid317:n7_317 + -1'tsidxtsid322:n7_322 + -1'tsid13:Cstart_13 + tsid18:Cstart_18 = 0
invariant :tsidxtsid34:n9_34 + -1'tsid1:SstopOK_1 + tsid15:CstopOK_15 = 0
invariant :tsidxtsid163:n8_163 + -1'tsidxtsid170:n8_170 + tsid11:Cstart_11 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid187:n7_187 + -1'tsidxtsid189:n7_189 + -1'tsid16:Cstart_16 + tsid18:Cstart_18 = 0
invariant :tsidxtsid36:n9_36 + -1'tsid1:SstopOK_1 + tsid17:CstopOK_17 = 0
invariant :tsidxtsid13:n8_13 + -1'tsidxtsid18:n8_18 + tsid13:Cstart_13 + -1'tsid18:Cstart_18 = 0
invariant :tsid4:n4_4 + tsid4:n3_4 + -1'tsid18:n4_18 + -1'tsid18:n3_18 = 0
invariant :tsidxtsid278:n9_278 + tsid12:CstopOK_12 + -1'tsid14:SstopOK_14 = 0
invariant :tsid6:n2_6 + tsid6:n1_6 + -1'tsid18:n2_18 + -1'tsid18:n1_18 = 0
invariant :tsidxtsid235:n9_235 + tsid7:CstopOK_7 + -1'tsid12:SstopOK_12 = 0
invariant :tsidxtsid243:n8_243 + -1'tsidxtsid246:n8_246 + tsid15:Cstart_15 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid125:n8_125 + -1'tsidxtsid132:n8_132 + tsid11:Cstart_11 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid262:n7_262 + -1'tsidxtsid265:n7_265 + -1'tsid15:Cstart_15 + tsid18:Cstart_18 = 0
invariant :tsidxtsid30:n7_30 + -1'tsidxtsid37:n7_37 + -1'tsid11:Cstart_11 + tsid18:Cstart_18 = 0
invariant :tsidxtsid151:n9_151 + -1'tsid7:SstopOK_7 + tsid18:CstopOK_18 = 0
invariant :tsidxtsid130:n7_130 + -1'tsidxtsid132:n7_132 + -1'tsid16:Cstart_16 + tsid18:Cstart_18 = 0
invariant :tsidxtsid165:n8_165 + -1'tsidxtsid170:n8_170 + tsid13:Cstart_13 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid209:n8_209 + -1'tsidxtsid227:n8_227 + tsid0:Cstart_0 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid54:n9_54 + -1'tsid2:SstopOK_2 + tsid16:CstopOK_16 = 0
invariant :tsidxtsid43:n8_43 + -1'tsidxtsid56:n8_56 + tsid5:Cstart_5 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid257:n9_257 + tsid10:CstopOK_10 + -1'tsid13:SstopOK_13 = 0
invariant :tsidxtsid312:n8_312 + -1'tsidxtsid322:n8_322 + tsid8:Cstart_8 + -1'tsid18:Cstart_18 = 0
invariant :tsid16:n4_16 + tsid16:n3_16 + -1'tsid18:n4_18 + -1'tsid18:n3_18 = 0
invariant :tsidxtsid181:n7_181 + -1'tsidxtsid189:n7_189 + -1'tsid10:Cstart_10 + tsid18:Cstart_18 = 0
invariant :tsidxtsid199:n8_199 + -1'tsidxtsid208:n8_208 + tsid9:Cstart_9 + -1'tsid18:Cstart_18 = 0
invariant :tsid6:n4_6 + tsid6:n3_6 + -1'tsid18:n4_18 + -1'tsid18:n3_18 = 0
invariant :tsidxtsid93:n8_93 + -1'tsidxtsid94:n8_94 + tsid17:Cstart_17 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid4:n8_4 + -1'tsidxtsid18:n8_18 + tsid4:Cstart_4 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid169:n8_169 + -1'tsidxtsid170:n8_170 + tsid17:Cstart_17 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid159:n8_159 + -1'tsidxtsid170:n8_170 + tsid7:Cstart_7 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid239:n9_239 + tsid11:CstopOK_11 + -1'tsid12:SstopOK_12 = 0
invariant :tsidxtsid257:n7_257 + -1'tsidxtsid265:n7_265 + -1'tsid10:Cstart_10 + tsid18:Cstart_18 = 0
invariant :tsidxtsid201:n8_201 + -1'tsidxtsid208:n8_208 + tsid11:Cstart_11 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid214:n8_214 + -1'tsidxtsid227:n8_227 + tsid5:Cstart_5 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid7:n8_7 + -1'tsidxtsid18:n8_18 + tsid7:Cstart_7 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid310:n8_310 + -1'tsidxtsid322:n8_322 + tsid6:Cstart_6 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid25:n8_25 + -1'tsidxtsid37:n8_37 + tsid6:Cstart_6 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid203:n7_203 + -1'tsidxtsid208:n7_208 + -1'tsid13:Cstart_13 + tsid18:Cstart_18 = 0
invariant :tsidxtsid202:n8_202 + -1'tsidxtsid208:n8_208 + tsid12:Cstart_12 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid167:n8_167 + -1'tsidxtsid170:n8_170 + tsid15:Cstart_15 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid184:n8_184 + -1'tsidxtsid189:n8_189 + tsid13:Cstart_13 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid155:n9_155 + tsid3:CstopOK_3 + -1'tsid8:SstopOK_8 = 0
invariant :tsidxtsid357:n7_357 + -1'tsidxtsid360:n7_360 + -1'tsid15:Cstart_15 + tsid18:Cstart_18 = 0
invariant :SstopAbort:SstopAbort_0 + tsid0:Sstart_0 + tsid0:s2_0 + tsid0:s3_0 + tsid0:s4_0 + tsid0:s5_0 + tsid0:s6_0 + tsid0:SstopOK_0 + tsid1:Sstart_1 + tsid1:s2_1 + tsid1:s3_1 + tsid1:s4_1 + tsid1:s5_1 + tsid1:s6_1 + tsid1:SstopOK_1 + tsid2:Sstart_2 + tsid2:s2_2 + tsid2:s3_2 + tsid2:s4_2 + tsid2:s5_2 + tsid2:s6_2 + tsid2:SstopOK_2 + tsid3:Sstart_3 + tsid3:s2_3 + tsid3:s3_3 + tsid3:s4_3 + tsid3:s5_3 + tsid3:s6_3 + tsid3:SstopOK_3 + tsid4:Sstart_4 + tsid4:s2_4 + tsid4:s3_4 + tsid4:s4_4 + tsid4:s5_4 + tsid4:s6_4 + tsid4:SstopOK_4 + tsid5:Sstart_5 + tsid5:s2_5 + tsid5:s3_5 + tsid5:s4_5 + tsid5:s5_5 + tsid5:s6_5 + tsid5:SstopOK_5 + tsid6:Sstart_6 + tsid6:s2_6 + tsid6:s3_6 + tsid6:s4_6 + tsid6:s5_6 + tsid6:s6_6 + tsid6:SstopOK_6 + tsid7:Sstart_7 + tsid7:s2_7 + tsid7:s3_7 + tsid7:s4_7 + tsid7:s5_7 + tsid7:s6_7 + tsid7:SstopOK_7 + tsid8:Sstart_8 + tsid8:s2_8 + tsid8:s3_8 + tsid8:s4_8 + tsid8:s5_8 + tsid8:s6_8 + tsid8:SstopOK_8 + tsid9:Sstart_9 + tsid9:s2_9 + tsid9:s3_9 + tsid9:s4_9 + tsid9:s5_9 + tsid9:s6_9 + tsid9:SstopOK_9 + tsid10:Sstart_10 + tsid10:s2_10 + tsid10:s3_10 + tsid10:s4_10 + tsid10:s5_10 + tsid10:s6_10 + tsid10:SstopOK_10 + tsid11:Sstart_11 + tsid11:s2_11 + tsid11:s3_11 + tsid11:s4_11 + tsid11:s5_11 + tsid11:s6_11 + tsid11:SstopOK_11 + tsid12:Sstart_12 + tsid12:s2_12 + tsid12:s3_12 + tsid12:s4_12 + tsid12:s5_12 + tsid12:s6_12 + tsid12:SstopOK_12 + tsid13:Sstart_13 + tsid13:s2_13 + tsid13:s3_13 + tsid13:s4_13 + tsid13:s5_13 + tsid13:s6_13 + tsid13:SstopOK_13 + tsid14:Sstart_14 + tsid14:s2_14 + tsid14:s3_14 + tsid14:s4_14 + tsid14:s5_14 + tsid14:s6_14 + tsid14:SstopOK_14 + tsid15:Sstart_15 + tsid15:s2_15 + tsid15:s3_15 + tsid15:s4_15 + tsid15:s5_15 + tsid15:s6_15 + tsid15:SstopOK_15 + tsid16:Sstart_16 + tsid16:s2_16 + tsid16:s3_16 + tsid16:s4_16 + tsid16:s5_16 + tsid16:s6_16 + tsid16:SstopOK_16 + tsid17:Sstart_17 + tsid17:s2_17 + tsid17:s3_17 + tsid17:s4_17 + tsid17:s5_17 + tsid17:s6_17 + tsid17:SstopOK_17 + tsid18:Sstart_18 + tsid18:s2_18 + tsid18:s3_18 + tsid18:s4_18 + tsid18:s5_18 + tsid18:s6_18 + tsid18:SstopOK_18 = 19
invariant :tsidxtsid308:n8_308 + -1'tsidxtsid322:n8_322 + tsid4:Cstart_4 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid175:n9_175 + tsid4:CstopOK_4 + -1'tsid9:SstopOK_9 = 0
invariant :tsidxtsid241:n7_241 + -1'tsidxtsid246:n7_246 + -1'tsid13:Cstart_13 + tsid18:Cstart_18 = 0
invariant :tsidxtsid215:n8_215 + -1'tsidxtsid227:n8_227 + tsid6:Cstart_6 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid279:n9_279 + tsid13:CstopOK_13 + -1'tsid14:SstopOK_14 = 0
invariant :tsidxtsid117:n8_117 + -1'tsidxtsid132:n8_132 + tsid3:Cstart_3 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid172:n8_172 + -1'tsidxtsid189:n8_189 + tsid1:Cstart_1 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid33:n8_33 + -1'tsidxtsid37:n8_37 + tsid14:Cstart_14 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid26:n9_26 + -1'tsid1:SstopOK_1 + tsid7:CstopOK_7 = 0
invariant :tsidxtsid163:n9_163 + -1'tsid8:SstopOK_8 + tsid11:CstopOK_11 = 0
invariant :tsidxtsid168:n7_168 + -1'tsidxtsid170:n7_170 + -1'tsid16:Cstart_16 + tsid18:Cstart_18 = 0
invariant :tsidxtsid210:n9_210 + tsid1:CstopOK_1 + -1'tsid11:SstopOK_11 = 0
invariant :tsidxtsid153:n7_153 + -1'tsidxtsid170:n7_170 + -1'tsid1:Cstart_1 + tsid18:Cstart_18 = 0
invariant :tsidxtsid120:n7_120 + -1'tsidxtsid132:n7_132 + -1'tsid6:Cstart_6 + tsid18:Cstart_18 = 0
invariant :tsidxtsid76:n9_76 + tsid0:CstopOK_0 + -1'tsid4:SstopOK_4 = 0
invariant :tsidxtsid119:n9_119 + tsid5:CstopOK_5 + -1'tsid6:SstopOK_6 = 0
invariant :tsidxtsid258:n8_258 + -1'tsidxtsid265:n8_265 + tsid11:Cstart_11 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid59:n7_59 + -1'tsidxtsid75:n7_75 + -1'tsid2:Cstart_2 + tsid18:Cstart_18 = 0
invariant :tsidxtsid172:n7_172 + -1'tsidxtsid189:n7_189 + -1'tsid1:Cstart_1 + tsid18:Cstart_18 = 0
invariant :tsidxtsid211:n8_211 + -1'tsidxtsid227:n8_227 + tsid2:Cstart_2 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid228:n9_228 + tsid0:CstopOK_0 + -1'tsid12:SstopOK_12 = 0
invariant :tsidxtsid31:n8_31 + -1'tsidxtsid37:n8_37 + tsid12:Cstart_12 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid73:n8_73 + -1'tsidxtsid75:n8_75 + tsid16:Cstart_16 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid348:n9_348 + tsid6:CstopOK_6 + -1'tsid18:SstopOK_18 = 0
invariant :tsidxtsid124:n9_124 + -1'tsid6:SstopOK_6 + tsid10:CstopOK_10 = 0
invariant :tsidxtsid242:n9_242 + -1'tsid12:SstopOK_12 + tsid14:CstopOK_14 = 0
invariant :tsidxtsid123:n8_123 + -1'tsidxtsid132:n8_132 + tsid9:Cstart_9 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid197:n9_197 + tsid7:CstopOK_7 + -1'tsid10:SstopOK_10 = 0
invariant :tsidxtsid122:n8_122 + -1'tsidxtsid132:n8_132 + tsid8:Cstart_8 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid172:n9_172 + tsid1:CstopOK_1 + -1'tsid9:SstopOK_9 = 0
invariant :tsidxtsid71:n8_71 + -1'tsidxtsid75:n8_75 + tsid14:Cstart_14 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid98:n8_98 + -1'tsidxtsid113:n8_113 + tsid3:Cstart_3 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid71:n9_71 + -1'tsid3:SstopOK_3 + tsid14:CstopOK_14 = 0
invariant :tsidxtsid97:n7_97 + -1'tsidxtsid113:n7_113 + -1'tsid2:Cstart_2 + tsid18:Cstart_18 = 0
invariant :tsidxtsid171:n8_171 + -1'tsidxtsid189:n8_189 + tsid0:Cstart_0 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid290:n9_290 + tsid5:CstopOK_5 + -1'tsid15:SstopOK_15 = 0
invariant :tsidxtsid215:n9_215 + tsid6:CstopOK_6 + -1'tsid11:SstopOK_11 = 0
invariant :tsidxtsid256:n7_256 + -1'tsidxtsid265:n7_265 + -1'tsid9:Cstart_9 + tsid18:Cstart_18 = 0
invariant :tsidxtsid304:n8_304 + -1'tsidxtsid322:n8_322 + tsid0:Cstart_0 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid304:n9_304 + tsid0:CstopOK_0 + -1'tsid16:SstopOK_16 = 0
invariant :tsidxtsid53:n9_53 + -1'tsid2:SstopOK_2 + tsid15:CstopOK_15 = 0
invariant :tsidxtsid82:n8_82 + -1'tsidxtsid94:n8_94 + tsid6:Cstart_6 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid255:n8_255 + -1'tsidxtsid265:n8_265 + tsid8:Cstart_8 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid334:n7_334 + -1'tsidxtsid341:n7_341 + -1'tsid11:Cstart_11 + tsid18:Cstart_18 = 0
invariant :tsidxtsid78:n9_78 + tsid2:CstopOK_2 + -1'tsid4:SstopOK_4 = 0
invariant :tsidxtsid120:n9_120 + -1'tsid6:SstopOK_6 + tsid6:CstopOK_6 = 0
invariant :tsidxtsid311:n7_311 + -1'tsidxtsid322:n7_322 + -1'tsid7:Cstart_7 + tsid18:Cstart_18 = 0
invariant :tsidxtsid106:n9_106 + -1'tsid5:SstopOK_5 + tsid11:CstopOK_11 = 0
invariant :tsidxtsid308:n7_308 + -1'tsidxtsid322:n7_322 + -1'tsid4:Cstart_4 + tsid18:Cstart_18 = 0
invariant :tsidxtsid177:n7_177 + -1'tsidxtsid189:n7_189 + -1'tsid6:Cstart_6 + tsid18:Cstart_18 = 0
invariant :tsidxtsid273:n7_273 + -1'tsidxtsid284:n7_284 + -1'tsid7:Cstart_7 + tsid18:Cstart_18 = 0
invariant :tsidxtsid199:n9_199 + tsid9:CstopOK_9 + -1'tsid10:SstopOK_10 = 0
invariant :tsidxtsid314:n9_314 + tsid10:CstopOK_10 + -1'tsid16:SstopOK_16 = 0
invariant :tsidxtsid355:n8_355 + -1'tsidxtsid360:n8_360 + tsid13:Cstart_13 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid1:n8_1 + -1'tsidxtsid18:n8_18 + tsid1:Cstart_1 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid164:n9_164 + -1'tsid8:SstopOK_8 + tsid12:CstopOK_12 = 0
invariant :tsidxtsid57:n7_57 + -1'tsidxtsid75:n7_75 + -1'tsid0:Cstart_0 + tsid18:Cstart_18 = 0
invariant :tsidxtsid149:n7_149 + -1'tsidxtsid151:n7_151 + -1'tsid16:Cstart_16 + tsid18:Cstart_18 = 0
invariant :tsidxtsid79:n9_79 + tsid3:CstopOK_3 + -1'tsid4:SstopOK_4 = 0
invariant :tsidxtsid313:n8_313 + -1'tsidxtsid322:n8_322 + tsid9:Cstart_9 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid260:n7_260 + -1'tsidxtsid265:n7_265 + -1'tsid13:Cstart_13 + tsid18:Cstart_18 = 0
invariant :tsidxtsid266:n7_266 + -1'tsidxtsid284:n7_284 + -1'tsid0:Cstart_0 + tsid18:Cstart_18 = 0
invariant :tsidxtsid236:n9_236 + tsid8:CstopOK_8 + -1'tsid12:SstopOK_12 = 0
invariant :tsidxtsid267:n8_267 + -1'tsidxtsid284:n8_284 + tsid1:Cstart_1 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid262:n9_262 + -1'tsid13:SstopOK_13 + tsid15:CstopOK_15 = 0
invariant :tsidxtsid65:n9_65 + -1'tsid3:SstopOK_3 + tsid8:CstopOK_8 = 0
invariant :tsidxtsid246:n9_246 + -1'tsid12:SstopOK_12 + tsid18:CstopOK_18 = 0
invariant :tsidxtsid88:n7_88 + -1'tsidxtsid94:n7_94 + -1'tsid12:Cstart_12 + tsid18:Cstart_18 = 0
invariant :tsidxtsid46:n9_46 + -1'tsid2:SstopOK_2 + tsid8:CstopOK_8 = 0
invariant :tsidxtsid353:n7_353 + -1'tsidxtsid360:n7_360 + -1'tsid11:Cstart_11 + tsid18:Cstart_18 = 0
invariant :tsidxtsid165:n9_165 + -1'tsid8:SstopOK_8 + tsid13:CstopOK_13 = 0
invariant :tsidxtsid35:n9_35 + -1'tsid1:SstopOK_1 + tsid16:CstopOK_16 = 0
invariant :tsidxtsid3:n9_3 + -1'tsid0:SstopOK_0 + tsid3:CstopOK_3 = 0
invariant :tsidxtsid193:n8_193 + -1'tsidxtsid208:n8_208 + tsid3:Cstart_3 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid285:n9_285 + tsid0:CstopOK_0 + -1'tsid15:SstopOK_15 = 0
invariant :tsidxtsid178:n8_178 + -1'tsidxtsid189:n8_189 + tsid7:Cstart_7 + -1'tsid18:Cstart_18 = 0
invariant :tsid7:n6_7 + tsid7:n5_7 + -1'tsid18:n6_18 + -1'tsid18:n5_18 = 0
invariant :tsidxtsid143:n9_143 + -1'tsid7:SstopOK_7 + tsid10:CstopOK_10 = 0
invariant :tsidxtsid51:n9_51 + -1'tsid2:SstopOK_2 + tsid13:CstopOK_13 = 0
invariant :tsidxtsid9:n9_9 + -1'tsid0:SstopOK_0 + tsid9:CstopOK_9 = 0
invariant :tsid8:n2_8 + tsid8:n1_8 + -1'tsid18:n2_18 + -1'tsid18:n1_18 = 0
invariant :tsidxtsid125:n9_125 + -1'tsid6:SstopOK_6 + tsid11:CstopOK_11 = 0
invariant :tsidxtsid1:n7_1 + -1'tsidxtsid18:n7_18 + -1'tsid1:Cstart_1 + tsid18:Cstart_18 = 0
invariant :tsidxtsid330:n7_330 + -1'tsidxtsid341:n7_341 + -1'tsid7:Cstart_7 + tsid18:Cstart_18 = 0
invariant :tsidxtsid349:n9_349 + tsid7:CstopOK_7 + -1'tsid18:SstopOK_18 = 0
invariant :tsidxtsid220:n8_220 + -1'tsidxtsid227:n8_227 + tsid11:Cstart_11 + -1'tsid18:Cstart_18 = 0
invariant :tsid17:n2_17 + tsid17:n1_17 + -1'tsid18:n2_18 + -1'tsid18:n1_18 = 0
invariant :tsidxtsid266:n9_266 + tsid0:CstopOK_0 + -1'tsid14:SstopOK_14 = 0
invariant :tsid3:n6_3 + tsid3:n5_3 + -1'tsid18:n6_18 + -1'tsid18:n5_18 = 0
invariant :tsidxtsid6:n8_6 + -1'tsidxtsid18:n8_18 + tsid6:Cstart_6 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid184:n9_184 + -1'tsid9:SstopOK_9 + tsid13:CstopOK_13 = 0
invariant :tsidxtsid243:n9_243 + -1'tsid12:SstopOK_12 + tsid15:CstopOK_15 = 0
invariant :tsidxtsid221:n9_221 + -1'tsid11:SstopOK_11 + tsid12:CstopOK_12 = 0
invariant :tsidxtsid80:n9_80 + -1'tsid4:SstopOK_4 + tsid4:CstopOK_4 = 0
invariant :tsidxtsid301:n7_301 + -1'tsidxtsid303:n7_303 + -1'tsid16:Cstart_16 + tsid18:Cstart_18 = 0
invariant :tsidxtsid180:n8_180 + -1'tsidxtsid189:n8_189 + tsid9:Cstart_9 + -1'tsid18:Cstart_18 = 0
invariant :tsid11:n4_11 + tsid11:n3_11 + -1'tsid18:n4_18 + -1'tsid18:n3_18 = 0
invariant :tsidxtsid257:n8_257 + -1'tsidxtsid265:n8_265 + tsid10:Cstart_10 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid350:n7_350 + -1'tsidxtsid360:n7_360 + -1'tsid8:Cstart_8 + tsid18:Cstart_18 = 0
invariant :tsidxtsid275:n9_275 + tsid9:CstopOK_9 + -1'tsid14:SstopOK_14 = 0
invariant :tsidxtsid65:n7_65 + -1'tsidxtsid75:n7_75 + -1'tsid8:Cstart_8 + tsid18:Cstart_18 = 0
invariant :tsid15:n4_15 + tsid15:n3_15 + -1'tsid18:n4_18 + -1'tsid18:n3_18 = 0
invariant :tsidxtsid95:n7_95 + -1'tsidxtsid113:n7_113 + -1'tsid0:Cstart_0 + tsid18:Cstart_18 = 0
invariant :tsidxtsid52:n9_52 + -1'tsid2:SstopOK_2 + tsid14:CstopOK_14 = 0
invariant :tsidxtsid309:n9_309 + tsid5:CstopOK_5 + -1'tsid16:SstopOK_16 = 0
invariant :tsidxtsid110:n9_110 + -1'tsid5:SstopOK_5 + tsid15:CstopOK_15 = 0
invariant :tsidxtsid185:n9_185 + -1'tsid9:SstopOK_9 + tsid14:CstopOK_14 = 0
invariant :tsidxtsid140:n9_140 + -1'tsid7:SstopOK_7 + tsid7:CstopOK_7 = 0
invariant :tsidxtsid249:n9_249 + tsid2:CstopOK_2 + -1'tsid13:SstopOK_13 = 0
invariant :tsidxtsid213:n8_213 + -1'tsidxtsid227:n8_227 + tsid4:Cstart_4 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid277:n9_277 + tsid11:CstopOK_11 + -1'tsid14:SstopOK_14 = 0
invariant :tsidxtsid105:n7_105 + -1'tsidxtsid113:n7_113 + -1'tsid10:Cstart_10 + tsid18:Cstart_18 = 0
invariant :tsidxtsid194:n7_194 + -1'tsidxtsid208:n7_208 + -1'tsid4:Cstart_4 + tsid18:Cstart_18 = 0
invariant :tsidxtsid11:n7_11 + -1'tsidxtsid18:n7_18 + -1'tsid11:Cstart_11 + tsid18:Cstart_18 = 0
invariant :tsidxtsid13:n9_13 + -1'tsid0:SstopOK_0 + tsid13:CstopOK_13 = 0
invariant :tsidxtsid20:n8_20 + -1'tsidxtsid37:n8_37 + tsid1:Cstart_1 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid97:n9_97 + tsid2:CstopOK_2 + -1'tsid5:SstopOK_5 = 0
invariant :tsidxtsid105:n9_105 + -1'tsid5:SstopOK_5 + tsid10:CstopOK_10 = 0
invariant :tsidxtsid245:n7_245 + -1'tsidxtsid246:n7_246 + -1'tsid17:Cstart_17 + tsid18:Cstart_18 = 0
invariant :tsidxtsid272:n7_272 + -1'tsidxtsid284:n7_284 + -1'tsid6:Cstart_6 + tsid18:Cstart_18 = 0
invariant :tsidxtsid314:n7_314 + -1'tsidxtsid322:n7_322 + -1'tsid10:Cstart_10 + tsid18:Cstart_18 = 0
invariant :tsidxtsid198:n7_198 + -1'tsidxtsid208:n7_208 + -1'tsid8:Cstart_8 + tsid18:Cstart_18 = 0
invariant :tsidxtsid54:n7_54 + -1'tsidxtsid56:n7_56 + -1'tsid16:Cstart_16 + tsid18:Cstart_18 = 0
invariant :tsidxtsid231:n7_231 + -1'tsidxtsid246:n7_246 + -1'tsid3:Cstart_3 + tsid18:Cstart_18 = 0
invariant :tsidxtsid327:n9_327 + tsid4:CstopOK_4 + -1'tsid17:SstopOK_17 = 0
invariant :tsidxtsid214:n9_214 + tsid5:CstopOK_5 + -1'tsid11:SstopOK_11 = 0
invariant :tsidxtsid238:n9_238 + tsid10:CstopOK_10 + -1'tsid12:SstopOK_12 = 0
invariant :tsidxtsid194:n9_194 + tsid4:CstopOK_4 + -1'tsid10:SstopOK_10 = 0
invariant :tsidxtsid287:n7_287 + -1'tsidxtsid303:n7_303 + -1'tsid2:Cstart_2 + tsid18:Cstart_18 = 0
invariant :tsidxtsid300:n9_300 + -1'tsid15:SstopOK_15 + tsid15:CstopOK_15 = 0
invariant :tsidxtsid127:n8_127 + -1'tsidxtsid132:n8_132 + tsid13:Cstart_13 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid155:n8_155 + -1'tsidxtsid170:n8_170 + tsid3:Cstart_3 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid318:n8_318 + -1'tsidxtsid322:n8_322 + tsid14:Cstart_14 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid274:n8_274 + -1'tsidxtsid284:n8_284 + tsid8:Cstart_8 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid321:n9_321 + -1'tsid16:SstopOK_16 + tsid17:CstopOK_17 = 0
invariant :tsidxtsid183:n8_183 + -1'tsidxtsid189:n8_189 + tsid12:Cstart_12 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid170:n9_170 + -1'tsid8:SstopOK_8 + tsid18:CstopOK_18 = 0
invariant :tsidxtsid67:n9_67 + -1'tsid3:SstopOK_3 + tsid10:CstopOK_10 = 0
invariant :tsidxtsid32:n8_32 + -1'tsidxtsid37:n8_37 + tsid13:Cstart_13 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid99:n8_99 + -1'tsidxtsid113:n8_113 + tsid4:Cstart_4 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid150:n7_150 + -1'tsidxtsid151:n7_151 + -1'tsid17:Cstart_17 + tsid18:Cstart_18 = 0
invariant :tsidxtsid121:n9_121 + -1'tsid6:SstopOK_6 + tsid7:CstopOK_7 = 0
invariant :tsidxtsid62:n7_62 + -1'tsidxtsid75:n7_75 + -1'tsid5:Cstart_5 + tsid18:Cstart_18 = 0
invariant :tsidxtsid301:n9_301 + -1'tsid15:SstopOK_15 + tsid16:CstopOK_16 = 0
invariant :tsidxtsid250:n7_250 + -1'tsidxtsid265:n7_265 + -1'tsid3:Cstart_3 + tsid18:Cstart_18 = 0
invariant :tsidxtsid336:n8_336 + -1'tsidxtsid341:n8_341 + tsid13:Cstart_13 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid277:n8_277 + -1'tsidxtsid284:n8_284 + tsid11:Cstart_11 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid157:n8_157 + -1'tsidxtsid170:n8_170 + tsid5:Cstart_5 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid287:n8_287 + -1'tsidxtsid303:n8_303 + tsid2:Cstart_2 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid205:n8_205 + -1'tsidxtsid208:n8_208 + tsid15:Cstart_15 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid213:n7_213 + -1'tsidxtsid227:n7_227 + -1'tsid4:Cstart_4 + tsid18:Cstart_18 = 0
invariant :tsidxtsid217:n9_217 + tsid8:CstopOK_8 + -1'tsid11:SstopOK_11 = 0
invariant :tsidxtsid184:n7_184 + -1'tsidxtsid189:n7_189 + -1'tsid13:Cstart_13 + tsid18:Cstart_18 = 0
invariant :tsidxtsid315:n9_315 + tsid11:CstopOK_11 + -1'tsid16:SstopOK_16 = 0
invariant :tsidxtsid53:n7_53 + -1'tsidxtsid56:n7_56 + -1'tsid15:Cstart_15 + tsid18:Cstart_18 = 0
invariant :tsidxtsid316:n9_316 + tsid12:CstopOK_12 + -1'tsid16:SstopOK_16 = 0
invariant :tsidxtsid342:n9_342 + tsid0:CstopOK_0 + -1'tsid18:SstopOK_18 = 0
invariant :tsidxtsid341:n9_341 + -1'tsid17:SstopOK_17 + tsid18:CstopOK_18 = 0
invariant :tsidxtsid150:n8_150 + -1'tsidxtsid151:n8_151 + tsid17:Cstart_17 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid186:n8_186 + -1'tsidxtsid189:n8_189 + tsid15:Cstart_15 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid10:n7_10 + -1'tsidxtsid18:n7_18 + -1'tsid10:Cstart_10 + tsid18:Cstart_18 = 0
invariant :tsidxtsid316:n8_316 + -1'tsidxtsid322:n8_322 + tsid12:Cstart_12 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid54:n8_54 + -1'tsidxtsid56:n8_56 + tsid16:Cstart_16 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid155:n7_155 + -1'tsidxtsid170:n7_170 + -1'tsid3:Cstart_3 + tsid18:Cstart_18 = 0
invariant :tsid5:n6_5 + tsid5:n5_5 + -1'tsid18:n6_18 + -1'tsid18:n5_18 = 0
invariant :tsidxtsid329:n8_329 + -1'tsidxtsid341:n8_341 + tsid6:Cstart_6 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid205:n7_205 + -1'tsidxtsid208:n7_208 + -1'tsid15:Cstart_15 + tsid18:Cstart_18 = 0
invariant :tsidxtsid232:n7_232 + -1'tsidxtsid246:n7_246 + -1'tsid4:Cstart_4 + tsid18:Cstart_18 = 0
invariant :tsidxtsid333:n9_333 + tsid10:CstopOK_10 + -1'tsid17:SstopOK_17 = 0
invariant :tsidxtsid158:n8_158 + -1'tsidxtsid170:n8_170 + tsid6:Cstart_6 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid137:n9_137 + tsid4:CstopOK_4 + -1'tsid7:SstopOK_7 = 0
invariant :tsidxtsid26:n7_26 + -1'tsidxtsid37:n7_37 + -1'tsid7:Cstart_7 + tsid18:Cstart_18 = 0
invariant :tsidxtsid330:n8_330 + -1'tsidxtsid341:n8_341 + tsid7:Cstart_7 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid118:n7_118 + -1'tsidxtsid132:n7_132 + -1'tsid4:Cstart_4 + tsid18:Cstart_18 = 0
invariant :tsidxtsid210:n8_210 + -1'tsidxtsid227:n8_227 + tsid1:Cstart_1 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid222:n9_222 + -1'tsid11:SstopOK_11 + tsid13:CstopOK_13 = 0
invariant :tsidxtsid348:n7_348 + -1'tsidxtsid360:n7_360 + -1'tsid6:Cstart_6 + tsid18:Cstart_18 = 0
invariant :tsid13:n6_13 + tsid13:n5_13 + -1'tsid18:n6_18 + -1'tsid18:n5_18 = 0
invariant :tsidxtsid139:n7_139 + -1'tsidxtsid151:n7_151 + -1'tsid6:Cstart_6 + tsid18:Cstart_18 = 0
invariant :tsidxtsid92:n9_92 + -1'tsid4:SstopOK_4 + tsid16:CstopOK_16 = 0
invariant :tsidxtsid295:n9_295 + tsid10:CstopOK_10 + -1'tsid15:SstopOK_15 = 0
invariant :tsidxtsid42:n7_42 + -1'tsidxtsid56:n7_56 + -1'tsid4:Cstart_4 + tsid18:Cstart_18 = 0
invariant :tsidxtsid251:n7_251 + -1'tsidxtsid265:n7_265 + -1'tsid4:Cstart_4 + tsid18:Cstart_18 = 0
invariant :tsidxtsid104:n7_104 + -1'tsidxtsid113:n7_113 + -1'tsid9:Cstart_9 + tsid18:Cstart_18 = 0
invariant :tsidxtsid346:n9_346 + tsid4:CstopOK_4 + -1'tsid18:SstopOK_18 = 0
invariant :tsidxtsid359:n8_359 + -1'tsidxtsid360:n8_360 + tsid17:Cstart_17 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid85:n7_85 + -1'tsidxtsid94:n7_94 + -1'tsid9:Cstart_9 + tsid18:Cstart_18 = 0
invariant :tsidxtsid88:n8_88 + -1'tsidxtsid94:n8_94 + tsid12:Cstart_12 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid249:n8_249 + -1'tsidxtsid265:n8_265 + tsid2:Cstart_2 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid195:n8_195 + -1'tsidxtsid208:n8_208 + tsid5:Cstart_5 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid302:n7_302 + -1'tsidxtsid303:n7_303 + -1'tsid17:Cstart_17 + tsid18:Cstart_18 = 0
invariant :tsidxtsid2:n9_2 + -1'tsid0:SstopOK_0 + tsid2:CstopOK_2 = 0
invariant :tsidxtsid216:n8_216 + -1'tsidxtsid227:n8_227 + tsid7:Cstart_7 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid146:n7_146 + -1'tsidxtsid151:n7_151 + -1'tsid13:Cstart_13 + tsid18:Cstart_18 = 0
invariant :tsidxtsid339:n8_339 + -1'tsidxtsid341:n8_341 + tsid16:Cstart_16 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid289:n9_289 + tsid4:CstopOK_4 + -1'tsid15:SstopOK_15 = 0
invariant :tsidxtsid204:n9_204 + -1'tsid10:SstopOK_10 + tsid14:CstopOK_14 = 0
invariant :tsidxtsid331:n7_331 + -1'tsidxtsid341:n7_341 + -1'tsid8:Cstart_8 + tsid18:Cstart_18 = 0
invariant :tsidxtsid200:n8_200 + -1'tsidxtsid208:n8_208 + tsid10:Cstart_10 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid305:n9_305 + tsid1:CstopOK_1 + -1'tsid16:SstopOK_16 = 0
invariant :tsidxtsid70:n9_70 + -1'tsid3:SstopOK_3 + tsid13:CstopOK_13 = 0
invariant :tsidxtsid61:n9_61 + -1'tsid3:SstopOK_3 + tsid4:CstopOK_4 = 0
invariant :tsidxtsid241:n8_241 + -1'tsidxtsid246:n8_246 + tsid13:Cstart_13 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid344:n7_344 + -1'tsidxtsid360:n7_360 + -1'tsid2:Cstart_2 + tsid18:Cstart_18 = 0
invariant :tsidxtsid130:n8_130 + -1'tsidxtsid132:n8_132 + tsid16:Cstart_16 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid116:n8_116 + -1'tsidxtsid132:n8_132 + tsid2:Cstart_2 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid291:n9_291 + tsid6:CstopOK_6 + -1'tsid15:SstopOK_15 = 0
invariant :tsidxtsid22:n7_22 + -1'tsidxtsid37:n7_37 + -1'tsid3:Cstart_3 + tsid18:Cstart_18 = 0
invariant :tsidxtsid233:n7_233 + -1'tsidxtsid246:n7_246 + -1'tsid5:Cstart_5 + tsid18:Cstart_18 = 0
invariant :tsidxtsid203:n8_203 + -1'tsidxtsid208:n8_208 + tsid13:Cstart_13 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid57:n9_57 + tsid0:CstopOK_0 + -1'tsid3:SstopOK_3 = 0
invariant :tsidxtsid5:n9_5 + -1'tsid0:SstopOK_0 + tsid5:CstopOK_5 = 0
invariant :tsidxtsid303:n9_303 + -1'tsid15:SstopOK_15 + tsid18:CstopOK_18 = 0
invariant :tsidxtsid325:n8_325 + -1'tsidxtsid341:n8_341 + tsid2:Cstart_2 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid15:n8_15 + -1'tsidxtsid18:n8_18 + tsid15:Cstart_15 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid60:n9_60 + -1'tsid3:SstopOK_3 + tsid3:CstopOK_3 = 0
invariant :tsidxtsid334:n9_334 + tsid11:CstopOK_11 + -1'tsid17:SstopOK_17 = 0
invariant :tsidxtsid178:n7_178 + -1'tsidxtsid189:n7_189 + -1'tsid7:Cstart_7 + tsid18:Cstart_18 = 0
invariant :tsidxtsid55:n7_55 + -1'tsidxtsid56:n7_56 + -1'tsid17:Cstart_17 + tsid18:Cstart_18 = 0
invariant :tsidxtsid251:n9_251 + tsid4:CstopOK_4 + -1'tsid13:SstopOK_13 = 0
invariant :tsidxtsid345:n8_345 + -1'tsidxtsid360:n8_360 + tsid3:Cstart_3 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid32:n9_32 + -1'tsid1:SstopOK_1 + tsid13:CstopOK_13 = 0
invariant :tsidxtsid63:n8_63 + -1'tsidxtsid75:n8_75 + tsid6:Cstart_6 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid19:n7_19 + -1'tsidxtsid37:n7_37 + -1'tsid0:Cstart_0 + tsid18:Cstart_18 = 0
invariant :tsidxtsid294:n8_294 + -1'tsidxtsid303:n8_303 + tsid9:Cstart_9 + -1'tsid18:Cstart_18 = 0
invariant :tsid3:n2_3 + tsid3:n1_3 + -1'tsid18:n2_18 + -1'tsid18:n1_18 = 0
invariant :tsidxtsid110:n7_110 + -1'tsidxtsid113:n7_113 + -1'tsid15:Cstart_15 + tsid18:Cstart_18 = 0
invariant :tsidxtsid180:n7_180 + -1'tsidxtsid189:n7_189 + -1'tsid9:Cstart_9 + tsid18:Cstart_18 = 0
invariant :tsidxtsid128:n7_128 + -1'tsidxtsid132:n7_132 + -1'tsid14:Cstart_14 + tsid18:Cstart_18 = 0
invariant :tsidxtsid234:n9_234 + tsid6:CstopOK_6 + -1'tsid12:SstopOK_12 = 0
invariant :tsidxtsid328:n8_328 + -1'tsidxtsid341:n8_341 + tsid5:Cstart_5 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid231:n9_231 + tsid3:CstopOK_3 + -1'tsid12:SstopOK_12 = 0
invariant :tsidxtsid335:n7_335 + -1'tsidxtsid341:n7_341 + -1'tsid12:Cstart_12 + tsid18:Cstart_18 = 0
invariant :tsidxtsid237:n7_237 + -1'tsidxtsid246:n7_246 + -1'tsid9:Cstart_9 + tsid18:Cstart_18 = 0
invariant :tsidxtsid40:n9_40 + -1'tsid2:SstopOK_2 + tsid2:CstopOK_2 = 0
invariant :tsidxtsid29:n7_29 + -1'tsidxtsid37:n7_37 + -1'tsid10:Cstart_10 + tsid18:Cstart_18 = 0
invariant :tsid0:n2_0 + tsid0:n1_0 + -1'tsid18:n2_18 + -1'tsid18:n1_18 = 0
invariant :tsidxtsid14:n8_14 + -1'tsidxtsid18:n8_18 + tsid14:Cstart_14 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid267:n9_267 + tsid1:CstopOK_1 + -1'tsid14:SstopOK_14 = 0
invariant :tsidxtsid216:n9_216 + tsid7:CstopOK_7 + -1'tsid11:SstopOK_11 = 0
invariant :tsidxtsid90:n7_90 + -1'tsidxtsid94:n7_94 + -1'tsid14:Cstart_14 + tsid18:Cstart_18 = 0
invariant :tsid1:n6_1 + tsid1:n5_1 + -1'tsid18:n6_18 + -1'tsid18:n5_18 = 0
invariant :tsidxtsid284:n9_284 + -1'tsid14:SstopOK_14 + tsid18:CstopOK_18 = 0
invariant :tsidxtsid43:n7_43 + -1'tsidxtsid56:n7_56 + -1'tsid5:Cstart_5 + tsid18:Cstart_18 = 0
invariant :tsidxtsid225:n7_225 + -1'tsidxtsid227:n7_227 + -1'tsid16:Cstart_16 + tsid18:Cstart_18 = 0
invariant :tsidxtsid123:n7_123 + -1'tsidxtsid132:n7_132 + -1'tsid9:Cstart_9 + tsid18:Cstart_18 = 0
invariant :tsidxtsid357:n8_357 + -1'tsidxtsid360:n8_360 + tsid15:Cstart_15 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid126:n7_126 + -1'tsidxtsid132:n7_132 + -1'tsid12:Cstart_12 + tsid18:Cstart_18 = 0
invariant :tsidxtsid237:n9_237 + tsid9:CstopOK_9 + -1'tsid12:SstopOK_12 = 0
invariant :tsidxtsid24:n8_24 + -1'tsidxtsid37:n8_37 + tsid5:Cstart_5 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid49:n8_49 + -1'tsidxtsid56:n8_56 + tsid11:Cstart_11 + -1'tsid18:Cstart_18 = 0
invariant :tsid7:n2_7 + tsid7:n1_7 + -1'tsid18:n2_18 + -1'tsid18:n1_18 = 0
invariant :tsidxtsid183:n7_183 + -1'tsidxtsid189:n7_189 + -1'tsid12:Cstart_12 + tsid18:Cstart_18 = 0
invariant :tsidxtsid202:n9_202 + -1'tsid10:SstopOK_10 + tsid12:CstopOK_12 = 0
invariant :tsidxtsid360:n9_360 + -1'tsid18:SstopOK_18 + tsid18:CstopOK_18 = 0
invariant :tsidxtsid356:n8_356 + -1'tsidxtsid360:n8_360 + tsid14:Cstart_14 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid113:n9_113 + -1'tsid5:SstopOK_5 + tsid18:CstopOK_18 = 0
invariant :tsidxtsid40:n8_40 + -1'tsidxtsid56:n8_56 + tsid2:Cstart_2 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid307:n8_307 + -1'tsidxtsid322:n8_322 + tsid3:Cstart_3 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid325:n7_325 + -1'tsidxtsid341:n7_341 + -1'tsid2:Cstart_2 + tsid18:Cstart_18 = 0
invariant :tsidxtsid40:n7_40 + -1'tsidxtsid56:n7_56 + -1'tsid2:Cstart_2 + tsid18:Cstart_18 = 0
invariant :tsidxtsid118:n9_118 + tsid4:CstopOK_4 + -1'tsid6:SstopOK_6 = 0
invariant :tsidxtsid131:n9_131 + -1'tsid6:SstopOK_6 + tsid17:CstopOK_17 = 0
invariant :tsidxtsid126:n8_126 + -1'tsidxtsid132:n8_132 + tsid12:Cstart_12 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid221:n7_221 + -1'tsidxtsid227:n7_227 + -1'tsid12:Cstart_12 + tsid18:Cstart_18 = 0
invariant :tsidxtsid276:n9_276 + tsid10:CstopOK_10 + -1'tsid14:SstopOK_14 = 0
invariant :tsidxtsid141:n9_141 + -1'tsid7:SstopOK_7 + tsid8:CstopOK_8 = 0
invariant :tsidxtsid11:n8_11 + -1'tsidxtsid18:n8_18 + tsid11:Cstart_11 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid61:n8_61 + -1'tsidxtsid75:n8_75 + tsid4:Cstart_4 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid5:n7_5 + -1'tsidxtsid18:n7_18 + -1'tsid5:Cstart_5 + tsid18:Cstart_18 = 0
invariant :tsidxtsid311:n8_311 + -1'tsidxtsid322:n8_322 + tsid7:Cstart_7 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid226:n7_226 + -1'tsidxtsid227:n7_227 + -1'tsid17:Cstart_17 + tsid18:Cstart_18 = 0
invariant :tsidxtsid25:n7_25 + -1'tsidxtsid37:n7_37 + -1'tsid6:Cstart_6 + tsid18:Cstart_18 = 0
invariant :tsidxtsid235:n8_235 + -1'tsidxtsid246:n8_246 + tsid7:Cstart_7 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid135:n7_135 + -1'tsidxtsid151:n7_151 + -1'tsid2:Cstart_2 + tsid18:Cstart_18 = 0
invariant :tsidxtsid4:n9_4 + -1'tsid0:SstopOK_0 + tsid4:CstopOK_4 = 0
invariant :tsidxtsid91:n9_91 + -1'tsid4:SstopOK_4 + tsid15:CstopOK_15 = 0
invariant :tsidxtsid86:n9_86 + -1'tsid4:SstopOK_4 + tsid10:CstopOK_10 = 0
invariant :tsidxtsid109:n8_109 + -1'tsidxtsid113:n8_113 + tsid14:Cstart_14 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid125:n7_125 + -1'tsidxtsid132:n7_132 + -1'tsid11:Cstart_11 + tsid18:Cstart_18 = 0
invariant :tsidxtsid347:n8_347 + -1'tsidxtsid360:n8_360 + tsid5:Cstart_5 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid83:n8_83 + -1'tsidxtsid94:n8_94 + tsid7:Cstart_7 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid222:n7_222 + -1'tsidxtsid227:n7_227 + -1'tsid13:Cstart_13 + tsid18:Cstart_18 = 0
invariant :tsidxtsid137:n8_137 + -1'tsidxtsid151:n8_151 + tsid4:Cstart_4 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid34:n7_34 + -1'tsidxtsid37:n7_37 + -1'tsid15:Cstart_15 + tsid18:Cstart_18 = 0
invariant :tsidxtsid58:n7_58 + -1'tsidxtsid75:n7_75 + -1'tsid1:Cstart_1 + tsid18:Cstart_18 = 0
invariant :tsidxtsid274:n7_274 + -1'tsidxtsid284:n7_284 + -1'tsid8:Cstart_8 + tsid18:Cstart_18 = 0
invariant :tsidxtsid117:n9_117 + tsid3:CstopOK_3 + -1'tsid6:SstopOK_6 = 0
invariant :tsidxtsid14:n7_14 + -1'tsidxtsid18:n7_18 + -1'tsid14:Cstart_14 + tsid18:Cstart_18 = 0
invariant :tsidxtsid242:n8_242 + -1'tsidxtsid246:n8_246 + tsid14:Cstart_14 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid229:n9_229 + tsid1:CstopOK_1 + -1'tsid12:SstopOK_12 = 0
invariant :tsidxtsid323:n8_323 + -1'tsidxtsid341:n8_341 + tsid0:Cstart_0 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid344:n9_344 + tsid2:CstopOK_2 + -1'tsid18:SstopOK_18 = 0
invariant :tsidxtsid100:n9_100 + -1'tsid5:SstopOK_5 + tsid5:CstopOK_5 = 0
invariant :tsidxtsid291:n8_291 + -1'tsidxtsid303:n8_303 + tsid6:Cstart_6 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid304:n7_304 + -1'tsidxtsid322:n7_322 + -1'tsid0:Cstart_0 + tsid18:Cstart_18 = 0
invariant :tsidxtsid177:n9_177 + tsid6:CstopOK_6 + -1'tsid9:SstopOK_9 = 0
invariant :tsidxtsid17:n7_17 + -1'tsidxtsid18:n7_18 + -1'tsid17:Cstart_17 + tsid18:Cstart_18 = 0
invariant :tsidxtsid18:n9_18 + -1'tsid0:SstopOK_0 + tsid18:CstopOK_18 = 0
invariant :tsidxtsid136:n8_136 + -1'tsidxtsid151:n8_151 + tsid3:Cstart_3 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid84:n9_84 + -1'tsid4:SstopOK_4 + tsid8:CstopOK_8 = 0
invariant :tsidxtsid207:n8_207 + -1'tsidxtsid208:n8_208 + tsid17:Cstart_17 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid110:n8_110 + -1'tsidxtsid113:n8_113 + tsid15:Cstart_15 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid253:n8_253 + -1'tsidxtsid265:n8_265 + tsid6:Cstart_6 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid111:n8_111 + -1'tsidxtsid113:n8_113 + tsid16:Cstart_16 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid204:n7_204 + -1'tsidxtsid208:n7_208 + -1'tsid14:Cstart_14 + tsid18:Cstart_18 = 0
invariant :tsidxtsid260:n8_260 + -1'tsidxtsid265:n8_265 + tsid13:Cstart_13 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid271:n8_271 + -1'tsidxtsid284:n8_284 + tsid5:Cstart_5 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid145:n7_145 + -1'tsidxtsid151:n7_151 + -1'tsid12:Cstart_12 + tsid18:Cstart_18 = 0
invariant :tsidxtsid229:n7_229 + -1'tsidxtsid246:n7_246 + -1'tsid1:Cstart_1 + tsid18:Cstart_18 = 0
invariant :tsidxtsid226:n9_226 + -1'tsid11:SstopOK_11 + tsid17:CstopOK_17 = 0
invariant :tsidxtsid279:n7_279 + -1'tsidxtsid284:n7_284 + -1'tsid13:Cstart_13 + tsid18:Cstart_18 = 0
invariant :tsidxtsid134:n9_134 + tsid1:CstopOK_1 + -1'tsid7:SstopOK_7 = 0
invariant :tsidxtsid142:n8_142 + -1'tsidxtsid151:n8_151 + tsid9:Cstart_9 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid356:n9_356 + tsid14:CstopOK_14 + -1'tsid18:SstopOK_18 = 0
invariant :tsidxtsid52:n8_52 + -1'tsidxtsid56:n8_56 + tsid14:Cstart_14 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid82:n9_82 + -1'tsid4:SstopOK_4 + tsid6:CstopOK_6 = 0
invariant :tsidxtsid120:n8_120 + -1'tsidxtsid132:n8_132 + tsid6:Cstart_6 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid176:n8_176 + -1'tsidxtsid189:n8_189 + tsid5:Cstart_5 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid70:n7_70 + -1'tsidxtsid75:n7_75 + -1'tsid13:Cstart_13 + tsid18:Cstart_18 = 0
invariant :tsidxtsid70:n8_70 + -1'tsidxtsid75:n8_75 + tsid13:Cstart_13 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid327:n8_327 + -1'tsidxtsid341:n8_341 + tsid4:Cstart_4 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid86:n7_86 + -1'tsidxtsid94:n7_94 + -1'tsid10:Cstart_10 + tsid18:Cstart_18 = 0
invariant :tsidxtsid206:n9_206 + -1'tsid10:SstopOK_10 + tsid16:CstopOK_16 = 0
invariant :tsidxtsid260:n9_260 + -1'tsid13:SstopOK_13 + tsid13:CstopOK_13 = 0
invariant :tsidxtsid282:n8_282 + -1'tsidxtsid284:n8_284 + tsid16:Cstart_16 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid320:n9_320 + -1'tsid16:SstopOK_16 + tsid16:CstopOK_16 = 0
invariant :tsidxtsid168:n9_168 + -1'tsid8:SstopOK_8 + tsid16:CstopOK_16 = 0
invariant :tsidxtsid316:n7_316 + -1'tsidxtsid322:n7_322 + -1'tsid12:Cstart_12 + tsid18:Cstart_18 = 0
invariant :tsidxtsid358:n8_358 + -1'tsidxtsid360:n8_360 + tsid16:Cstart_16 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid74:n8_74 + -1'tsidxtsid75:n8_75 + tsid17:Cstart_17 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid128:n9_128 + -1'tsid6:SstopOK_6 + tsid14:CstopOK_14 = 0
invariant :tsidxtsid314:n8_314 + -1'tsidxtsid322:n8_322 + tsid10:Cstart_10 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid7:n9_7 + -1'tsid0:SstopOK_0 + tsid7:CstopOK_7 = 0
invariant :tsidxtsid299:n7_299 + -1'tsidxtsid303:n7_303 + -1'tsid14:Cstart_14 + tsid18:Cstart_18 = 0
invariant :tsidxtsid30:n9_30 + -1'tsid1:SstopOK_1 + tsid11:CstopOK_11 = 0
invariant :tsidxtsid37:n9_37 + -1'tsid1:SstopOK_1 + tsid18:CstopOK_18 = 0
invariant :tsidxtsid75:n9_75 + -1'tsid3:SstopOK_3 + tsid18:CstopOK_18 = 0
invariant :tsidxtsid169:n9_169 + -1'tsid8:SstopOK_8 + tsid17:CstopOK_17 = 0
invariant :tsidxtsid333:n8_333 + -1'tsidxtsid341:n8_341 + tsid10:Cstart_10 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid77:n7_77 + -1'tsidxtsid94:n7_94 + -1'tsid1:Cstart_1 + tsid18:Cstart_18 = 0
invariant :tsidxtsid21:n8_21 + -1'tsidxtsid37:n8_37 + tsid2:Cstart_2 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid90:n8_90 + -1'tsidxtsid94:n8_94 + tsid14:Cstart_14 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid31:n9_31 + -1'tsid1:SstopOK_1 + tsid12:CstopOK_12 = 0
invariant :tsidxtsid161:n9_161 + -1'tsid8:SstopOK_8 + tsid9:CstopOK_9 = 0
invariant :tsidxtsid224:n8_224 + -1'tsidxtsid227:n8_227 + tsid15:Cstart_15 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid71:n7_71 + -1'tsidxtsid75:n7_75 + -1'tsid14:Cstart_14 + tsid18:Cstart_18 = 0
invariant :tsidxtsid116:n7_116 + -1'tsidxtsid132:n7_132 + -1'tsid2:Cstart_2 + tsid18:Cstart_18 = 0
invariant :tsidxtsid12:n8_12 + -1'tsidxtsid18:n8_18 + tsid12:Cstart_12 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid264:n9_264 + -1'tsid13:SstopOK_13 + tsid17:CstopOK_17 = 0
invariant :tsidxtsid177:n8_177 + -1'tsidxtsid189:n8_189 + tsid6:Cstart_6 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid286:n7_286 + -1'tsidxtsid303:n7_303 + -1'tsid1:Cstart_1 + tsid18:Cstart_18 = 0
invariant :tsidxtsid60:n7_60 + -1'tsidxtsid75:n7_75 + -1'tsid3:Cstart_3 + tsid18:Cstart_18 = 0
invariant :tsidxtsid26:n8_26 + -1'tsidxtsid37:n8_37 + tsid7:Cstart_7 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid76:n8_76 + -1'tsidxtsid94:n8_94 + tsid0:Cstart_0 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid358:n7_358 + -1'tsidxtsid360:n7_360 + -1'tsid16:Cstart_16 + tsid18:Cstart_18 = 0
invariant :tsidxtsid263:n8_263 + -1'tsidxtsid265:n8_265 + tsid16:Cstart_16 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid109:n9_109 + -1'tsid5:SstopOK_5 + tsid14:CstopOK_14 = 0
invariant :tsidxtsid345:n9_345 + tsid3:CstopOK_3 + -1'tsid18:SstopOK_18 = 0
invariant :tsidxtsid262:n8_262 + -1'tsidxtsid265:n8_265 + tsid15:Cstart_15 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid213:n9_213 + tsid4:CstopOK_4 + -1'tsid11:SstopOK_11 = 0
invariant :tsidxtsid28:n7_28 + -1'tsidxtsid37:n7_37 + -1'tsid9:Cstart_9 + tsid18:Cstart_18 = 0
invariant :tsidxtsid210:n7_210 + -1'tsidxtsid227:n7_227 + -1'tsid1:Cstart_1 + tsid18:Cstart_18 = 0
invariant :tsidxtsid342:n8_342 + -1'tsidxtsid360:n8_360 + tsid0:Cstart_0 + -1'tsid18:Cstart_18 = 0
invariant :tsid17:n4_17 + tsid17:n3_17 + -1'tsid18:n4_18 + -1'tsid18:n3_18 = 0
invariant :tsidxtsid160:n9_160 + -1'tsid8:SstopOK_8 + tsid8:CstopOK_8 = 0
invariant :tsidxtsid349:n7_349 + -1'tsidxtsid360:n7_360 + -1'tsid7:Cstart_7 + tsid18:Cstart_18 = 0
invariant :tsidxtsid258:n9_258 + tsid11:CstopOK_11 + -1'tsid13:SstopOK_13 = 0
invariant :tsidxtsid41:n7_41 + -1'tsidxtsid56:n7_56 + -1'tsid3:Cstart_3 + tsid18:Cstart_18 = 0
invariant :tsidxtsid10:n8_10 + -1'tsidxtsid18:n8_18 + tsid10:Cstart_10 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid268:n7_268 + -1'tsidxtsid284:n7_284 + -1'tsid2:Cstart_2 + tsid18:Cstart_18 = 0
invariant :tsidxtsid15:n9_15 + -1'tsid0:SstopOK_0 + tsid15:CstopOK_15 = 0
invariant :tsidxtsid321:n8_321 + -1'tsidxtsid322:n8_322 + tsid17:Cstart_17 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid340:n8_340 + -1'tsidxtsid341:n8_341 + tsid17:Cstart_17 + -1'tsid18:Cstart_18 = 0
invariant :tsid14:n6_14 + tsid14:n5_14 + -1'tsid18:n6_18 + -1'tsid18:n5_18 = 0
invariant :tsidxtsid2:n8_2 + -1'tsidxtsid18:n8_18 + tsid2:Cstart_2 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid270:n8_270 + -1'tsidxtsid284:n8_284 + tsid4:Cstart_4 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid261:n9_261 + -1'tsid13:SstopOK_13 + tsid14:CstopOK_14 = 0
invariant :tsidxtsid359:n9_359 + tsid17:CstopOK_17 + -1'tsid18:SstopOK_18 = 0
invariant :tsidxtsid87:n8_87 + -1'tsidxtsid94:n8_94 + tsid11:Cstart_11 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid217:n8_217 + -1'tsidxtsid227:n8_227 + tsid8:Cstart_8 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid261:n8_261 + -1'tsidxtsid265:n8_265 + tsid14:Cstart_14 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid175:n7_175 + -1'tsidxtsid189:n7_189 + -1'tsid4:Cstart_4 + tsid18:Cstart_18 = 0
invariant :tsidxtsid60:n8_60 + -1'tsidxtsid75:n8_75 + tsid3:Cstart_3 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid80:n8_80 + -1'tsidxtsid94:n8_94 + tsid4:Cstart_4 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid242:n7_242 + -1'tsidxtsid246:n7_246 + -1'tsid14:Cstart_14 + tsid18:Cstart_18 = 0
invariant :tsid10:n2_10 + tsid10:n1_10 + -1'tsid18:n2_18 + -1'tsid18:n1_18 = 0
invariant :tsidxtsid0:n7_0 + -1'tsidxtsid18:n7_18 + -1'tsid0:Cstart_0 + tsid18:Cstart_18 = 0
invariant :tsidxtsid348:n8_348 + -1'tsidxtsid360:n8_360 + tsid6:Cstart_6 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid158:n7_158 + -1'tsidxtsid170:n7_170 + -1'tsid6:Cstart_6 + tsid18:Cstart_18 = 0
invariant :tsidxtsid99:n9_99 + tsid4:CstopOK_4 + -1'tsid5:SstopOK_5 = 0
invariant :tsidxtsid156:n7_156 + -1'tsidxtsid170:n7_170 + -1'tsid4:Cstart_4 + tsid18:Cstart_18 = 0
invariant :tsidxtsid209:n7_209 + -1'tsidxtsid227:n7_227 + -1'tsid0:Cstart_0 + tsid18:Cstart_18 = 0
invariant :tsidxtsid290:n8_290 + -1'tsidxtsid303:n8_303 + tsid5:Cstart_5 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid275:n8_275 + -1'tsidxtsid284:n8_284 + tsid9:Cstart_9 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid232:n8_232 + -1'tsidxtsid246:n8_246 + tsid4:Cstart_4 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid77:n9_77 + tsid1:CstopOK_1 + -1'tsid4:SstopOK_4 = 0
invariant :tsidxtsid247:n9_247 + tsid0:CstopOK_0 + -1'tsid13:SstopOK_13 = 0
invariant :tsidxtsid192:n8_192 + -1'tsidxtsid208:n8_208 + tsid2:Cstart_2 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid223:n7_223 + -1'tsidxtsid227:n7_227 + -1'tsid14:Cstart_14 + tsid18:Cstart_18 = 0
invariant :tsidxtsid41:n8_41 + -1'tsidxtsid56:n8_56 + tsid3:Cstart_3 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid129:n9_129 + -1'tsid6:SstopOK_6 + tsid15:CstopOK_15 = 0
invariant :tsidxtsid223:n8_223 + -1'tsidxtsid227:n8_227 + tsid14:Cstart_14 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid101:n7_101 + -1'tsidxtsid113:n7_113 + -1'tsid6:Cstart_6 + tsid18:Cstart_18 = 0
invariant :tsidxtsid307:n9_307 + tsid3:CstopOK_3 + -1'tsid16:SstopOK_16 = 0
invariant :tsid15:n2_15 + tsid15:n1_15 + -1'tsid18:n2_18 + -1'tsid18:n1_18 = 0
invariant :tsidxtsid325:n9_325 + tsid2:CstopOK_2 + -1'tsid17:SstopOK_17 = 0
invariant :tsidxtsid78:n8_78 + -1'tsidxtsid94:n8_94 + tsid2:Cstart_2 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid315:n8_315 + -1'tsidxtsid322:n8_322 + tsid11:Cstart_11 + -1'tsid18:Cstart_18 = 0
invariant :malicious_reservoir:malicious_reservoir_0 + -1'tsid0:c1_0 + -1'tsid0:Cstart_0 + -1'tsid0:Sstart_0 + -1'tsid0:s2_0 + -1'tsid0:s3_0 + -1'tsid0:s4_0 + -1'tsid0:s5_0 + -1'tsid0:s6_0 + -1'tsid0:SstopOK_0 + -1'tsid0:CstopOK_0 + -1'tsid1:c1_1 + -1'tsid1:Cstart_1 + -1'tsid1:Sstart_1 + -1'tsid1:s2_1 + -1'tsid1:s3_1 + -1'tsid1:s4_1 + -1'tsid1:s5_1 + -1'tsid1:s6_1 + -1'tsid1:SstopOK_1 + -1'tsid1:CstopOK_1 + -1'tsid2:c1_2 + -1'tsid2:Cstart_2 + -1'tsid2:Sstart_2 + -1'tsid2:s2_2 + -1'tsid2:s3_2 + -1'tsid2:s4_2 + -1'tsid2:s5_2 + -1'tsid2:s6_2 + -1'tsid2:SstopOK_2 + -1'tsid2:CstopOK_2 + -1'tsid3:c1_3 + -1'tsid3:Cstart_3 + -1'tsid3:Sstart_3 + -1'tsid3:s2_3 + -1'tsid3:s3_3 + -1'tsid3:s4_3 + -1'tsid3:s5_3 + -1'tsid3:s6_3 + -1'tsid3:SstopOK_3 + -1'tsid3:CstopOK_3 + -1'tsid4:c1_4 + -1'tsid4:Cstart_4 + -1'tsid4:Sstart_4 + -1'tsid4:s2_4 + -1'tsid4:s3_4 + -1'tsid4:s4_4 + -1'tsid4:s5_4 + -1'tsid4:s6_4 + -1'tsid4:SstopOK_4 + -1'tsid4:CstopOK_4 + -1'tsid5:c1_5 + -1'tsid5:Cstart_5 + -1'tsid5:Sstart_5 + -1'tsid5:s2_5 + -1'tsid5:s3_5 + -1'tsid5:s4_5 + -1'tsid5:s5_5 + -1'tsid5:s6_5 + -1'tsid5:SstopOK_5 + -1'tsid5:CstopOK_5 + -1'tsid6:c1_6 + -1'tsid6:Cstart_6 + -1'tsid6:Sstart_6 + -1'tsid6:s2_6 + -1'tsid6:s3_6 + -1'tsid6:s4_6 + -1'tsid6:s5_6 + -1'tsid6:s6_6 + -1'tsid6:SstopOK_6 + -1'tsid6:CstopOK_6 + -1'tsid7:c1_7 + -1'tsid7:Cstart_7 + -1'tsid7:Sstart_7 + -1'tsid7:s2_7 + -1'tsid7:s3_7 + -1'tsid7:s4_7 + -1'tsid7:s5_7 + -1'tsid7:s6_7 + -1'tsid7:SstopOK_7 + -1'tsid7:CstopOK_7 + -1'tsid8:c1_8 + -1'tsid8:Cstart_8 + -1'tsid8:Sstart_8 + -1'tsid8:s2_8 + -1'tsid8:s3_8 + -1'tsid8:s4_8 + -1'tsid8:s5_8 + -1'tsid8:s6_8 + -1'tsid8:SstopOK_8 + -1'tsid8:CstopOK_8 + -1'tsid9:c1_9 + -1'tsid9:Cstart_9 + -1'tsid9:Sstart_9 + -1'tsid9:s2_9 + -1'tsid9:s3_9 + -1'tsid9:s4_9 + -1'tsid9:s5_9 + -1'tsid9:s6_9 + -1'tsid9:SstopOK_9 + -1'tsid9:CstopOK_9 + -1'tsid10:c1_10 + -1'tsid10:Cstart_10 + -1'tsid10:Sstart_10 + -1'tsid10:s2_10 + -1'tsid10:s3_10 + -1'tsid10:s4_10 + -1'tsid10:s5_10 + -1'tsid10:s6_10 + -1'tsid10:SstopOK_10 + -1'tsid10:CstopOK_10 + -1'tsid11:c1_11 + -1'tsid11:Cstart_11 + -1'tsid11:Sstart_11 + -1'tsid11:s2_11 + -1'tsid11:s3_11 + -1'tsid11:s4_11 + -1'tsid11:s5_11 + -1'tsid11:s6_11 + -1'tsid11:SstopOK_11 + -1'tsid11:CstopOK_11 + -1'tsid12:c1_12 + -1'tsid12:Cstart_12 + -1'tsid12:Sstart_12 + -1'tsid12:s2_12 + -1'tsid12:s3_12 + -1'tsid12:s4_12 + -1'tsid12:s5_12 + -1'tsid12:s6_12 + -1'tsid12:SstopOK_12 + -1'tsid12:CstopOK_12 + -1'tsid13:c1_13 + -1'tsid13:Cstart_13 + -1'tsid13:Sstart_13 + -1'tsid13:s2_13 + -1'tsid13:s3_13 + -1'tsid13:s4_13 + -1'tsid13:s5_13 + -1'tsid13:s6_13 + -1'tsid13:SstopOK_13 + -1'tsid13:CstopOK_13 + -1'tsid14:c1_14 + -1'tsid14:Cstart_14 + -1'tsid14:Sstart_14 + -1'tsid14:s2_14 + -1'tsid14:s3_14 + -1'tsid14:s4_14 + -1'tsid14:s5_14 + -1'tsid14:s6_14 + -1'tsid14:SstopOK_14 + -1'tsid14:CstopOK_14 + -1'tsid15:c1_15 + -1'tsid15:Cstart_15 + -1'tsid15:Sstart_15 + -1'tsid15:s2_15 + -1'tsid15:s3_15 + -1'tsid15:s4_15 + -1'tsid15:s5_15 + -1'tsid15:s6_15 + -1'tsid15:SstopOK_15 + -1'tsid15:CstopOK_15 + -1'tsid16:c1_16 + -1'tsid16:Cstart_16 + -1'tsid16:Sstart_16 + -1'tsid16:s2_16 + -1'tsid16:s3_16 + -1'tsid16:s4_16 + -1'tsid16:s5_16 + -1'tsid16:s6_16 + -1'tsid16:SstopOK_16 + -1'tsid16:CstopOK_16 + -1'tsid17:c1_17 + -1'tsid17:Cstart_17 + -1'tsid17:Sstart_17 + -1'tsid17:s2_17 + -1'tsid17:s3_17 + -1'tsid17:s4_17 + -1'tsid17:s5_17 + -1'tsid17:s6_17 + -1'tsid17:SstopOK_17 + -1'tsid17:CstopOK_17 + -1'tsid18:c1_18 + -1'tsid18:Cstart_18 + -1'tsid18:Sstart_18 + -1'tsid18:s2_18 + -1'tsid18:s3_18 + -1'tsid18:s4_18 + -1'tsid18:s5_18 + -1'tsid18:s6_18 + -1'tsid18:SstopOK_18 + -1'tsid18:CstopOK_18 = -28
invariant :tsidxtsid173:n7_173 + -1'tsidxtsid189:n7_189 + -1'tsid2:Cstart_2 + tsid18:Cstart_18 = 0
invariant :tsidxtsid228:n8_228 + -1'tsidxtsid246:n8_246 + tsid0:Cstart_0 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid212:n8_212 + -1'tsidxtsid227:n8_227 + tsid3:Cstart_3 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid23:n9_23 + -1'tsid1:SstopOK_1 + tsid4:CstopOK_4 = 0
invariant :tsidxtsid159:n9_159 + tsid7:CstopOK_7 + -1'tsid8:SstopOK_8 = 0
invariant :tsidxtsid250:n8_250 + -1'tsidxtsid265:n8_265 + tsid3:Cstart_3 + -1'tsid18:Cstart_18 = 0
invariant :tsid1:n2_1 + tsid1:n1_1 + -1'tsid18:n2_18 + -1'tsid18:n1_18 = 0
invariant :tsidxtsid25:n9_25 + -1'tsid1:SstopOK_1 + tsid6:CstopOK_6 = 0
invariant :tsidxtsid310:n7_310 + -1'tsidxtsid322:n7_322 + -1'tsid6:Cstart_6 + tsid18:Cstart_18 = 0
invariant :tsidxtsid139:n8_139 + -1'tsidxtsid151:n8_151 + tsid6:Cstart_6 + -1'tsid18:Cstart_18 = 0
invariant :AstopAbort:AstopAbort_0 + a5:a5_0 + a4:a4_0 + a3:a3_0 + a2:a2_0 + a1:a1_0 + Astart:Astart_0 + AstopOK:AstopOK_0 = 1
invariant :tsidxtsid185:n8_185 + -1'tsidxtsid189:n8_189 + tsid14:Cstart_14 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid254:n8_254 + -1'tsidxtsid265:n8_265 + tsid7:Cstart_7 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid319:n9_319 + tsid15:CstopOK_15 + -1'tsid16:SstopOK_16 = 0
invariant :tsidxtsid93:n7_93 + -1'tsidxtsid94:n7_94 + -1'tsid17:Cstart_17 + tsid18:Cstart_18 = 0
invariant :tsidxtsid226:n8_226 + -1'tsidxtsid227:n8_227 + tsid17:Cstart_17 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid42:n9_42 + -1'tsid2:SstopOK_2 + tsid4:CstopOK_4 = 0
invariant :tsidxtsid92:n8_92 + -1'tsidxtsid94:n8_94 + tsid16:Cstart_16 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid275:n7_275 + -1'tsidxtsid284:n7_284 + -1'tsid9:Cstart_9 + tsid18:Cstart_18 = 0
invariant :tsidxtsid191:n7_191 + -1'tsidxtsid208:n7_208 + -1'tsid1:Cstart_1 + tsid18:Cstart_18 = 0
invariant :tsidxtsid234:n7_234 + -1'tsidxtsid246:n7_246 + -1'tsid6:Cstart_6 + tsid18:Cstart_18 = 0
invariant :tsidxtsid302:n8_302 + -1'tsidxtsid303:n8_303 + tsid17:Cstart_17 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid17:n9_17 + -1'tsid0:SstopOK_0 + tsid17:CstopOK_17 = 0
invariant :tsidxtsid74:n9_74 + -1'tsid3:SstopOK_3 + tsid17:CstopOK_17 = 0
invariant :tsidxtsid100:n7_100 + -1'tsidxtsid113:n7_113 + -1'tsid5:Cstart_5 + tsid18:Cstart_18 = 0
invariant :tsidxtsid240:n9_240 + -1'tsid12:SstopOK_12 + tsid12:CstopOK_12 = 0
invariant :tsidxtsid173:n8_173 + -1'tsidxtsid189:n8_189 + tsid2:Cstart_2 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid313:n9_313 + tsid9:CstopOK_9 + -1'tsid16:SstopOK_16 = 0
invariant :tsidxtsid146:n8_146 + -1'tsidxtsid151:n8_151 + tsid13:Cstart_13 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid335:n9_335 + tsid12:CstopOK_12 + -1'tsid17:SstopOK_17 = 0
invariant :tsidxtsid236:n8_236 + -1'tsidxtsid246:n8_246 + tsid8:Cstart_8 + -1'tsid18:Cstart_18 = 0
invariant :tsid13:n2_13 + tsid13:n1_13 + -1'tsid18:n2_18 + -1'tsid18:n1_18 = 0
invariant :tsidxtsid263:n9_263 + -1'tsid13:SstopOK_13 + tsid16:CstopOK_16 = 0
invariant :tsid12:n2_12 + tsid12:n1_12 + -1'tsid18:n2_18 + -1'tsid18:n1_18 = 0
invariant :tsidxtsid160:n8_160 + -1'tsidxtsid170:n8_170 + tsid8:Cstart_8 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid81:n9_81 + -1'tsid4:SstopOK_4 + tsid5:CstopOK_5 = 0
invariant :tsidxtsid174:n8_174 + -1'tsidxtsid189:n8_189 + tsid3:Cstart_3 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid141:n7_141 + -1'tsidxtsid151:n7_151 + -1'tsid8:Cstart_8 + tsid18:Cstart_18 = 0
invariant :tsid11:n2_11 + tsid11:n1_11 + -1'tsid18:n2_18 + -1'tsid18:n1_18 = 0
invariant :tsidxtsid220:n7_220 + -1'tsidxtsid227:n7_227 + -1'tsid11:Cstart_11 + tsid18:Cstart_18 = 0
invariant :tsidxtsid237:n8_237 + -1'tsidxtsid246:n8_246 + tsid9:Cstart_9 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid238:n8_238 + -1'tsidxtsid246:n8_246 + tsid10:Cstart_10 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid198:n8_198 + -1'tsidxtsid208:n8_208 + tsid8:Cstart_8 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid15:n7_15 + -1'tsidxtsid18:n7_18 + -1'tsid15:Cstart_15 + tsid18:Cstart_18 = 0
invariant :tsidxtsid135:n9_135 + tsid2:CstopOK_2 + -1'tsid7:SstopOK_7 = 0
invariant :tsidxtsid153:n8_153 + -1'tsidxtsid170:n8_170 + tsid1:Cstart_1 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid38:n9_38 + tsid0:CstopOK_0 + -1'tsid2:SstopOK_2 = 0
invariant :tsidxtsid295:n7_295 + -1'tsidxtsid303:n7_303 + -1'tsid10:Cstart_10 + tsid18:Cstart_18 = 0
invariant :tsidxtsid295:n8_295 + -1'tsidxtsid303:n8_303 + tsid10:Cstart_10 + -1'tsid18:Cstart_18 = 0
invariant :tsid14:n4_14 + tsid14:n3_14 + -1'tsid18:n4_18 + -1'tsid18:n3_18 = 0
invariant :tsidxtsid297:n9_297 + tsid12:CstopOK_12 + -1'tsid15:SstopOK_15 = 0
invariant :tsidxtsid253:n7_253 + -1'tsidxtsid265:n7_265 + -1'tsid6:Cstart_6 + tsid18:Cstart_18 = 0
invariant :tsid0:n4_0 + tsid0:n3_0 + -1'tsid18:n4_18 + -1'tsid18:n3_18 = 0
invariant :tsidxtsid27:n7_27 + -1'tsidxtsid37:n7_37 + -1'tsid8:Cstart_8 + tsid18:Cstart_18 = 0
invariant :tsidxtsid205:n9_205 + -1'tsid10:SstopOK_10 + tsid15:CstopOK_15 = 0
invariant :tsidxtsid281:n9_281 + -1'tsid14:SstopOK_14 + tsid15:CstopOK_15 = 0
invariant :tsidxtsid193:n7_193 + -1'tsidxtsid208:n7_208 + -1'tsid3:Cstart_3 + tsid18:Cstart_18 = 0
invariant :tsidxtsid134:n8_134 + -1'tsidxtsid151:n8_151 + tsid1:Cstart_1 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid298:n9_298 + tsid13:CstopOK_13 + -1'tsid15:SstopOK_15 = 0
invariant :tsidxtsid254:n9_254 + tsid7:CstopOK_7 + -1'tsid13:SstopOK_13 = 0
invariant :tsidxtsid266:n8_266 + -1'tsidxtsid284:n8_284 + tsid0:Cstart_0 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid107:n8_107 + -1'tsidxtsid113:n8_113 + tsid12:Cstart_12 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid154:n8_154 + -1'tsidxtsid170:n8_170 + tsid2:Cstart_2 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid159:n7_159 + -1'tsidxtsid170:n7_170 + -1'tsid7:Cstart_7 + tsid18:Cstart_18 = 0
invariant :tsidxtsid230:n7_230 + -1'tsidxtsid246:n7_246 + -1'tsid2:Cstart_2 + tsid18:Cstart_18 = 0
invariant :tsidxtsid146:n9_146 + -1'tsid7:SstopOK_7 + tsid13:CstopOK_13 = 0
invariant :tsidxtsid247:n7_247 + -1'tsidxtsid265:n7_265 + -1'tsid0:Cstart_0 + tsid18:Cstart_18 = 0
invariant :tsidxtsid199:n7_199 + -1'tsidxtsid208:n7_208 + -1'tsid9:Cstart_9 + tsid18:Cstart_18 = 0
invariant :tsidxtsid130:n9_130 + -1'tsid6:SstopOK_6 + tsid16:CstopOK_16 = 0
invariant :tsidxtsid53:n8_53 + -1'tsidxtsid56:n8_56 + tsid15:Cstart_15 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid129:n8_129 + -1'tsidxtsid132:n8_132 + tsid15:Cstart_15 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid166:n7_166 + -1'tsidxtsid170:n7_170 + -1'tsid14:Cstart_14 + tsid18:Cstart_18 = 0
invariant :tsid14:n2_14 + tsid14:n1_14 + -1'tsid18:n2_18 + -1'tsid18:n1_18 = 0
invariant :tsidxtsid154:n7_154 + -1'tsidxtsid170:n7_170 + -1'tsid2:Cstart_2 + tsid18:Cstart_18 = 0
invariant :tsidxtsid3:n8_3 + -1'tsidxtsid18:n8_18 + tsid3:Cstart_3 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid103:n9_103 + -1'tsid5:SstopOK_5 + tsid8:CstopOK_8 = 0
invariant :tsidxtsid225:n9_225 + -1'tsid11:SstopOK_11 + tsid16:CstopOK_16 = 0
invariant :tsidxtsid122:n9_122 + -1'tsid6:SstopOK_6 + tsid8:CstopOK_8 = 0
invariant :tsidxtsid175:n8_175 + -1'tsidxtsid189:n8_189 + tsid4:Cstart_4 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid64:n9_64 + -1'tsid3:SstopOK_3 + tsid7:CstopOK_7 = 0
invariant :tsidxtsid264:n7_264 + -1'tsidxtsid265:n7_265 + -1'tsid17:Cstart_17 + tsid18:Cstart_18 = 0
invariant :tsidxtsid356:n7_356 + -1'tsidxtsid360:n7_360 + -1'tsid14:Cstart_14 + tsid18:Cstart_18 = 0
invariant :tsidxtsid263:n7_263 + -1'tsidxtsid265:n7_265 + -1'tsid16:Cstart_16 + tsid18:Cstart_18 = 0
invariant :tsidxtsid55:n8_55 + -1'tsidxtsid56:n8_56 + tsid17:Cstart_17 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid27:n9_27 + -1'tsid1:SstopOK_1 + tsid8:CstopOK_8 = 0
invariant :tsid9:n2_9 + tsid9:n1_9 + -1'tsid18:n2_18 + -1'tsid18:n1_18 = 0
invariant :tsidxtsid111:n7_111 + -1'tsidxtsid113:n7_113 + -1'tsid16:Cstart_16 + tsid18:Cstart_18 = 0
invariant :tsidxtsid193:n9_193 + tsid3:CstopOK_3 + -1'tsid10:SstopOK_10 = 0
invariant :tsidxtsid39:n8_39 + -1'tsidxtsid56:n8_56 + tsid1:Cstart_1 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid6:n9_6 + -1'tsid0:SstopOK_0 + tsid6:CstopOK_6 = 0
invariant :tsidxtsid337:n7_337 + -1'tsidxtsid341:n7_341 + -1'tsid14:Cstart_14 + tsid18:Cstart_18 = 0
invariant :tsidxtsid164:n7_164 + -1'tsidxtsid170:n7_170 + -1'tsid12:Cstart_12 + tsid18:Cstart_18 = 0
invariant :tsidxtsid230:n9_230 + tsid2:CstopOK_2 + -1'tsid12:SstopOK_12 = 0
invariant :tsidxtsid232:n9_232 + tsid4:CstopOK_4 + -1'tsid12:SstopOK_12 = 0
invariant :tsidxtsid66:n9_66 + -1'tsid3:SstopOK_3 + tsid9:CstopOK_9 = 0
invariant :tsidxtsid214:n7_214 + -1'tsidxtsid227:n7_227 + -1'tsid5:Cstart_5 + tsid18:Cstart_18 = 0
invariant :tsidxtsid271:n9_271 + tsid5:CstopOK_5 + -1'tsid14:SstopOK_14 = 0
invariant :tsidxtsid197:n7_197 + -1'tsidxtsid208:n7_208 + -1'tsid7:Cstart_7 + tsid18:Cstart_18 = 0
invariant :tsidxtsid338:n9_338 + tsid15:CstopOK_15 + -1'tsid17:SstopOK_17 = 0
invariant :tsid16:n2_16 + tsid16:n1_16 + -1'tsid18:n2_18 + -1'tsid18:n1_18 = 0
invariant :tsidxtsid343:n7_343 + -1'tsidxtsid360:n7_360 + -1'tsid1:Cstart_1 + tsid18:Cstart_18 = 0
invariant :tsidxtsid127:n7_127 + -1'tsidxtsid132:n7_132 + -1'tsid13:Cstart_13 + tsid18:Cstart_18 = 0
invariant :tsidxtsid161:n7_161 + -1'tsidxtsid170:n7_170 + -1'tsid9:Cstart_9 + tsid18:Cstart_18 = 0
invariant :tsidxtsid234:n8_234 + -1'tsidxtsid246:n8_246 + tsid6:Cstart_6 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid45:n9_45 + -1'tsid2:SstopOK_2 + tsid7:CstopOK_7 = 0
invariant :tsidxtsid61:n7_61 + -1'tsidxtsid75:n7_75 + -1'tsid4:Cstart_4 + tsid18:Cstart_18 = 0
invariant :tsidxtsid211:n9_211 + tsid2:CstopOK_2 + -1'tsid11:SstopOK_11 = 0
invariant :tsidxtsid343:n8_343 + -1'tsidxtsid360:n8_360 + tsid1:Cstart_1 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid212:n7_212 + -1'tsidxtsid227:n7_227 + -1'tsid3:Cstart_3 + tsid18:Cstart_18 = 0
invariant :tsidxtsid77:n8_77 + -1'tsidxtsid94:n8_94 + tsid1:Cstart_1 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid256:n9_256 + tsid9:CstopOK_9 + -1'tsid13:SstopOK_13 = 0
invariant :tsidxtsid219:n8_219 + -1'tsidxtsid227:n8_227 + tsid10:Cstart_10 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid30:n8_30 + -1'tsidxtsid37:n8_37 + tsid11:Cstart_11 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid336:n7_336 + -1'tsidxtsid341:n7_341 + -1'tsid13:Cstart_13 + tsid18:Cstart_18 = 0
invariant :tsidxtsid62:n8_62 + -1'tsidxtsid75:n8_75 + tsid5:Cstart_5 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid326:n7_326 + -1'tsidxtsid341:n7_341 + -1'tsid3:Cstart_3 + tsid18:Cstart_18 = 0
invariant :tsidxtsid219:n9_219 + tsid10:CstopOK_10 + -1'tsid11:SstopOK_11 = 0
invariant :tsidxtsid11:n9_11 + -1'tsid0:SstopOK_0 + tsid11:CstopOK_11 = 0
invariant :tsidxtsid82:n7_82 + -1'tsidxtsid94:n7_94 + -1'tsid6:Cstart_6 + tsid18:Cstart_18 = 0
invariant :tsidxtsid329:n7_329 + -1'tsidxtsid341:n7_341 + -1'tsid6:Cstart_6 + tsid18:Cstart_18 = 0
invariant :tsidxtsid73:n9_73 + -1'tsid3:SstopOK_3 + tsid16:CstopOK_16 = 0
invariant :tsidxtsid101:n9_101 + -1'tsid5:SstopOK_5 + tsid6:CstopOK_6 = 0
invariant :tsidxtsid67:n7_67 + -1'tsidxtsid75:n7_75 + -1'tsid10:Cstart_10 + tsid18:Cstart_18 = 0
invariant :tsidxtsid131:n7_131 + -1'tsidxtsid132:n7_132 + -1'tsid17:Cstart_17 + tsid18:Cstart_18 = 0
invariant :tsidxtsid190:n9_190 + tsid0:CstopOK_0 + -1'tsid10:SstopOK_10 = 0
invariant :tsidxtsid339:n7_339 + -1'tsidxtsid341:n7_341 + -1'tsid16:Cstart_16 + tsid18:Cstart_18 = 0
invariant :tsidxtsid305:n7_305 + -1'tsidxtsid322:n7_322 + -1'tsid1:Cstart_1 + tsid18:Cstart_18 = 0
invariant :tsidxtsid309:n7_309 + -1'tsidxtsid322:n7_322 + -1'tsid5:Cstart_5 + tsid18:Cstart_18 = 0
invariant :tsidxtsid59:n8_59 + -1'tsidxtsid75:n8_75 + tsid2:Cstart_2 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid351:n9_351 + tsid9:CstopOK_9 + -1'tsid18:SstopOK_18 = 0
invariant :tsidxtsid114:n9_114 + tsid0:CstopOK_0 + -1'tsid6:SstopOK_6 = 0
invariant :tsidxtsid126:n9_126 + -1'tsid6:SstopOK_6 + tsid12:CstopOK_12 = 0
invariant :tsidxtsid310:n9_310 + tsid6:CstopOK_6 + -1'tsid16:SstopOK_16 = 0
invariant :tsidxtsid104:n8_104 + -1'tsidxtsid113:n8_113 + tsid9:Cstart_9 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid72:n7_72 + -1'tsidxtsid75:n7_75 + -1'tsid15:Cstart_15 + tsid18:Cstart_18 = 0
invariant :tsidxtsid95:n8_95 + -1'tsidxtsid113:n8_113 + tsid0:Cstart_0 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid244:n7_244 + -1'tsidxtsid246:n7_246 + -1'tsid16:Cstart_16 + tsid18:Cstart_18 = 0
invariant :tsidxtsid157:n7_157 + -1'tsidxtsid170:n7_170 + -1'tsid5:Cstart_5 + tsid18:Cstart_18 = 0
invariant :tsidxtsid209:n9_209 + tsid0:CstopOK_0 + -1'tsid11:SstopOK_11 = 0
invariant :tsidxtsid123:n9_123 + -1'tsid6:SstopOK_6 + tsid9:CstopOK_9 = 0
invariant :tsidxtsid196:n9_196 + tsid6:CstopOK_6 + -1'tsid10:SstopOK_10 = 0
invariant :tsidxtsid164:n8_164 + -1'tsidxtsid170:n8_170 + tsid12:Cstart_12 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid346:n8_346 + -1'tsidxtsid360:n8_360 + tsid4:Cstart_4 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid35:n7_35 + -1'tsidxtsid37:n7_37 + -1'tsid16:Cstart_16 + tsid18:Cstart_18 = 0
invariant :tsidxtsid58:n9_58 + tsid1:CstopOK_1 + -1'tsid3:SstopOK_3 = 0
invariant :tsidxtsid8:n9_8 + -1'tsid0:SstopOK_0 + tsid8:CstopOK_8 = 0
invariant :tsidxtsid333:n7_333 + -1'tsidxtsid341:n7_341 + -1'tsid10:Cstart_10 + tsid18:Cstart_18 = 0
invariant :tsidxtsid166:n9_166 + -1'tsid8:SstopOK_8 + tsid14:CstopOK_14 = 0
invariant :tsidxtsid64:n8_64 + -1'tsidxtsid75:n8_75 + tsid7:Cstart_7 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid49:n7_49 + -1'tsidxtsid56:n7_56 + -1'tsid11:Cstart_11 + tsid18:Cstart_18 = 0
invariant :tsidxtsid140:n8_140 + -1'tsidxtsid151:n8_151 + tsid7:Cstart_7 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid323:n9_323 + tsid0:CstopOK_0 + -1'tsid17:SstopOK_17 = 0
invariant :tsidxtsid147:n8_147 + -1'tsidxtsid151:n8_151 + tsid14:Cstart_14 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid162:n8_162 + -1'tsidxtsid170:n8_170 + tsid10:Cstart_10 + -1'tsid18:Cstart_18 = 0
invariant :tsid10:n4_10 + tsid10:n3_10 + -1'tsid18:n4_18 + -1'tsid18:n3_18 = 0
invariant :tsidxtsid162:n7_162 + -1'tsidxtsid170:n7_170 + -1'tsid10:Cstart_10 + tsid18:Cstart_18 = 0
invariant :tsidxtsid318:n7_318 + -1'tsidxtsid322:n7_322 + -1'tsid14:Cstart_14 + tsid18:Cstart_18 = 0
invariant :tsidxtsid69:n8_69 + -1'tsidxtsid75:n8_75 + tsid12:Cstart_12 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid176:n7_176 + -1'tsidxtsid189:n7_189 + -1'tsid5:Cstart_5 + tsid18:Cstart_18 = 0
invariant :tsidxtsid286:n9_286 + tsid1:CstopOK_1 + -1'tsid15:SstopOK_15 = 0
invariant :tsidxtsid39:n9_39 + tsid1:CstopOK_1 + -1'tsid2:SstopOK_2 = 0
invariant :tsidxtsid320:n8_320 + -1'tsidxtsid322:n8_322 + tsid16:Cstart_16 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid116:n9_116 + tsid2:CstopOK_2 + -1'tsid6:SstopOK_6 = 0
invariant :tsidxtsid231:n8_231 + -1'tsidxtsid246:n8_246 + tsid3:Cstart_3 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid239:n8_239 + -1'tsidxtsid246:n8_246 + tsid11:Cstart_11 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid255:n7_255 + -1'tsidxtsid265:n7_265 + -1'tsid8:Cstart_8 + tsid18:Cstart_18 = 0
invariant :tsidxtsid115:n7_115 + -1'tsidxtsid132:n7_132 + -1'tsid1:Cstart_1 + tsid18:Cstart_18 = 0
invariant :tsid0:n6_0 + tsid0:n5_0 + -1'tsid18:n6_18 + -1'tsid18:n5_18 = 0
invariant :tsidxtsid241:n9_241 + -1'tsid12:SstopOK_12 + tsid13:CstopOK_13 = 0
invariant :tsidxtsid230:n8_230 + -1'tsidxtsid246:n8_246 + tsid2:Cstart_2 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid32:n7_32 + -1'tsidxtsid37:n7_37 + -1'tsid13:Cstart_13 + tsid18:Cstart_18 = 0
invariant :tsidxtsid208:n9_208 + -1'tsid10:SstopOK_10 + tsid18:CstopOK_18 = 0
invariant :tsidxtsid280:n9_280 + -1'tsid14:SstopOK_14 + tsid14:CstopOK_14 = 0
invariant :tsidxtsid300:n8_300 + -1'tsidxtsid303:n8_303 + tsid15:Cstart_15 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid179:n8_179 + -1'tsidxtsid189:n8_189 + tsid8:Cstart_8 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid57:n8_57 + -1'tsidxtsid75:n8_75 + tsid0:Cstart_0 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid48:n8_48 + -1'tsidxtsid56:n8_56 + tsid10:Cstart_10 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid268:n8_268 + -1'tsidxtsid284:n8_284 + tsid2:Cstart_2 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid276:n8_276 + -1'tsidxtsid284:n8_284 + tsid10:Cstart_10 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid282:n9_282 + -1'tsid14:SstopOK_14 + tsid16:CstopOK_16 = 0
invariant :tsidxtsid283:n7_283 + -1'tsidxtsid284:n7_284 + -1'tsid17:Cstart_17 + tsid18:Cstart_18 = 0
invariant :tsid10:n6_10 + tsid10:n5_10 + -1'tsid18:n6_18 + -1'tsid18:n5_18 = 0
invariant :tsidxtsid118:n8_118 + -1'tsidxtsid132:n8_132 + tsid4:Cstart_4 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid9:n8_9 + -1'tsidxtsid18:n8_18 + tsid9:Cstart_9 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid7:n7_7 + -1'tsidxtsid18:n7_18 + -1'tsid7:Cstart_7 + tsid18:Cstart_18 = 0
invariant :tsidxtsid357:n9_357 + tsid15:CstopOK_15 + -1'tsid18:SstopOK_18 = 0
invariant :tsidxtsid129:n7_129 + -1'tsidxtsid132:n7_132 + -1'tsid15:Cstart_15 + tsid18:Cstart_18 = 0
invariant :tsidxtsid225:n8_225 + -1'tsidxtsid227:n8_227 + tsid16:Cstart_16 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid311:n9_311 + tsid7:CstopOK_7 + -1'tsid16:SstopOK_16 = 0
invariant :tsidxtsid115:n9_115 + tsid1:CstopOK_1 + -1'tsid6:SstopOK_6 = 0
invariant :tsidxtsid102:n9_102 + -1'tsid5:SstopOK_5 + tsid7:CstopOK_7 = 0
invariant :tsidxtsid38:n7_38 + -1'tsidxtsid56:n7_56 + -1'tsid0:Cstart_0 + tsid18:Cstart_18 = 0
invariant :tsidxtsid351:n7_351 + -1'tsidxtsid360:n7_360 + -1'tsid9:Cstart_9 + tsid18:Cstart_18 = 0
invariant :tsidxtsid339:n9_339 + tsid16:CstopOK_16 + -1'tsid17:SstopOK_17 = 0
invariant :tsidxtsid350:n9_350 + tsid8:CstopOK_8 + -1'tsid18:SstopOK_18 = 0
invariant :tsidxtsid33:n9_33 + -1'tsid1:SstopOK_1 + tsid14:CstopOK_14 = 0
invariant :tsidxtsid96:n9_96 + tsid1:CstopOK_1 + -1'tsid5:SstopOK_5 = 0
invariant :tsidxtsid347:n9_347 + tsid5:CstopOK_5 + -1'tsid18:SstopOK_18 = 0
invariant :tsidxtsid8:n8_8 + -1'tsidxtsid18:n8_18 + tsid8:Cstart_8 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid107:n9_107 + -1'tsid5:SstopOK_5 + tsid12:CstopOK_12 = 0
invariant :tsidxtsid337:n8_337 + -1'tsidxtsid341:n8_341 + tsid14:Cstart_14 + -1'tsid18:Cstart_18 = 0
invariant :tsid4:n2_4 + tsid4:n1_4 + -1'tsid18:n2_18 + -1'tsid18:n1_18 = 0
invariant :tsidxtsid258:n7_258 + -1'tsidxtsid265:n7_265 + -1'tsid11:Cstart_11 + tsid18:Cstart_18 = 0
invariant :tsidxtsid328:n9_328 + tsid5:CstopOK_5 + -1'tsid17:SstopOK_17 = 0
invariant :tsidxtsid138:n8_138 + -1'tsidxtsid151:n8_151 + tsid5:Cstart_5 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid63:n9_63 + -1'tsid3:SstopOK_3 + tsid6:CstopOK_6 = 0
invariant :tsidxtsid94:n9_94 + -1'tsid4:SstopOK_4 + tsid18:CstopOK_18 = 0
invariant :tsidxtsid138:n9_138 + tsid5:CstopOK_5 + -1'tsid7:SstopOK_7 = 0
invariant :tsidxtsid96:n8_96 + -1'tsidxtsid113:n8_113 + tsid1:Cstart_1 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid68:n9_68 + -1'tsid3:SstopOK_3 + tsid11:CstopOK_11 = 0
invariant :tsidxtsid292:n8_292 + -1'tsidxtsid303:n8_303 + tsid7:Cstart_7 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid171:n9_171 + tsid0:CstopOK_0 + -1'tsid9:SstopOK_9 = 0
invariant :tsidxtsid298:n7_298 + -1'tsidxtsid303:n7_303 + -1'tsid13:Cstart_13 + tsid18:Cstart_18 = 0
invariant :tsidxtsid119:n8_119 + -1'tsidxtsid132:n8_132 + tsid5:Cstart_5 + -1'tsid18:Cstart_18 = 0
invariant :tsidxtsid278:n8_278 + -1'tsidxtsid284:n8_284 + tsid12:Cstart_12 + -1'tsid18:Cstart_18 = 0
Running compilation step : CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit//lts_install_dir//include, -I., -std=c99, -fPIC, -O3, model.c], workingDir=/home/mcc/execution]
Compilation finished in 31366 ms.
Running link step : CommandLine [args=[gcc, -shared, -o, gal.so, model.o], workingDir=/home/mcc/execution]
Link finished in 117 ms.
Running LTSmin : CommandLine [args=[/home/mcc/BenchKit//lts_install_dir//bin/pins2lts-mc, ./gal.so, --threads=1, --when, --ltl, ([](((LTLAP0==true))U((LTLAP1==true))))U(<>([](X((LTLAP1==true))))), --buchi-type=spotba], workingDir=/home/mcc/execution]
LTSmin run took 196 ms.
FORMULA QuasiCertifProtocol-COL-18-LTLFireability-00 FALSE TECHNIQUES PARTIAL_ORDER EXPLICIT LTSMIN SAT_SMT
Running LTSmin : CommandLine [args=[/home/mcc/BenchKit//lts_install_dir//bin/pins2lts-mc, ./gal.so, --threads=1, --when, --ltl, <>([]([](X(X((LTLAP2==true)))))), --buchi-type=spotba], workingDir=/home/mcc/execution]
LTSmin run took 189 ms.
FORMULA QuasiCertifProtocol-COL-18-LTLFireability-01 FALSE TECHNIQUES PARTIAL_ORDER EXPLICIT LTSMIN SAT_SMT
Running LTSmin : CommandLine [args=[/home/mcc/BenchKit//lts_install_dir//bin/pins2lts-mc, ./gal.so, --threads=1, --when, --ltl, <>(X(<>((LTLAP3==true)))), --buchi-type=spotba], workingDir=/home/mcc/execution]
LTSmin run took 250 ms.
FORMULA QuasiCertifProtocol-COL-18-LTLFireability-02 FALSE TECHNIQUES PARTIAL_ORDER EXPLICIT LTSMIN SAT_SMT
Running LTSmin : CommandLine [args=[/home/mcc/BenchKit//lts_install_dir//bin/pins2lts-mc, ./gal.so, --threads=1, -p, --pins-guards, --when, --ltl, []((LTLAP4==true)), --buchi-type=spotba], workingDir=/home/mcc/execution]
LTSmin run took 2573 ms.
FORMULA QuasiCertifProtocol-COL-18-LTLFireability-03 FALSE TECHNIQUES PARTIAL_ORDER EXPLICIT LTSMIN SAT_SMT
Running LTSmin : CommandLine [args=[/home/mcc/BenchKit//lts_install_dir//bin/pins2lts-mc, ./gal.so, --threads=1, --when, --ltl, X(<>((LTLAP5==true))), --buchi-type=spotba], workingDir=/home/mcc/execution]
LTSmin run took 163 ms.
FORMULA QuasiCertifProtocol-COL-18-LTLFireability-04 FALSE TECHNIQUES PARTIAL_ORDER EXPLICIT LTSMIN SAT_SMT
Running LTSmin : CommandLine [args=[/home/mcc/BenchKit//lts_install_dir//bin/pins2lts-mc, ./gal.so, --threads=1, -p, --pins-guards, --when, --ltl, <>(((LTLAP6==true))U(((LTLAP7==true))U((LTLAP8==true)))), --buchi-type=spotba], workingDir=/home/mcc/execution]
WARNING : LTSmin timed out (>225 s) on command CommandLine [args=[/home/mcc/BenchKit//lts_install_dir//bin/pins2lts-mc, ./gal.so, --threads=1, -p, --pins-guards, --when, --ltl, <>(((LTLAP6==true))U(((LTLAP7==true))U((LTLAP8==true)))), --buchi-type=spotba], workingDir=/home/mcc/execution]
Running LTSmin : CommandLine [args=[/home/mcc/BenchKit//lts_install_dir//bin/pins2lts-mc, ./gal.so, --threads=1, -p, --pins-guards, --when, --ltl, (LTLAP4==true), --buchi-type=spotba], workingDir=/home/mcc/execution]
LTSmin run took 2621 ms.
FORMULA QuasiCertifProtocol-COL-18-LTLFireability-06 FALSE TECHNIQUES PARTIAL_ORDER EXPLICIT LTSMIN SAT_SMT
Running LTSmin : CommandLine [args=[/home/mcc/BenchKit//lts_install_dir//bin/pins2lts-mc, ./gal.so, --threads=1, --when, --ltl, [](<>(<>(X((LTLAP9==true))))), --buchi-type=spotba], workingDir=/home/mcc/execution]
WARNING : LTSmin timed out (>225 s) on command CommandLine [args=[/home/mcc/BenchKit//lts_install_dir//bin/pins2lts-mc, ./gal.so, --threads=1, --when, --ltl, [](<>(<>(X((LTLAP9==true))))), --buchi-type=spotba], workingDir=/home/mcc/execution]
Running LTSmin : CommandLine [args=[/home/mcc/BenchKit//lts_install_dir//bin/pins2lts-mc, ./gal.so, --threads=1, --when, --ltl, <>([](X(<>(<>((LTLAP10==true)))))), --buchi-type=spotba], workingDir=/home/mcc/execution]
LTSmin run took 248 ms.
FORMULA QuasiCertifProtocol-COL-18-LTLFireability-08 FALSE TECHNIQUES PARTIAL_ORDER EXPLICIT LTSMIN SAT_SMT
Running LTSmin : CommandLine [args=[/home/mcc/BenchKit//lts_install_dir//bin/pins2lts-mc, ./gal.so, --threads=1, -p, --pins-guards, --when, --ltl, [](<>([]((LTLAP8==true)))), --buchi-type=spotba], workingDir=/home/mcc/execution]
LTSmin run took 2658 ms.
FORMULA QuasiCertifProtocol-COL-18-LTLFireability-09 FALSE TECHNIQUES PARTIAL_ORDER EXPLICIT LTSMIN SAT_SMT
Running LTSmin : CommandLine [args=[/home/mcc/BenchKit//lts_install_dir//bin/pins2lts-mc, ./gal.so, --threads=1, --when, --ltl, X(X(((LTLAP11==true))U(<>((LTLAP10==true))))), --buchi-type=spotba], workingDir=/home/mcc/execution]
LTSmin run took 168 ms.
FORMULA QuasiCertifProtocol-COL-18-LTLFireability-10 FALSE TECHNIQUES PARTIAL_ORDER EXPLICIT LTSMIN SAT_SMT
Running LTSmin : CommandLine [args=[/home/mcc/BenchKit//lts_install_dir//bin/pins2lts-mc, ./gal.so, --threads=1, -p, --pins-guards, --when, --ltl, (LTLAP12==true), --buchi-type=spotba], workingDir=/home/mcc/execution]
LTSmin run took 2557 ms.
FORMULA QuasiCertifProtocol-COL-18-LTLFireability-11 FALSE TECHNIQUES PARTIAL_ORDER EXPLICIT LTSMIN SAT_SMT
Running LTSmin : CommandLine [args=[/home/mcc/BenchKit//lts_install_dir//bin/pins2lts-mc, ./gal.so, --threads=1, -p, --pins-guards, --when, --ltl, <>((LTLAP13==true)), --buchi-type=spotba], workingDir=/home/mcc/execution]
LTSmin run took 2606 ms.
FORMULA QuasiCertifProtocol-COL-18-LTLFireability-12 FALSE TECHNIQUES PARTIAL_ORDER EXPLICIT LTSMIN SAT_SMT
Running LTSmin : CommandLine [args=[/home/mcc/BenchKit//lts_install_dir//bin/pins2lts-mc, ./gal.so, --threads=1, --when, --ltl, (X([](<>((LTLAP3==true)))))U(([]((LTLAP11==true)))U(<>((LTLAP9==true)))), --buchi-type=spotba], workingDir=/home/mcc/execution]
LTSmin run took 199973 ms.
FORMULA QuasiCertifProtocol-COL-18-LTLFireability-13 TRUE TECHNIQUES PARTIAL_ORDER EXPLICIT LTSMIN SAT_SMT
Running LTSmin : CommandLine [args=[/home/mcc/BenchKit//lts_install_dir//bin/pins2lts-mc, ./gal.so, --threads=1, -p, --pins-guards, --when, --ltl, <>(<>((LTLAP14==true))), --buchi-type=spotba], workingDir=/home/mcc/execution]
LTSmin run took 2750 ms.
FORMULA QuasiCertifProtocol-COL-18-LTLFireability-14 FALSE TECHNIQUES PARTIAL_ORDER EXPLICIT LTSMIN SAT_SMT
Running LTSmin : CommandLine [args=[/home/mcc/BenchKit//lts_install_dir//bin/pins2lts-mc, ./gal.so, --threads=1, --when, --ltl, X(((LTLAP15==true))U(((LTLAP8==true))U((LTLAP2==true)))), --buchi-type=spotba], workingDir=/home/mcc/execution]
LTSmin run took 121 ms.
FORMULA QuasiCertifProtocol-COL-18-LTLFireability-15 TRUE TECHNIQUES PARTIAL_ORDER EXPLICIT LTSMIN SAT_SMT
Retrying LTSmin with larger timeout 1800 s
Running LTSmin : CommandLine [args=[/home/mcc/BenchKit//lts_install_dir//bin/pins2lts-mc, ./gal.so, --threads=1, -p, --pins-guards, --when, --ltl, <>(((LTLAP6==true))U(((LTLAP7==true))U((LTLAP8==true)))), --buchi-type=spotba], workingDir=/home/mcc/execution]
sparsehash FATAL ERROR: failed to allocate 22 groups
LTSmin run took 830447 ms.
FORMULA QuasiCertifProtocol-COL-18-LTLFireability-05 TRUE TECHNIQUES PARTIAL_ORDER EXPLICIT LTSMIN SAT_SMT
Running LTSmin : CommandLine [args=[/home/mcc/BenchKit//lts_install_dir//bin/pins2lts-mc, ./gal.so, --threads=1, --when, --ltl, [](<>(<>(X((LTLAP9==true))))), --buchi-type=spotba], workingDir=/home/mcc/execution]
WARNING : LTSmin timed out (>1800 s) on command CommandLine [args=[/home/mcc/BenchKit//lts_install_dir//bin/pins2lts-mc, ./gal.so, --threads=1, --when, --ltl, [](<>(<>(X((LTLAP9==true))))), --buchi-type=spotba], workingDir=/home/mcc/execution]

BK_STOP 1528284854237

--------------------
content from stderr:

+ export BINDIR=/home/mcc/BenchKit/
+ BINDIR=/home/mcc/BenchKit/
++ pwd
+ export MODEL=/home/mcc/execution
+ MODEL=/home/mcc/execution
+ /home/mcc/BenchKit//runeclipse.sh /home/mcc/execution LTLFireability -its -ltsminpath /home/mcc/BenchKit//lts_install_dir/ -smt
+ ulimit -s 65536
+ [[ -z '' ]]
+ export LTSMIN_MEM_SIZE=8589934592
+ LTSMIN_MEM_SIZE=8589934592
+ /home/mcc/BenchKit//itstools/its-tools -consoleLog -data /home/mcc/execution/workspace -pnfolder /home/mcc/execution -examination LTLFireability -z3path /home/mcc/BenchKit//z3/bin/z3 -yices2path /home/mcc/BenchKit//yices/bin/yices -its -ltsminpath /home/mcc/BenchKit//lts_install_dir/ -smt -vmargs -Dosgi.locking=none -Declipse.stateSaveDelayInterval=-1 -Dosgi.configuration.area=/tmp/.eclipse -Xss8m -Xms40m -Xmx8192m -Dfile.encoding=UTF-8 -Dosgi.requiredJavaVersion=1.6
Jun 06, 2018 10:37:50 AM fr.lip6.move.gal.application.Application start
INFO: Running its-tools with arguments : [-pnfolder, /home/mcc/execution, -examination, LTLFireability, -z3path, /home/mcc/BenchKit//z3/bin/z3, -yices2path, /home/mcc/BenchKit//yices/bin/yices, -its, -ltsminpath, /home/mcc/BenchKit//lts_install_dir/, -smt]
Jun 06, 2018 10:37:51 AM fr.lip6.move.gal.application.MccTranslator transformPNML
INFO: Parsing pnml file : /home/mcc/execution/model.pnml
Jun 06, 2018 10:37:51 AM fr.lip6.move.gal.pnml.togal.PnmlToGalTransformer transform
INFO: Detected file is not PT type :http://www.pnml.org/version-2009/grammar/symmetricnet
Jun 06, 2018 10:38:12 AM fr.lip6.move.gal.pnml.togal.PnmlToGalTransformer transform
INFO: Load time of PNML (colored model parsed with PNMLFW) : 21601 ms
Jun 06, 2018 10:38:12 AM fr.lip6.move.gal.pnml.togal.HLGALTransformer handlePage
INFO: Transformed 30 places.
Jun 06, 2018 10:38:12 AM fr.lip6.move.gal.pnml.togal.HLGALTransformer handlePage
INFO: Computed order using colors.
Jun 06, 2018 10:38:12 AM fr.lip6.move.gal.pnml.togal.HLGALTransformer handlePage
INFO: sort/places :tsidxtsid->n9,n8,n7,
Dot->malicious_reservoir,CstopAbort,SstopAbort,AstopAbort,a5,a4,a3,a2,a1,Astart,AstopOK,
tsid->n6,n5,n4,n3,n2,n1,c1,Cstart,Sstart,s2,s3,s4,s5,s6,SstopOK,CstopOK,

Jun 06, 2018 10:38:12 AM fr.lip6.move.gal.pnml.togal.HLGALTransformer handlePage
INFO: Transformed 26 transitions.
Jun 06, 2018 10:38:12 AM fr.lip6.move.gal.pnml.togal.PnmlToGalTransformer transform
INFO: Computed order based on color domains.
Jun 06, 2018 10:38:12 AM fr.lip6.move.serialization.SerializationUtil systemToFile
INFO: Time to serialize gal into /home/mcc/execution/model.pnml.img.gal : 53 ms
Jun 06, 2018 10:38:13 AM fr.lip6.move.gal.application.MccTranslator applyOrder
INFO: Applying decomposition
Jun 06, 2018 10:38:13 AM fr.lip6.move.gal.instantiate.CompositeBuilder decomposeWithOrder
INFO: Decomposing Gal with order
Jun 06, 2018 10:38:14 AM fr.lip6.move.gal.instantiate.GALRewriter flatten
INFO: Flatten gal took : 1000 ms
Jun 06, 2018 10:38:18 AM fr.lip6.move.gal.instantiate.CompositeBuilder rewriteArraysToAllowPartition
INFO: Rewriting arrays n9, n8, n7, n6, n5, n4, n3, n2, n1, c1, Cstart, Sstart, s2, s3, s4, s5, s6, SstopOK, CstopOK to variables to allow decomposition.
Jun 06, 2018 10:38:18 AM fr.lip6.move.gal.instantiate.Instantiator fuseIsomorphicEffects
INFO: Removed a total of 20 redundant transitions.
Jun 06, 2018 10:38:21 AM fr.lip6.move.serialization.SerializationUtil systemToFile
INFO: Time to serialize gal into /home/mcc/execution/LTLFireability.pnml.gal : 150 ms
Jun 06, 2018 10:38:21 AM fr.lip6.move.serialization.SerializationUtil serializePropertiesForITSLTLTools
INFO: Time to serialize properties into /home/mcc/execution/LTLFireability.ltl : 30 ms
Jun 06, 2018 10:38:23 AM fr.lip6.move.gal.semantics.DeterministicNextBuilder getDeterministicNext
INFO: Input system was not deterministic with 170 transitions. Expanding to a total of 303 deterministic transitions.
Jun 06, 2018 10:38:23 AM fr.lip6.move.gal.semantics.DeterministicNextBuilder getDeterministicNext
INFO: Determinization took 20 ms.
Jun 06, 2018 10:38:25 AM fr.lip6.move.gal.gal2smt.bmc.KInductionSolver computeAndDeclareInvariants
INFO: Computed 1103 place invariants in 675 ms
Jun 06, 2018 10:38:32 AM fr.lip6.move.gal.gal2smt.bmc.KInductionSolver init
INFO: Proved 1398 variables to be positive in 7627 ms
Jun 06, 2018 10:38:32 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver computeAblingMatrix
INFO: Computing symmetric may disable matrix : 296 transitions.
Jun 06, 2018 10:38:32 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of disable matrix completed :0/296 took 1 ms. Total solver calls (SAT/UNSAT): 0(0/0)
Jun 06, 2018 10:38:32 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Complete disable matrix. took 85 ms. Total solver calls (SAT/UNSAT): 0(0/0)
Jun 06, 2018 10:38:32 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver computeAblingMatrix
INFO: Computing symmetric may enable matrix : 296 transitions.
Jun 06, 2018 10:38:32 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Complete enable matrix. took 25 ms. Total solver calls (SAT/UNSAT): 0(0/0)
Skipping mayMatrices nes/nds SMT solver raised an error :unknown
java.lang.RuntimeException: SMT solver raised an error :unknown
at fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver.computeAblingForPredicate(NecessaryEnablingsolver.java:766)
at fr.lip6.move.gal.gal2pins.Gal2PinsTransformerNext.printLabels(Gal2PinsTransformerNext.java:512)
at fr.lip6.move.gal.gal2pins.Gal2PinsTransformerNext.printDependencyMatrix(Gal2PinsTransformerNext.java:209)
at fr.lip6.move.gal.gal2pins.Gal2PinsTransformerNext.buildBodyFile(Gal2PinsTransformerNext.java:85)
at fr.lip6.move.gal.gal2pins.Gal2PinsTransformerNext.transform(Gal2PinsTransformerNext.java:830)
at fr.lip6.move.gal.application.LTSminRunner$1.run(LTSminRunner.java:71)
at java.lang.Thread.run(Thread.java:748)
Jun 06, 2018 10:38:42 AM fr.lip6.move.gal.gal2pins.Gal2PinsTransformerNext transform
INFO: Built C files in 20226ms conformant to PINS in folder :/home/mcc/execution
ITS-tools command line returned an error code 1

Sequence of Actions to be Executed by the VM

This is useful if one wants to reexecute the tool in the VM from the submitted image disk.

set -x
# this is for BenchKit: configuration of major elements for the test
export BK_INPUT="QuasiCertifProtocol-COL-18"
export BK_EXAMINATION="LTLFireability"
export BK_TOOL="itstools"
export BK_RESULT_DIR="/tmp/BK_RESULTS/OUTPUTS"
export BK_TIME_CONFINEMENT="3600"
export BK_MEMORY_CONFINEMENT="16384"

# this is specific to your benchmark or test

export BIN_DIR="$HOME/BenchKit/bin"

# remove the execution directoty if it exists (to avoid increse of .vmdk images)
if [ -d execution ] ; then
rm -rf execution
fi

tar xzf /home/mcc/BenchKit/INPUTS/QuasiCertifProtocol-COL-18.tgz
mv QuasiCertifProtocol-COL-18 execution
cd execution
pwd
ls -lh

# this is for BenchKit: explicit launching of the test
echo "====================================================================="
echo " Generated by BenchKit 2-3637"
echo " Executing tool itstools"
echo " Input is QuasiCertifProtocol-COL-18, examination is LTLFireability"
echo " Time confinement is $BK_TIME_CONFINEMENT seconds"
echo " Memory confinement is 16384 MBytes"
echo " Number of cores is 4"
echo " Run identifier is r188-qhx2-152732140200048"
echo "====================================================================="
echo
echo "--------------------"
echo "content from stdout:"
echo
echo "=== Data for post analysis generated by BenchKit (invocation template)"
echo
if [ "LTLFireability" = "UpperBounds" ] ; then
echo "The expected result is a vector of positive values"
echo NUM_VECTOR
elif [ "LTLFireability" != "StateSpace" ] ; then
echo "The expected result is a vector of booleans"
echo BOOL_VECTOR
else
echo "no data necessary for post analysis"
fi
echo
if [ -f "LTLFireability.txt" ] ; then
echo "here is the order used to build the result vector(from text file)"
for x in $(grep Property LTLFireability.txt | cut -d ' ' -f 2 | sort -u) ; do
echo "FORMULA_NAME $x"
done
elif [ -f "LTLFireability.xml" ] ; then # for cunf (txt files deleted;-)
echo echo "here is the order used to build the result vector(from xml file)"
for x in $(grep '' LTLFireability.xml | cut -d '>' -f 2 | cut -d '<' -f 1 | sort -u) ; do
echo "FORMULA_NAME $x"
done
fi
echo
echo "=== Now, execution of the tool begins"
echo
echo -n "BK_START "
date -u +%s%3N
echo
timeout -s 9 $BK_TIME_CONFINEMENT bash -c "/home/mcc/BenchKit/BenchKit_head.sh 2> STDERR ; echo ; echo -n \"BK_STOP \" ; date -u +%s%3N"
if [ $? -eq 137 ] ; then
echo
echo "BK_TIME_CONFINEMENT_REACHED"
fi
echo
echo "--------------------"
echo "content from stderr:"
echo
cat STDERR ;