fond
Model Checking Contest 2018
8th edition, Bratislava, Slovakia, June 26, 2018
Execution of r152-smll-152685550300179
Last Updated
June 26, 2018

About the Execution of ITS-Tools for SafeBus-COL-03

Execution Summary
Max Memory
Used (MB)
Time wait (ms) CPU Usage (ms) I/O Wait (ms) Computed Result Execution
Status
15752.280 9318.00 15493.00 424.80 FTTFFTFTFFFFFTTT normal

Execution Chart

We display below the execution chart for this examination (boot time has been removed).

Trace from the execution

Waiting for the VM to be ready (probing ssh)
......................
/home/mcc/execution
total 220K
-rw-r--r-- 1 mcc users 4.3K May 15 18:54 CTLCardinality.txt
-rw-r--r-- 1 mcc users 26K May 15 18:54 CTLCardinality.xml
-rw-r--r-- 1 mcc users 2.5K May 15 18:54 CTLFireability.txt
-rw-r--r-- 1 mcc users 16K May 15 18:54 CTLFireability.xml
-rw-r--r-- 1 mcc users 4.0K May 15 18:50 GenericPropertiesDefinition.xml
-rw-r--r-- 1 mcc users 6.0K May 15 18:50 GenericPropertiesVerdict.xml
-rw-r--r-- 1 mcc users 2.2K May 15 18:54 LTLCardinality.txt
-rw-r--r-- 1 mcc users 8.9K May 15 18:54 LTLCardinality.xml
-rw-r--r-- 1 mcc users 1.8K May 15 18:54 LTLFireability.txt
-rw-r--r-- 1 mcc users 8.3K May 15 18:54 LTLFireability.xml
-rw-r--r-- 1 mcc users 3.3K May 15 18:54 ReachabilityCardinality.txt
-rw-r--r-- 1 mcc users 16K May 15 18:54 ReachabilityCardinality.xml
-rw-r--r-- 1 mcc users 105 May 15 18:54 ReachabilityDeadlock.txt
-rw-r--r-- 1 mcc users 343 May 15 18:54 ReachabilityDeadlock.xml
-rw-r--r-- 1 mcc users 3.4K May 15 18:54 ReachabilityFireability.txt
-rw-r--r-- 1 mcc users 23K May 15 18:54 ReachabilityFireability.xml
-rw-r--r-- 1 mcc users 1.6K May 15 18:54 UpperBounds.txt
-rw-r--r-- 1 mcc users 3.6K May 15 18:54 UpperBounds.xml
-rw-r--r-- 1 mcc users 5 May 15 18:50 equiv_pt
-rw-r--r-- 1 mcc users 3 May 15 18:50 instance
-rw-r--r-- 1 mcc users 5 May 15 18:50 iscolored
-rw-r--r-- 1 mcc users 42K May 15 18:50 model.pnml
=====================================================================
Generated by BenchKit 2-3637
Executing tool itstools
Input is SafeBus-COL-03, examination is CTLFireability
Time confinement is 3600 seconds
Memory confinement is 16384 MBytes
Number of cores is 4
Run identifier is r152-smll-152685550300179
=====================================================================


--------------------
content from stdout:

=== Data for post analysis generated by BenchKit (invocation template)

The expected result is a vector of booleans
BOOL_VECTOR

here is the order used to build the result vector(from text file)
FORMULA_NAME SafeBus-COL-03-CTLFireability-00
FORMULA_NAME SafeBus-COL-03-CTLFireability-01
FORMULA_NAME SafeBus-COL-03-CTLFireability-02
FORMULA_NAME SafeBus-COL-03-CTLFireability-03
FORMULA_NAME SafeBus-COL-03-CTLFireability-04
FORMULA_NAME SafeBus-COL-03-CTLFireability-05
FORMULA_NAME SafeBus-COL-03-CTLFireability-06
FORMULA_NAME SafeBus-COL-03-CTLFireability-07
FORMULA_NAME SafeBus-COL-03-CTLFireability-08
FORMULA_NAME SafeBus-COL-03-CTLFireability-09
FORMULA_NAME SafeBus-COL-03-CTLFireability-10
FORMULA_NAME SafeBus-COL-03-CTLFireability-11
FORMULA_NAME SafeBus-COL-03-CTLFireability-12
FORMULA_NAME SafeBus-COL-03-CTLFireability-13
FORMULA_NAME SafeBus-COL-03-CTLFireability-14
FORMULA_NAME SafeBus-COL-03-CTLFireability-15

=== Now, execution of the tool begins

BK_START 1527023362828

21:09:26.372 [main] ERROR PNML validation - The rng grammar file can't be accessed : www.pnml.org
21:09:26.376 [main] ERROR import - Grammar file errors have been raised, the validation can't be done, process will continue without Grammar validation
Invoking ITS tools like this :CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.201805151631/bin/its-ctl-linux64, --gc-threshold, 2000000, --quiet, -i, /home/mcc/execution/CTLFireability.pnml.gal, -t, CGAL, -ctl, /home/mcc/execution/CTLFireability.ctl], workingDir=/home/mcc/execution]

its-ctl command run as :

/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.201805151631/bin/its-ctl-linux64 --gc-threshold 2000000 --quiet -i /home/mcc/execution/CTLFireability.pnml.gal -t CGAL -ctl /home/mcc/execution/CTLFireability.ctl
No direction supplied, using forward translation only.
Parsed 16 CTL formulae.
built 86 ordering constraints for composite.
Model ,|S| ,Time ,Mem(kb) ,fin. SDD ,fin. DDD ,peak SDD ,peak DDD ,SDD Hom ,SDD cache peak ,DDD Hom ,DDD cachepeak ,SHom cache
reachable,4650,0.284578,16020,771,254,24567,1571,253,21635,55,24820,0


Converting to forward existential form...Done !
original formula: EF(AG((((((((((((((((((((((((((((((((It0.cable_used_0>=1)&&(It0.Cpt2_0>=1))&&(FMCb.FMCb_0>=1))&&(Dom0.AMC_0>=1))||((((It1.cable_used_1>=1)&&(It0.Cpt2_0>=1))&&(FMCb.FMCb_0>=1))&&(Dom0.AMC_0>=1)))||((((It2.cable_used_2>=1)&&(It0.Cpt2_0>=1))&&(FMCb.FMCb_0>=1))&&(Dom0.AMC_0>=1)))||((((It0.cable_used_0>=1)&&(It1.Cpt2_1>=1))&&(FMCb.FMCb_0>=1))&&(Dom1.AMC_1>=1)))||((((It1.cable_used_1>=1)&&(It1.Cpt2_1>=1))&&(FMCb.FMCb_0>=1))&&(Dom1.AMC_1>=1)))||((((It2.cable_used_2>=1)&&(It1.Cpt2_1>=1))&&(FMCb.FMCb_0>=1))&&(Dom1.AMC_1>=1)))||((((It0.cable_used_0>=1)&&(It2.Cpt2_2>=1))&&(FMCb.FMCb_0>=1))&&(Dom2.AMC_2>=1)))||((((It1.cable_used_1>=1)&&(It2.Cpt2_2>=1))&&(FMCb.FMCb_0>=1))&&(Dom2.AMC_2>=1)))||((((It2.cable_used_2>=1)&&(It2.Cpt2_2>=1))&&(FMCb.FMCb_0>=1))&&(Dom2.AMC_2>=1)))||((((It0.cable_used_0>=1)&&(It0.Cpt2_0>=1))&&(FMCb.FMCb_0>=1))&&(Dom3.AMC_3>=1)))||((((It1.cable_used_1>=1)&&(It0.Cpt2_0>=1))&&(FMCb.FMCb_0>=1))&&(Dom3.AMC_3>=1)))||((((It2.cable_used_2>=1)&&(It0.Cpt2_0>=1))&&(FMCb.FMCb_0>=1))&&(Dom3.AMC_3>=1)))||((((It0.cable_used_0>=1)&&(It1.Cpt2_1>=1))&&(FMCb.FMCb_0>=1))&&(Dom4.AMC_4>=1)))||((((It1.cable_used_1>=1)&&(It1.Cpt2_1>=1))&&(FMCb.FMCb_0>=1))&&(Dom4.AMC_4>=1)))||((((It2.cable_used_2>=1)&&(It1.Cpt2_1>=1))&&(FMCb.FMCb_0>=1))&&(Dom4.AMC_4>=1)))||((((It0.cable_used_0>=1)&&(It2.Cpt2_2>=1))&&(FMCb.FMCb_0>=1))&&(Dom5.AMC_5>=1)))||((((It1.cable_used_1>=1)&&(It2.Cpt2_2>=1))&&(FMCb.FMCb_0>=1))&&(Dom5.AMC_5>=1)))||((((It2.cable_used_2>=1)&&(It2.Cpt2_2>=1))&&(FMCb.FMCb_0>=1))&&(Dom5.AMC_5>=1)))||((((It0.cable_used_0>=1)&&(It0.Cpt2_0>=1))&&(FMCb.FMCb_0>=1))&&(Dom6.AMC_6>=1)))||((((It1.cable_used_1>=1)&&(It0.Cpt2_0>=1))&&(FMCb.FMCb_0>=1))&&(Dom6.AMC_6>=1)))||((((It2.cable_used_2>=1)&&(It0.Cpt2_0>=1))&&(FMCb.FMCb_0>=1))&&(Dom6.AMC_6>=1)))||((((It0.cable_used_0>=1)&&(It1.Cpt2_1>=1))&&(FMCb.FMCb_0>=1))&&(Dom7.AMC_7>=1)))||((((It1.cable_used_1>=1)&&(It1.Cpt2_1>=1))&&(FMCb.FMCb_0>=1))&&(Dom7.AMC_7>=1)))||((((It2.cable_used_2>=1)&&(It1.Cpt2_1>=1))&&(FMCb.FMCb_0>=1))&&(Dom7.AMC_7>=1)))||((((It0.cable_used_0>=1)&&(It2.Cpt2_2>=1))&&(FMCb.FMCb_0>=1))&&(Dom8.AMC_8>=1)))||((((It1.cable_used_1>=1)&&(It2.Cpt2_2>=1))&&(FMCb.FMCb_0>=1))&&(Dom8.AMC_8>=1)))||((((It2.cable_used_2>=1)&&(It2.Cpt2_2>=1))&&(FMCb.FMCb_0>=1))&&(Dom8.AMC_8>=1)))||(((((((((((((cable_free.cable_free_0>=1)&&(FMCb.FMCb_0>=1))&&(Dom0.AMC_0>=1))&&(It0.Cpt2_0>=1))||((((cable_free.cable_free_0>=1)&&(FMCb.FMCb_0>=1))&&(Dom1.AMC_1>=1))&&(It1.Cpt2_1>=1)))||((((cable_free.cable_free_0>=1)&&(FMCb.FMCb_0>=1))&&(Dom2.AMC_2>=1))&&(It2.Cpt2_2>=1)))||((((cable_free.cable_free_0>=1)&&(FMCb.FMCb_0>=1))&&(Dom3.AMC_3>=1))&&(It0.Cpt2_0>=1)))||((((cable_free.cable_free_0>=1)&&(FMCb.FMCb_0>=1))&&(Dom4.AMC_4>=1))&&(It1.Cpt2_1>=1)))||((((cable_free.cable_free_0>=1)&&(FMCb.FMCb_0>=1))&&(Dom5.AMC_5>=1))&&(It2.Cpt2_2>=1)))||((((cable_free.cable_free_0>=1)&&(FMCb.FMCb_0>=1))&&(Dom6.AMC_6>=1))&&(It0.Cpt2_0>=1)))||((((cable_free.cable_free_0>=1)&&(FMCb.FMCb_0>=1))&&(Dom7.AMC_7>=1))&&(It1.Cpt2_1>=1)))||((((cable_free.cable_free_0>=1)&&(FMCb.FMCb_0>=1))&&(Dom8.AMC_8>=1))&&(It2.Cpt2_2>=1)))||((ACK.ACK_0>=1)&&(R_tout.R_tout_0>=1))))))
=> equivalent forward existential formula: [(FwdU(Init,TRUE) * !(E(TRUE U !((((((((((((((((((((((((((((((((It0.cable_used_0>=1)&&(It0.Cpt2_0>=1))&&(FMCb.FMCb_0>=1))&&(Dom0.AMC_0>=1))||((((It1.cable_used_1>=1)&&(It0.Cpt2_0>=1))&&(FMCb.FMCb_0>=1))&&(Dom0.AMC_0>=1)))||((((It2.cable_used_2>=1)&&(It0.Cpt2_0>=1))&&(FMCb.FMCb_0>=1))&&(Dom0.AMC_0>=1)))||((((It0.cable_used_0>=1)&&(It1.Cpt2_1>=1))&&(FMCb.FMCb_0>=1))&&(Dom1.AMC_1>=1)))||((((It1.cable_used_1>=1)&&(It1.Cpt2_1>=1))&&(FMCb.FMCb_0>=1))&&(Dom1.AMC_1>=1)))||((((It2.cable_used_2>=1)&&(It1.Cpt2_1>=1))&&(FMCb.FMCb_0>=1))&&(Dom1.AMC_1>=1)))||((((It0.cable_used_0>=1)&&(It2.Cpt2_2>=1))&&(FMCb.FMCb_0>=1))&&(Dom2.AMC_2>=1)))||((((It1.cable_used_1>=1)&&(It2.Cpt2_2>=1))&&(FMCb.FMCb_0>=1))&&(Dom2.AMC_2>=1)))||((((It2.cable_used_2>=1)&&(It2.Cpt2_2>=1))&&(FMCb.FMCb_0>=1))&&(Dom2.AMC_2>=1)))||((((It0.cable_used_0>=1)&&(It0.Cpt2_0>=1))&&(FMCb.FMCb_0>=1))&&(Dom3.AMC_3>=1)))||((((It1.cable_used_1>=1)&&(It0.Cpt2_0>=1))&&(FMCb.FMCb_0>=1))&&(Dom3.AMC_3>=1)))||((((It2.cable_used_2>=1)&&(It0.Cpt2_0>=1))&&(FMCb.FMCb_0>=1))&&(Dom3.AMC_3>=1)))||((((It0.cable_used_0>=1)&&(It1.Cpt2_1>=1))&&(FMCb.FMCb_0>=1))&&(Dom4.AMC_4>=1)))||((((It1.cable_used_1>=1)&&(It1.Cpt2_1>=1))&&(FMCb.FMCb_0>=1))&&(Dom4.AMC_4>=1)))||((((It2.cable_used_2>=1)&&(It1.Cpt2_1>=1))&&(FMCb.FMCb_0>=1))&&(Dom4.AMC_4>=1)))||((((It0.cable_used_0>=1)&&(It2.Cpt2_2>=1))&&(FMCb.FMCb_0>=1))&&(Dom5.AMC_5>=1)))||((((It1.cable_used_1>=1)&&(It2.Cpt2_2>=1))&&(FMCb.FMCb_0>=1))&&(Dom5.AMC_5>=1)))||((((It2.cable_used_2>=1)&&(It2.Cpt2_2>=1))&&(FMCb.FMCb_0>=1))&&(Dom5.AMC_5>=1)))||((((It0.cable_used_0>=1)&&(It0.Cpt2_0>=1))&&(FMCb.FMCb_0>=1))&&(Dom6.AMC_6>=1)))||((((It1.cable_used_1>=1)&&(It0.Cpt2_0>=1))&&(FMCb.FMCb_0>=1))&&(Dom6.AMC_6>=1)))||((((It2.cable_used_2>=1)&&(It0.Cpt2_0>=1))&&(FMCb.FMCb_0>=1))&&(Dom6.AMC_6>=1)))||((((It0.cable_used_0>=1)&&(It1.Cpt2_1>=1))&&(FMCb.FMCb_0>=1))&&(Dom7.AMC_7>=1)))||((((It1.cable_used_1>=1)&&(It1.Cpt2_1>=1))&&(FMCb.FMCb_0>=1))&&(Dom7.AMC_7>=1)))||((((It2.cable_used_2>=1)&&(It1.Cpt2_1>=1))&&(FMCb.FMCb_0>=1))&&(Dom7.AMC_7>=1)))||((((It0.cable_used_0>=1)&&(It2.Cpt2_2>=1))&&(FMCb.FMCb_0>=1))&&(Dom8.AMC_8>=1)))||((((It1.cable_used_1>=1)&&(It2.Cpt2_2>=1))&&(FMCb.FMCb_0>=1))&&(Dom8.AMC_8>=1)))||((((It2.cable_used_2>=1)&&(It2.Cpt2_2>=1))&&(FMCb.FMCb_0>=1))&&(Dom8.AMC_8>=1)))||(((((((((((((cable_free.cable_free_0>=1)&&(FMCb.FMCb_0>=1))&&(Dom0.AMC_0>=1))&&(It0.Cpt2_0>=1))||((((cable_free.cable_free_0>=1)&&(FMCb.FMCb_0>=1))&&(Dom1.AMC_1>=1))&&(It1.Cpt2_1>=1)))||((((cable_free.cable_free_0>=1)&&(FMCb.FMCb_0>=1))&&(Dom2.AMC_2>=1))&&(It2.Cpt2_2>=1)))||((((cable_free.cable_free_0>=1)&&(FMCb.FMCb_0>=1))&&(Dom3.AMC_3>=1))&&(It0.Cpt2_0>=1)))||((((cable_free.cable_free_0>=1)&&(FMCb.FMCb_0>=1))&&(Dom4.AMC_4>=1))&&(It1.Cpt2_1>=1)))||((((cable_free.cable_free_0>=1)&&(FMCb.FMCb_0>=1))&&(Dom5.AMC_5>=1))&&(It2.Cpt2_2>=1)))||((((cable_free.cable_free_0>=1)&&(FMCb.FMCb_0>=1))&&(Dom6.AMC_6>=1))&&(It0.Cpt2_0>=1)))||((((cable_free.cable_free_0>=1)&&(FMCb.FMCb_0>=1))&&(Dom7.AMC_7>=1))&&(It1.Cpt2_1>=1)))||((((cable_free.cable_free_0>=1)&&(FMCb.FMCb_0>=1))&&(Dom8.AMC_8>=1))&&(It2.Cpt2_2>=1)))||((ACK.ACK_0>=1)&&(R_tout.R_tout_0>=1))))))))] != FALSE
Reverse transition relation is NOT exact ! Due to transitions I_reemit, C_free, I_rec1, loss_m, I_rec2, I_ask2_0, I_ask2_1, I_ask2_2, Intersection with reachable at each step enabled. (destroyed/reverse/intersect/total) :0/14/8/22
(forward)formula 0,0,0.529899,18248,1,0,24567,12786,1229,23436,232,61003,75304
FORMULA SafeBus-COL-03-CTLFireability-00 FALSE TECHNIQUES DECISION_DIAGRAMS TOPOLOGICAL USE_NUPN
Formula is FALSE !

***************************************

original formula: EG(EF((((((((((((((FMCb.FMCb_0>=1)&&(Dom0.wait_ack_0>=1))&&(ACK.ACK_0>=1))||(((FMCb.FMCb_0>=1)&&(Dom1.wait_ack_1>=1))&&(ACK.ACK_0>=1)))||(((FMCb.FMCb_0>=1)&&(Dom2.wait_ack_2>=1))&&(ACK.ACK_0>=1)))||(((FMCb.FMCb_0>=1)&&(Dom3.wait_ack_3>=1))&&(ACK.ACK_0>=1)))||(((FMCb.FMCb_0>=1)&&(Dom4.wait_ack_4>=1))&&(ACK.ACK_0>=1)))||(((FMCb.FMCb_0>=1)&&(Dom5.wait_ack_5>=1))&&(ACK.ACK_0>=1)))||(((FMCb.FMCb_0>=1)&&(Dom6.wait_ack_6>=1))&&(ACK.ACK_0>=1)))||(((FMCb.FMCb_0>=1)&&(Dom7.wait_ack_7>=1))&&(ACK.ACK_0>=1)))||(((FMCb.FMCb_0>=1)&&(Dom8.wait_ack_8>=1))&&(ACK.ACK_0>=1)))||((((It0.wait_cable_0>=1)&&(It0.RMC_0>=1))||((It1.wait_cable_1>=1)&&(It1.RMC_1>=1)))||((It2.wait_cable_2>=1)&&(It2.RMC_2>=1))))||(((((((((((It0.Cpt1_0>=1)&&(It0.loop_em_0>=1))||((It1.Cpt1_1>=1)&&(It0.loop_em_0>=1)))||((It2.Cpt1_2>=1)&&(It0.loop_em_0>=1)))||((It0.Cpt1_0>=1)&&(It1.loop_em_1>=1)))||((It1.Cpt1_1>=1)&&(It1.loop_em_1>=1)))||((It2.Cpt1_2>=1)&&(It1.loop_em_1>=1)))||((It0.Cpt1_0>=1)&&(It2.loop_em_2>=1)))||((It1.Cpt1_1>=1)&&(It2.loop_em_2>=1)))||((It2.Cpt1_2>=1)&&(It2.loop_em_2>=1)))||((((It0.cable_used_0>=1)&&(It0.FMC_0>=1))||((It1.cable_used_1>=1)&&(It1.FMC_1>=1)))||((It2.cable_used_2>=1)&&(It2.FMC_2>=1)))))))
=> equivalent forward existential formula: [FwdG(Init,E(TRUE U (((((((((((((FMCb.FMCb_0>=1)&&(Dom0.wait_ack_0>=1))&&(ACK.ACK_0>=1))||(((FMCb.FMCb_0>=1)&&(Dom1.wait_ack_1>=1))&&(ACK.ACK_0>=1)))||(((FMCb.FMCb_0>=1)&&(Dom2.wait_ack_2>=1))&&(ACK.ACK_0>=1)))||(((FMCb.FMCb_0>=1)&&(Dom3.wait_ack_3>=1))&&(ACK.ACK_0>=1)))||(((FMCb.FMCb_0>=1)&&(Dom4.wait_ack_4>=1))&&(ACK.ACK_0>=1)))||(((FMCb.FMCb_0>=1)&&(Dom5.wait_ack_5>=1))&&(ACK.ACK_0>=1)))||(((FMCb.FMCb_0>=1)&&(Dom6.wait_ack_6>=1))&&(ACK.ACK_0>=1)))||(((FMCb.FMCb_0>=1)&&(Dom7.wait_ack_7>=1))&&(ACK.ACK_0>=1)))||(((FMCb.FMCb_0>=1)&&(Dom8.wait_ack_8>=1))&&(ACK.ACK_0>=1)))||((((It0.wait_cable_0>=1)&&(It0.RMC_0>=1))||((It1.wait_cable_1>=1)&&(It1.RMC_1>=1)))||((It2.wait_cable_2>=1)&&(It2.RMC_2>=1))))||(((((((((((It0.Cpt1_0>=1)&&(It0.loop_em_0>=1))||((It1.Cpt1_1>=1)&&(It0.loop_em_0>=1)))||((It2.Cpt1_2>=1)&&(It0.loop_em_0>=1)))||((It0.Cpt1_0>=1)&&(It1.loop_em_1>=1)))||((It1.Cpt1_1>=1)&&(It1.loop_em_1>=1)))||((It2.Cpt1_2>=1)&&(It1.loop_em_1>=1)))||((It0.Cpt1_0>=1)&&(It2.loop_em_2>=1)))||((It1.Cpt1_1>=1)&&(It2.loop_em_2>=1)))||((It2.Cpt1_2>=1)&&(It2.loop_em_2>=1)))||((((It0.cable_used_0>=1)&&(It0.FMC_0>=1))||((It1.cable_used_1>=1)&&(It1.FMC_1>=1)))||((It2.cable_used_2>=1)&&(It2.FMC_2>=1)))))))] != FALSE
Fast SCC detection found an SCC at level 15
Fast SCC detection found an SCC at level 17
(forward)formula 1,1,0.954357,34088,1,0,55789,13714,1468,55945,244,78507,173131
FORMULA SafeBus-COL-03-CTLFireability-01 TRUE TECHNIQUES DECISION_DIAGRAMS TOPOLOGICAL USE_NUPN
Formula is TRUE !

***************************************

original formula: EG(!((((((It0.wait_msg_0>=1)&&(It0.MSG_0>=1))||((It1.wait_msg_1>=1)&&(It1.MSG_1>=1)))||((It2.wait_msg_2>=1)&&(It2.MSG_2>=1))) + EG(((((It0.listen_0>=1)&&(It0.MSG_0>=1))||((It1.listen_1>=1)&&(It1.MSG_1>=1)))||((It2.listen_2>=1)&&(It2.MSG_2>=1)))))))
=> equivalent forward existential formula: [FwdG(Init,!((((((It0.wait_msg_0>=1)&&(It0.MSG_0>=1))||((It1.wait_msg_1>=1)&&(It1.MSG_1>=1)))||((It2.wait_msg_2>=1)&&(It2.MSG_2>=1))) + EG(((((It0.listen_0>=1)&&(It0.MSG_0>=1))||((It1.listen_1>=1)&&(It1.MSG_1>=1)))||((It2.listen_2>=1)&&(It2.MSG_2>=1)))))))] != FALSE
(forward)formula 2,1,1.31969,48872,1,0,88247,13787,1519,83444,248,81369,258381
FORMULA SafeBus-COL-03-CTLFireability-02 TRUE TECHNIQUES DECISION_DIAGRAMS TOPOLOGICAL USE_NUPN
Formula is TRUE !

***************************************

original formula: E(!(EG(((ACK.ACK_0>=1)&&(R_tout.R_tout_0>=1)))) U (((((It0.MSG_0>=1)&&(R_tout.R_tout_0>=1))||((It1.MSG_1>=1)&&(R_tout.R_tout_0>=1)))||((It2.MSG_2>=1)&&(R_tout.R_tout_0>=1)))&&((((((((((((((cable_free.cable_free_0>=1)&&(FMCb.FMCb_0>=1))&&(Dom0.AMC_0>=1))&&(It0.Cpt2_0>=1))||((((cable_free.cable_free_0>=1)&&(FMCb.FMCb_0>=1))&&(Dom1.AMC_1>=1))&&(It1.Cpt2_1>=1)))||((((cable_free.cable_free_0>=1)&&(FMCb.FMCb_0>=1))&&(Dom2.AMC_2>=1))&&(It2.Cpt2_2>=1)))||((((cable_free.cable_free_0>=1)&&(FMCb.FMCb_0>=1))&&(Dom3.AMC_3>=1))&&(It0.Cpt2_0>=1)))||((((cable_free.cable_free_0>=1)&&(FMCb.FMCb_0>=1))&&(Dom4.AMC_4>=1))&&(It1.Cpt2_1>=1)))||((((cable_free.cable_free_0>=1)&&(FMCb.FMCb_0>=1))&&(Dom5.AMC_5>=1))&&(It2.Cpt2_2>=1)))||((((cable_free.cable_free_0>=1)&&(FMCb.FMCb_0>=1))&&(Dom6.AMC_6>=1))&&(It0.Cpt2_0>=1)))||((((cable_free.cable_free_0>=1)&&(FMCb.FMCb_0>=1))&&(Dom7.AMC_7>=1))&&(It1.Cpt2_1>=1)))||((((cable_free.cable_free_0>=1)&&(FMCb.FMCb_0>=1))&&(Dom8.AMC_8>=1))&&(It2.Cpt2_2>=1)))||((((((((((Dom0.wait_ack_0>=1)&&(T_out.T_out_0>=1))||((Dom1.wait_ack_1>=1)&&(T_out.T_out_0>=1)))||((Dom2.wait_ack_2>=1)&&(T_out.T_out_0>=1)))||((Dom3.wait_ack_3>=1)&&(T_out.T_out_0>=1)))||((Dom4.wait_ack_4>=1)&&(T_out.T_out_0>=1)))||((Dom5.wait_ack_5>=1)&&(T_out.T_out_0>=1)))||((Dom6.wait_ack_6>=1)&&(T_out.T_out_0>=1)))||((Dom7.wait_ack_7>=1)&&(T_out.T_out_0>=1)))||((Dom8.wait_ack_8>=1)&&(T_out.T_out_0>=1))))&&(((((((((((Dom0.wait_ack_0>=1)&&(T_out.T_out_0>=1))||((Dom1.wait_ack_1>=1)&&(T_out.T_out_0>=1)))||((Dom2.wait_ack_2>=1)&&(T_out.T_out_0>=1)))||((Dom3.wait_ack_3>=1)&&(T_out.T_out_0>=1)))||((Dom4.wait_ack_4>=1)&&(T_out.T_out_0>=1)))||((Dom5.wait_ack_5>=1)&&(T_out.T_out_0>=1)))||((Dom6.wait_ack_6>=1)&&(T_out.T_out_0>=1)))||((Dom7.wait_ack_7>=1)&&(T_out.T_out_0>=1)))||((Dom8.wait_ack_8>=1)&&(T_out.T_out_0>=1)))&&((((It0.listen_0>=1)&&(It0.MSG_0>=1))||((It1.listen_1>=1)&&(It1.MSG_1>=1)))||((It2.listen_2>=1)&&(It2.MSG_2>=1)))))))
=> equivalent forward existential formula: [(FwdU(Init,!(EG(((ACK.ACK_0>=1)&&(R_tout.R_tout_0>=1))))) * (((((It0.MSG_0>=1)&&(R_tout.R_tout_0>=1))||((It1.MSG_1>=1)&&(R_tout.R_tout_0>=1)))||((It2.MSG_2>=1)&&(R_tout.R_tout_0>=1)))&&((((((((((((((cable_free.cable_free_0>=1)&&(FMCb.FMCb_0>=1))&&(Dom0.AMC_0>=1))&&(It0.Cpt2_0>=1))||((((cable_free.cable_free_0>=1)&&(FMCb.FMCb_0>=1))&&(Dom1.AMC_1>=1))&&(It1.Cpt2_1>=1)))||((((cable_free.cable_free_0>=1)&&(FMCb.FMCb_0>=1))&&(Dom2.AMC_2>=1))&&(It2.Cpt2_2>=1)))||((((cable_free.cable_free_0>=1)&&(FMCb.FMCb_0>=1))&&(Dom3.AMC_3>=1))&&(It0.Cpt2_0>=1)))||((((cable_free.cable_free_0>=1)&&(FMCb.FMCb_0>=1))&&(Dom4.AMC_4>=1))&&(It1.Cpt2_1>=1)))||((((cable_free.cable_free_0>=1)&&(FMCb.FMCb_0>=1))&&(Dom5.AMC_5>=1))&&(It2.Cpt2_2>=1)))||((((cable_free.cable_free_0>=1)&&(FMCb.FMCb_0>=1))&&(Dom6.AMC_6>=1))&&(It0.Cpt2_0>=1)))||((((cable_free.cable_free_0>=1)&&(FMCb.FMCb_0>=1))&&(Dom7.AMC_7>=1))&&(It1.Cpt2_1>=1)))||((((cable_free.cable_free_0>=1)&&(FMCb.FMCb_0>=1))&&(Dom8.AMC_8>=1))&&(It2.Cpt2_2>=1)))||((((((((((Dom0.wait_ack_0>=1)&&(T_out.T_out_0>=1))||((Dom1.wait_ack_1>=1)&&(T_out.T_out_0>=1)))||((Dom2.wait_ack_2>=1)&&(T_out.T_out_0>=1)))||((Dom3.wait_ack_3>=1)&&(T_out.T_out_0>=1)))||((Dom4.wait_ack_4>=1)&&(T_out.T_out_0>=1)))||((Dom5.wait_ack_5>=1)&&(T_out.T_out_0>=1)))||((Dom6.wait_ack_6>=1)&&(T_out.T_out_0>=1)))||((Dom7.wait_ack_7>=1)&&(T_out.T_out_0>=1)))||((Dom8.wait_ack_8>=1)&&(T_out.T_out_0>=1))))&&(((((((((((Dom0.wait_ack_0>=1)&&(T_out.T_out_0>=1))||((Dom1.wait_ack_1>=1)&&(T_out.T_out_0>=1)))||((Dom2.wait_ack_2>=1)&&(T_out.T_out_0>=1)))||((Dom3.wait_ack_3>=1)&&(T_out.T_out_0>=1)))||((Dom4.wait_ack_4>=1)&&(T_out.T_out_0>=1)))||((Dom5.wait_ack_5>=1)&&(T_out.T_out_0>=1)))||((Dom6.wait_ack_6>=1)&&(T_out.T_out_0>=1)))||((Dom7.wait_ack_7>=1)&&(T_out.T_out_0>=1)))||((Dom8.wait_ack_8>=1)&&(T_out.T_out_0>=1)))&&((((It0.listen_0>=1)&&(It0.MSG_0>=1))||((It1.listen_1>=1)&&(It1.MSG_1>=1)))||((It2.listen_2>=1)&&(It2.MSG_2>=1)))))))] != FALSE
(forward)formula 3,0,1.32749,49400,1,0,88259,13787,1568,83444,248,81369,259428
FORMULA SafeBus-COL-03-CTLFireability-03 FALSE TECHNIQUES DECISION_DIAGRAMS TOPOLOGICAL USE_NUPN
Formula is FALSE !

***************************************

original formula: (AG((((((It0.wait_cable_0>=1)&&(It0.RMC_0>=1))||((It1.wait_cable_1>=1)&&(It1.RMC_1>=1)))||((It2.wait_cable_2>=1)&&(It2.RMC_2>=1)))&&((((((It0.MSG_0>=1)&&(R_tout.R_tout_0>=1))||((It1.MSG_1>=1)&&(R_tout.R_tout_0>=1)))||((It2.MSG_2>=1)&&(R_tout.R_tout_0>=1)))||((((It0.wait_msg_0>=1)&&(It0.MSG_0>=1))||((It1.wait_msg_1>=1)&&(It1.MSG_1>=1)))||((It2.wait_msg_2>=1)&&(It2.MSG_2>=1))))&&(!((((It0.FMC_0>=1)&&(S_tout.S_tout_0>=1))||((It1.FMC_1>=1)&&(S_tout.S_tout_0>=1)))||((It2.FMC_2>=1)&&(S_tout.S_tout_0>=1))))))) + AF((!((((((It0.wait_cable_0>=1)&&(It0.RMC_0>=1))||((It1.wait_cable_1>=1)&&(It1.RMC_1>=1)))||((It2.wait_cable_2>=1)&&(It2.RMC_2>=1)))||((((It0.cable_used_0>=1)&&(It0.FMC_0>=1))||((It1.cable_used_1>=1)&&(It1.FMC_1>=1)))||((It2.cable_used_2>=1)&&(It2.FMC_2>=1))))) * EG((((((((((((FMCb.FMCb_0>=1)&&(Dom0.wait_ack_0>=1))&&(ACK.ACK_0>=1))||(((FMCb.FMCb_0>=1)&&(Dom1.wait_ack_1>=1))&&(ACK.ACK_0>=1)))||(((FMCb.FMCb_0>=1)&&(Dom2.wait_ack_2>=1))&&(ACK.ACK_0>=1)))||(((FMCb.FMCb_0>=1)&&(Dom3.wait_ack_3>=1))&&(ACK.ACK_0>=1)))||(((FMCb.FMCb_0>=1)&&(Dom4.wait_ack_4>=1))&&(ACK.ACK_0>=1)))||(((FMCb.FMCb_0>=1)&&(Dom5.wait_ack_5>=1))&&(ACK.ACK_0>=1)))||(((FMCb.FMCb_0>=1)&&(Dom6.wait_ack_6>=1))&&(ACK.ACK_0>=1)))||(((FMCb.FMCb_0>=1)&&(Dom7.wait_ack_7>=1))&&(ACK.ACK_0>=1)))||(((FMCb.FMCb_0>=1)&&(Dom8.wait_ack_8>=1))&&(ACK.ACK_0>=1)))))))
=> equivalent forward existential formula: [FwdG((Init * !(!(E(TRUE U !((((((It0.wait_cable_0>=1)&&(It0.RMC_0>=1))||((It1.wait_cable_1>=1)&&(It1.RMC_1>=1)))||((It2.wait_cable_2>=1)&&(It2.RMC_2>=1)))&&((((((It0.MSG_0>=1)&&(R_tout.R_tout_0>=1))||((It1.MSG_1>=1)&&(R_tout.R_tout_0>=1)))||((It2.MSG_2>=1)&&(R_tout.R_tout_0>=1)))||((((It0.wait_msg_0>=1)&&(It0.MSG_0>=1))||((It1.wait_msg_1>=1)&&(It1.MSG_1>=1)))||((It2.wait_msg_2>=1)&&(It2.MSG_2>=1))))&&(!((((It0.FMC_0>=1)&&(S_tout.S_tout_0>=1))||((It1.FMC_1>=1)&&(S_tout.S_tout_0>=1)))||((It2.FMC_2>=1)&&(S_tout.S_tout_0>=1))))))))))),!((!((((((It0.wait_cable_0>=1)&&(It0.RMC_0>=1))||((It1.wait_cable_1>=1)&&(It1.RMC_1>=1)))||((It2.wait_cable_2>=1)&&(It2.RMC_2>=1)))||((((It0.cable_used_0>=1)&&(It0.FMC_0>=1))||((It1.cable_used_1>=1)&&(It1.FMC_1>=1)))||((It2.cable_used_2>=1)&&(It2.FMC_2>=1))))) * EG((((((((((((FMCb.FMCb_0>=1)&&(Dom0.wait_ack_0>=1))&&(ACK.ACK_0>=1))||(((FMCb.FMCb_0>=1)&&(Dom1.wait_ack_1>=1))&&(ACK.ACK_0>=1)))||(((FMCb.FMCb_0>=1)&&(Dom2.wait_ack_2>=1))&&(ACK.ACK_0>=1)))||(((FMCb.FMCb_0>=1)&&(Dom3.wait_ack_3>=1))&&(ACK.ACK_0>=1)))||(((FMCb.FMCb_0>=1)&&(Dom4.wait_ack_4>=1))&&(ACK.ACK_0>=1)))||(((FMCb.FMCb_0>=1)&&(Dom5.wait_ack_5>=1))&&(ACK.ACK_0>=1)))||(((FMCb.FMCb_0>=1)&&(Dom6.wait_ack_6>=1))&&(ACK.ACK_0>=1)))||(((FMCb.FMCb_0>=1)&&(Dom7.wait_ack_7>=1))&&(ACK.ACK_0>=1)))||(((FMCb.FMCb_0>=1)&&(Dom8.wait_ack_8>=1))&&(ACK.ACK_0>=1)))))))] = FALSE
(forward)formula 4,0,1.37133,50456,1,0,91326,13870,1605,85706,249,81628,272192
FORMULA SafeBus-COL-03-CTLFireability-04 FALSE TECHNIQUES DECISION_DIAGRAMS TOPOLOGICAL USE_NUPN
Formula is FALSE !

***************************************

original formula: EX(EG(((((((((((It0.listen_0>=1)&&(It0.Cpt1_0>=1))||((It0.listen_0>=1)&&(It1.Cpt1_1>=1)))||((It0.listen_0>=1)&&(It2.Cpt1_2>=1)))||((It1.listen_1>=1)&&(It0.Cpt1_0>=1)))||((It1.listen_1>=1)&&(It1.Cpt1_1>=1)))||((It1.listen_1>=1)&&(It2.Cpt1_2>=1)))||((It2.listen_2>=1)&&(It0.Cpt1_0>=1)))||((It2.listen_2>=1)&&(It1.Cpt1_1>=1)))||((It2.listen_2>=1)&&(It2.Cpt1_2>=1)))))
=> equivalent forward existential formula: [FwdG(EY(Init),((((((((((It0.listen_0>=1)&&(It0.Cpt1_0>=1))||((It0.listen_0>=1)&&(It1.Cpt1_1>=1)))||((It0.listen_0>=1)&&(It2.Cpt1_2>=1)))||((It1.listen_1>=1)&&(It0.Cpt1_0>=1)))||((It1.listen_1>=1)&&(It1.Cpt1_1>=1)))||((It1.listen_1>=1)&&(It2.Cpt1_2>=1)))||((It2.listen_2>=1)&&(It0.Cpt1_0>=1)))||((It2.listen_2>=1)&&(It1.Cpt1_1>=1)))||((It2.listen_2>=1)&&(It2.Cpt1_2>=1))))] != FALSE
(forward)formula 5,1,1.60135,57584,1,0,105078,13895,1654,98007,251,83453,315371
FORMULA SafeBus-COL-03-CTLFireability-05 TRUE TECHNIQUES DECISION_DIAGRAMS TOPOLOGICAL USE_NUPN
Formula is TRUE !

***************************************

original formula: AF(AX(((((It0.MSG_0>=1)&&(R_tout.R_tout_0>=1))||((It1.MSG_1>=1)&&(R_tout.R_tout_0>=1)))||((It2.MSG_2>=1)&&(R_tout.R_tout_0>=1)))))
=> equivalent forward existential formula: [FwdG(Init,!(!(EX(!(((((It0.MSG_0>=1)&&(R_tout.R_tout_0>=1))||((It1.MSG_1>=1)&&(R_tout.R_tout_0>=1)))||((It2.MSG_2>=1)&&(R_tout.R_tout_0>=1))))))))] = FALSE
(forward)formula 6,0,1.99117,70520,1,0,129720,13988,1658,124067,251,86872,374664
FORMULA SafeBus-COL-03-CTLFireability-06 FALSE TECHNIQUES DECISION_DIAGRAMS TOPOLOGICAL USE_NUPN
Formula is FALSE !

***************************************

original formula: ((EG(((ACK.ACK_0>=1)&&(R_tout.R_tout_0>=1))) * !(A(((((It0.FMC_0>=1)&&(S_tout.S_tout_0>=1))||((It1.FMC_1>=1)&&(S_tout.S_tout_0>=1)))||((It2.FMC_2>=1)&&(S_tout.S_tout_0>=1))) U ((((((It0.wait_cable_0>=1)&&(It0.PMC_0>=1))||((It1.wait_cable_1>=1)&&(It1.PMC_1>=1)))||((It1.wait_cable_1>=1)&&(It1.PMC_1>=1)))||((It2.wait_cable_2>=1)&&(It2.PMC_2>=1)))||((It2.wait_cable_2>=1)&&(It2.PMC_2>=1)))))) + !(AF(((((((((((Dom0.wait_ack_0>=1)&&(T_out.T_out_0>=1))||((Dom1.wait_ack_1>=1)&&(T_out.T_out_0>=1)))||((Dom2.wait_ack_2>=1)&&(T_out.T_out_0>=1)))||((Dom3.wait_ack_3>=1)&&(T_out.T_out_0>=1)))||((Dom4.wait_ack_4>=1)&&(T_out.T_out_0>=1)))||((Dom5.wait_ack_5>=1)&&(T_out.T_out_0>=1)))||((Dom6.wait_ack_6>=1)&&(T_out.T_out_0>=1)))||((Dom7.wait_ack_7>=1)&&(T_out.T_out_0>=1)))||((Dom8.wait_ack_8>=1)&&(T_out.T_out_0>=1))))))
=> equivalent forward existential formula: (([(FwdU((Init * EG(((ACK.ACK_0>=1)&&(R_tout.R_tout_0>=1)))),!(((((((It0.wait_cable_0>=1)&&(It0.PMC_0>=1))||((It1.wait_cable_1>=1)&&(It1.PMC_1>=1)))||((It1.wait_cable_1>=1)&&(It1.PMC_1>=1)))||((It2.wait_cable_2>=1)&&(It2.PMC_2>=1)))||((It2.wait_cable_2>=1)&&(It2.PMC_2>=1))))) * (!(((((It0.FMC_0>=1)&&(S_tout.S_tout_0>=1))||((It1.FMC_1>=1)&&(S_tout.S_tout_0>=1)))||((It2.FMC_2>=1)&&(S_tout.S_tout_0>=1)))) * !(((((((It0.wait_cable_0>=1)&&(It0.PMC_0>=1))||((It1.wait_cable_1>=1)&&(It1.PMC_1>=1)))||((It1.wait_cable_1>=1)&&(It1.PMC_1>=1)))||((It2.wait_cable_2>=1)&&(It2.PMC_2>=1)))||((It2.wait_cable_2>=1)&&(It2.PMC_2>=1))))))] != FALSE + [FwdG((Init * EG(((ACK.ACK_0>=1)&&(R_tout.R_tout_0>=1)))),!(((((((It0.wait_cable_0>=1)&&(It0.PMC_0>=1))||((It1.wait_cable_1>=1)&&(It1.PMC_1>=1)))||((It1.wait_cable_1>=1)&&(It1.PMC_1>=1)))||((It2.wait_cable_2>=1)&&(It2.PMC_2>=1)))||((It2.wait_cable_2>=1)&&(It2.PMC_2>=1)))))] != FALSE) + [FwdG(Init,!(((((((((((Dom0.wait_ack_0>=1)&&(T_out.T_out_0>=1))||((Dom1.wait_ack_1>=1)&&(T_out.T_out_0>=1)))||((Dom2.wait_ack_2>=1)&&(T_out.T_out_0>=1)))||((Dom3.wait_ack_3>=1)&&(T_out.T_out_0>=1)))||((Dom4.wait_ack_4>=1)&&(T_out.T_out_0>=1)))||((Dom5.wait_ack_5>=1)&&(T_out.T_out_0>=1)))||((Dom6.wait_ack_6>=1)&&(T_out.T_out_0>=1)))||((Dom7.wait_ack_7>=1)&&(T_out.T_out_0>=1)))||((Dom8.wait_ack_8>=1)&&(T_out.T_out_0>=1)))))] != FALSE)
(forward)formula 7,1,2.1249,75008,1,0,138587,14007,1726,132405,253,87396,398126
FORMULA SafeBus-COL-03-CTLFireability-07 TRUE TECHNIQUES DECISION_DIAGRAMS TOPOLOGICAL USE_NUPN
Formula is TRUE !

***************************************

original formula: E(EG((((((It0.MSG_0>=1)&&(R_tout.R_tout_0>=1))||((It1.MSG_1>=1)&&(R_tout.R_tout_0>=1)))||((It2.MSG_2>=1)&&(R_tout.R_tout_0>=1)))||((((((((((It0.Cpt1_0>=1)&&(It0.loop_em_0>=1))||((It1.Cpt1_1>=1)&&(It0.loop_em_0>=1)))||((It2.Cpt1_2>=1)&&(It0.loop_em_0>=1)))||((It0.Cpt1_0>=1)&&(It1.loop_em_1>=1)))||((It1.Cpt1_1>=1)&&(It1.loop_em_1>=1)))||((It2.Cpt1_2>=1)&&(It1.loop_em_1>=1)))||((It0.Cpt1_0>=1)&&(It2.loop_em_2>=1)))||((It1.Cpt1_1>=1)&&(It2.loop_em_2>=1)))||((It2.Cpt1_2>=1)&&(It2.loop_em_2>=1))))) U (AF(((((((((((Dom0.wait_ack_0>=1)&&(T_out.T_out_0>=1))||((Dom1.wait_ack_1>=1)&&(T_out.T_out_0>=1)))||((Dom2.wait_ack_2>=1)&&(T_out.T_out_0>=1)))||((Dom3.wait_ack_3>=1)&&(T_out.T_out_0>=1)))||((Dom4.wait_ack_4>=1)&&(T_out.T_out_0>=1)))||((Dom5.wait_ack_5>=1)&&(T_out.T_out_0>=1)))||((Dom6.wait_ack_6>=1)&&(T_out.T_out_0>=1)))||((Dom7.wait_ack_7>=1)&&(T_out.T_out_0>=1)))||((Dom8.wait_ack_8>=1)&&(T_out.T_out_0>=1)))) * AF(((((((((((((cable_free.cable_free_0>=1)&&(FMCb.FMCb_0>=1))&&(Dom0.AMC_0>=1))&&(It0.Cpt2_0>=1))||((((cable_free.cable_free_0>=1)&&(FMCb.FMCb_0>=1))&&(Dom1.AMC_1>=1))&&(It1.Cpt2_1>=1)))||((((cable_free.cable_free_0>=1)&&(FMCb.FMCb_0>=1))&&(Dom2.AMC_2>=1))&&(It2.Cpt2_2>=1)))||((((cable_free.cable_free_0>=1)&&(FMCb.FMCb_0>=1))&&(Dom3.AMC_3>=1))&&(It0.Cpt2_0>=1)))||((((cable_free.cable_free_0>=1)&&(FMCb.FMCb_0>=1))&&(Dom4.AMC_4>=1))&&(It1.Cpt2_1>=1)))||((((cable_free.cable_free_0>=1)&&(FMCb.FMCb_0>=1))&&(Dom5.AMC_5>=1))&&(It2.Cpt2_2>=1)))||((((cable_free.cable_free_0>=1)&&(FMCb.FMCb_0>=1))&&(Dom6.AMC_6>=1))&&(It0.Cpt2_0>=1)))||((((cable_free.cable_free_0>=1)&&(FMCb.FMCb_0>=1))&&(Dom7.AMC_7>=1))&&(It1.Cpt2_1>=1)))||((((cable_free.cable_free_0>=1)&&(FMCb.FMCb_0>=1))&&(Dom8.AMC_8>=1))&&(It2.Cpt2_2>=1))))))
=> equivalent forward existential formula: [((FwdU(Init,EG((((((It0.MSG_0>=1)&&(R_tout.R_tout_0>=1))||((It1.MSG_1>=1)&&(R_tout.R_tout_0>=1)))||((It2.MSG_2>=1)&&(R_tout.R_tout_0>=1)))||((((((((((It0.Cpt1_0>=1)&&(It0.loop_em_0>=1))||((It1.Cpt1_1>=1)&&(It0.loop_em_0>=1)))||((It2.Cpt1_2>=1)&&(It0.loop_em_0>=1)))||((It0.Cpt1_0>=1)&&(It1.loop_em_1>=1)))||((It1.Cpt1_1>=1)&&(It1.loop_em_1>=1)))||((It2.Cpt1_2>=1)&&(It1.loop_em_1>=1)))||((It0.Cpt1_0>=1)&&(It2.loop_em_2>=1)))||((It1.Cpt1_1>=1)&&(It2.loop_em_2>=1)))||((It2.Cpt1_2>=1)&&(It2.loop_em_2>=1)))))) * !(EG(!(((((((((((Dom0.wait_ack_0>=1)&&(T_out.T_out_0>=1))||((Dom1.wait_ack_1>=1)&&(T_out.T_out_0>=1)))||((Dom2.wait_ack_2>=1)&&(T_out.T_out_0>=1)))||((Dom3.wait_ack_3>=1)&&(T_out.T_out_0>=1)))||((Dom4.wait_ack_4>=1)&&(T_out.T_out_0>=1)))||((Dom5.wait_ack_5>=1)&&(T_out.T_out_0>=1)))||((Dom6.wait_ack_6>=1)&&(T_out.T_out_0>=1)))||((Dom7.wait_ack_7>=1)&&(T_out.T_out_0>=1)))||((Dom8.wait_ack_8>=1)&&(T_out.T_out_0>=1))))))) * !(EG(!(((((((((((((cable_free.cable_free_0>=1)&&(FMCb.FMCb_0>=1))&&(Dom0.AMC_0>=1))&&(It0.Cpt2_0>=1))||((((cable_free.cable_free_0>=1)&&(FMCb.FMCb_0>=1))&&(Dom1.AMC_1>=1))&&(It1.Cpt2_1>=1)))||((((cable_free.cable_free_0>=1)&&(FMCb.FMCb_0>=1))&&(Dom2.AMC_2>=1))&&(It2.Cpt2_2>=1)))||((((cable_free.cable_free_0>=1)&&(FMCb.FMCb_0>=1))&&(Dom3.AMC_3>=1))&&(It0.Cpt2_0>=1)))||((((cable_free.cable_free_0>=1)&&(FMCb.FMCb_0>=1))&&(Dom4.AMC_4>=1))&&(It1.Cpt2_1>=1)))||((((cable_free.cable_free_0>=1)&&(FMCb.FMCb_0>=1))&&(Dom5.AMC_5>=1))&&(It2.Cpt2_2>=1)))||((((cable_free.cable_free_0>=1)&&(FMCb.FMCb_0>=1))&&(Dom6.AMC_6>=1))&&(It0.Cpt2_0>=1)))||((((cable_free.cable_free_0>=1)&&(FMCb.FMCb_0>=1))&&(Dom7.AMC_7>=1))&&(It1.Cpt2_1>=1)))||((((cable_free.cable_free_0>=1)&&(FMCb.FMCb_0>=1))&&(Dom8.AMC_8>=1))&&(It2.Cpt2_2>=1)))))))] != FALSE
(forward)formula 8,0,2.36432,83192,1,0,155351,14101,1762,145867,253,89196,454740
FORMULA SafeBus-COL-03-CTLFireability-08 FALSE TECHNIQUES DECISION_DIAGRAMS TOPOLOGICAL USE_NUPN
Formula is FALSE !

***************************************

original formula: (EF(EG((((((It0.MSG_0>=1)&&(R_tout.R_tout_0>=1))||((It1.MSG_1>=1)&&(R_tout.R_tout_0>=1)))||((It2.MSG_2>=1)&&(R_tout.R_tout_0>=1)))&&(((((((((((FMCb.FMCb_0>=1)&&(Dom0.wait_ack_0>=1))&&(ACK.ACK_0>=1))||(((FMCb.FMCb_0>=1)&&(Dom1.wait_ack_1>=1))&&(ACK.ACK_0>=1)))||(((FMCb.FMCb_0>=1)&&(Dom2.wait_ack_2>=1))&&(ACK.ACK_0>=1)))||(((FMCb.FMCb_0>=1)&&(Dom3.wait_ack_3>=1))&&(ACK.ACK_0>=1)))||(((FMCb.FMCb_0>=1)&&(Dom4.wait_ack_4>=1))&&(ACK.ACK_0>=1)))||(((FMCb.FMCb_0>=1)&&(Dom5.wait_ack_5>=1))&&(ACK.ACK_0>=1)))||(((FMCb.FMCb_0>=1)&&(Dom6.wait_ack_6>=1))&&(ACK.ACK_0>=1)))||(((FMCb.FMCb_0>=1)&&(Dom7.wait_ack_7>=1))&&(ACK.ACK_0>=1)))||(((FMCb.FMCb_0>=1)&&(Dom8.wait_ack_8>=1))&&(ACK.ACK_0>=1)))))) + !(EF(((((((((((It0.Cpt1_0>=1)&&(It0.loop_em_0>=1))||((It1.Cpt1_1>=1)&&(It0.loop_em_0>=1)))||((It2.Cpt1_2>=1)&&(It0.loop_em_0>=1)))||((It0.Cpt1_0>=1)&&(It1.loop_em_1>=1)))||((It1.Cpt1_1>=1)&&(It1.loop_em_1>=1)))||((It2.Cpt1_2>=1)&&(It1.loop_em_1>=1)))||((It0.Cpt1_0>=1)&&(It2.loop_em_2>=1)))||((It1.Cpt1_1>=1)&&(It2.loop_em_2>=1)))||((It2.Cpt1_2>=1)&&(It2.loop_em_2>=1))))))
=> equivalent forward existential formula: [(FwdU((Init * !(E(TRUE U EG((((((It0.MSG_0>=1)&&(R_tout.R_tout_0>=1))||((It1.MSG_1>=1)&&(R_tout.R_tout_0>=1)))||((It2.MSG_2>=1)&&(R_tout.R_tout_0>=1)))&&(((((((((((FMCb.FMCb_0>=1)&&(Dom0.wait_ack_0>=1))&&(ACK.ACK_0>=1))||(((FMCb.FMCb_0>=1)&&(Dom1.wait_ack_1>=1))&&(ACK.ACK_0>=1)))||(((FMCb.FMCb_0>=1)&&(Dom2.wait_ack_2>=1))&&(ACK.ACK_0>=1)))||(((FMCb.FMCb_0>=1)&&(Dom3.wait_ack_3>=1))&&(ACK.ACK_0>=1)))||(((FMCb.FMCb_0>=1)&&(Dom4.wait_ack_4>=1))&&(ACK.ACK_0>=1)))||(((FMCb.FMCb_0>=1)&&(Dom5.wait_ack_5>=1))&&(ACK.ACK_0>=1)))||(((FMCb.FMCb_0>=1)&&(Dom6.wait_ack_6>=1))&&(ACK.ACK_0>=1)))||(((FMCb.FMCb_0>=1)&&(Dom7.wait_ack_7>=1))&&(ACK.ACK_0>=1)))||(((FMCb.FMCb_0>=1)&&(Dom8.wait_ack_8>=1))&&(ACK.ACK_0>=1)))))))),TRUE) * ((((((((((It0.Cpt1_0>=1)&&(It0.loop_em_0>=1))||((It1.Cpt1_1>=1)&&(It0.loop_em_0>=1)))||((It2.Cpt1_2>=1)&&(It0.loop_em_0>=1)))||((It0.Cpt1_0>=1)&&(It1.loop_em_1>=1)))||((It1.Cpt1_1>=1)&&(It1.loop_em_1>=1)))||((It2.Cpt1_2>=1)&&(It1.loop_em_1>=1)))||((It0.Cpt1_0>=1)&&(It2.loop_em_2>=1)))||((It1.Cpt1_1>=1)&&(It2.loop_em_2>=1)))||((It2.Cpt1_2>=1)&&(It2.loop_em_2>=1))))] = FALSE
(forward)formula 9,0,2.37716,83456,1,0,155363,14101,1768,145955,253,89196,454749
FORMULA SafeBus-COL-03-CTLFireability-09 FALSE TECHNIQUES DECISION_DIAGRAMS TOPOLOGICAL USE_NUPN
Formula is FALSE !

***************************************

original formula: A(AF(((((((((((((FMCb.FMCb_0>=1)&&(Dom0.wait_ack_0>=1))&&(ACK.ACK_0>=1))||(((FMCb.FMCb_0>=1)&&(Dom1.wait_ack_1>=1))&&(ACK.ACK_0>=1)))||(((FMCb.FMCb_0>=1)&&(Dom2.wait_ack_2>=1))&&(ACK.ACK_0>=1)))||(((FMCb.FMCb_0>=1)&&(Dom3.wait_ack_3>=1))&&(ACK.ACK_0>=1)))||(((FMCb.FMCb_0>=1)&&(Dom4.wait_ack_4>=1))&&(ACK.ACK_0>=1)))||(((FMCb.FMCb_0>=1)&&(Dom5.wait_ack_5>=1))&&(ACK.ACK_0>=1)))||(((FMCb.FMCb_0>=1)&&(Dom6.wait_ack_6>=1))&&(ACK.ACK_0>=1)))||(((FMCb.FMCb_0>=1)&&(Dom7.wait_ack_7>=1))&&(ACK.ACK_0>=1)))||(((FMCb.FMCb_0>=1)&&(Dom8.wait_ack_8>=1))&&(ACK.ACK_0>=1)))||((((It0.wait_msg_0>=1)&&(It0.MSG_0>=1))||((It1.wait_msg_1>=1)&&(It1.MSG_1>=1)))||((It2.wait_msg_2>=1)&&(It2.MSG_2>=1))))) U (AX(((((It0.MSG_0>=1)&&(R_tout.R_tout_0>=1))||((It1.MSG_1>=1)&&(R_tout.R_tout_0>=1)))||((It2.MSG_2>=1)&&(R_tout.R_tout_0>=1)))) * AX(((((((((((((cable_free.cable_free_0>=1)&&(FMCb.FMCb_0>=1))&&(Dom0.AMC_0>=1))&&(It0.Cpt2_0>=1))||((((cable_free.cable_free_0>=1)&&(FMCb.FMCb_0>=1))&&(Dom1.AMC_1>=1))&&(It1.Cpt2_1>=1)))||((((cable_free.cable_free_0>=1)&&(FMCb.FMCb_0>=1))&&(Dom2.AMC_2>=1))&&(It2.Cpt2_2>=1)))||((((cable_free.cable_free_0>=1)&&(FMCb.FMCb_0>=1))&&(Dom3.AMC_3>=1))&&(It0.Cpt2_0>=1)))||((((cable_free.cable_free_0>=1)&&(FMCb.FMCb_0>=1))&&(Dom4.AMC_4>=1))&&(It1.Cpt2_1>=1)))||((((cable_free.cable_free_0>=1)&&(FMCb.FMCb_0>=1))&&(Dom5.AMC_5>=1))&&(It2.Cpt2_2>=1)))||((((cable_free.cable_free_0>=1)&&(FMCb.FMCb_0>=1))&&(Dom6.AMC_6>=1))&&(It0.Cpt2_0>=1)))||((((cable_free.cable_free_0>=1)&&(FMCb.FMCb_0>=1))&&(Dom7.AMC_7>=1))&&(It1.Cpt2_1>=1)))||((((cable_free.cable_free_0>=1)&&(FMCb.FMCb_0>=1))&&(Dom8.AMC_8>=1))&&(It2.Cpt2_2>=1))))))
=> equivalent forward existential formula: [((Init * !(EG(!((!(EX(!(((((It0.MSG_0>=1)&&(R_tout.R_tout_0>=1))||((It1.MSG_1>=1)&&(R_tout.R_tout_0>=1)))||((It2.MSG_2>=1)&&(R_tout.R_tout_0>=1)))))) * !(EX(!(((((((((((((cable_free.cable_free_0>=1)&&(FMCb.FMCb_0>=1))&&(Dom0.AMC_0>=1))&&(It0.Cpt2_0>=1))||((((cable_free.cable_free_0>=1)&&(FMCb.FMCb_0>=1))&&(Dom1.AMC_1>=1))&&(It1.Cpt2_1>=1)))||((((cable_free.cable_free_0>=1)&&(FMCb.FMCb_0>=1))&&(Dom2.AMC_2>=1))&&(It2.Cpt2_2>=1)))||((((cable_free.cable_free_0>=1)&&(FMCb.FMCb_0>=1))&&(Dom3.AMC_3>=1))&&(It0.Cpt2_0>=1)))||((((cable_free.cable_free_0>=1)&&(FMCb.FMCb_0>=1))&&(Dom4.AMC_4>=1))&&(It1.Cpt2_1>=1)))||((((cable_free.cable_free_0>=1)&&(FMCb.FMCb_0>=1))&&(Dom5.AMC_5>=1))&&(It2.Cpt2_2>=1)))||((((cable_free.cable_free_0>=1)&&(FMCb.FMCb_0>=1))&&(Dom6.AMC_6>=1))&&(It0.Cpt2_0>=1)))||((((cable_free.cable_free_0>=1)&&(FMCb.FMCb_0>=1))&&(Dom7.AMC_7>=1))&&(It1.Cpt2_1>=1)))||((((cable_free.cable_free_0>=1)&&(FMCb.FMCb_0>=1))&&(Dom8.AMC_8>=1))&&(It2.Cpt2_2>=1))))))))))) * !(E(!((!(EX(!(((((It0.MSG_0>=1)&&(R_tout.R_tout_0>=1))||((It1.MSG_1>=1)&&(R_tout.R_tout_0>=1)))||((It2.MSG_2>=1)&&(R_tout.R_tout_0>=1)))))) * !(EX(!(((((((((((((cable_free.cable_free_0>=1)&&(FMCb.FMCb_0>=1))&&(Dom0.AMC_0>=1))&&(It0.Cpt2_0>=1))||((((cable_free.cable_free_0>=1)&&(FMCb.FMCb_0>=1))&&(Dom1.AMC_1>=1))&&(It1.Cpt2_1>=1)))||((((cable_free.cable_free_0>=1)&&(FMCb.FMCb_0>=1))&&(Dom2.AMC_2>=1))&&(It2.Cpt2_2>=1)))||((((cable_free.cable_free_0>=1)&&(FMCb.FMCb_0>=1))&&(Dom3.AMC_3>=1))&&(It0.Cpt2_0>=1)))||((((cable_free.cable_free_0>=1)&&(FMCb.FMCb_0>=1))&&(Dom4.AMC_4>=1))&&(It1.Cpt2_1>=1)))||((((cable_free.cable_free_0>=1)&&(FMCb.FMCb_0>=1))&&(Dom5.AMC_5>=1))&&(It2.Cpt2_2>=1)))||((((cable_free.cable_free_0>=1)&&(FMCb.FMCb_0>=1))&&(Dom6.AMC_6>=1))&&(It0.Cpt2_0>=1)))||((((cable_free.cable_free_0>=1)&&(FMCb.FMCb_0>=1))&&(Dom7.AMC_7>=1))&&(It1.Cpt2_1>=1)))||((((cable_free.cable_free_0>=1)&&(FMCb.FMCb_0>=1))&&(Dom8.AMC_8>=1))&&(It2.Cpt2_2>=1)))))))) U (!(!(EG(!(((((((((((((FMCb.FMCb_0>=1)&&(Dom0.wait_ack_0>=1))&&(ACK.ACK_0>=1))||(((FMCb.FMCb_0>=1)&&(Dom1.wait_ack_1>=1))&&(ACK.ACK_0>=1)))||(((FMCb.FMCb_0>=1)&&(Dom2.wait_ack_2>=1))&&(ACK.ACK_0>=1)))||(((FMCb.FMCb_0>=1)&&(Dom3.wait_ack_3>=1))&&(ACK.ACK_0>=1)))||(((FMCb.FMCb_0>=1)&&(Dom4.wait_ack_4>=1))&&(ACK.ACK_0>=1)))||(((FMCb.FMCb_0>=1)&&(Dom5.wait_ack_5>=1))&&(ACK.ACK_0>=1)))||(((FMCb.FMCb_0>=1)&&(Dom6.wait_ack_6>=1))&&(ACK.ACK_0>=1)))||(((FMCb.FMCb_0>=1)&&(Dom7.wait_ack_7>=1))&&(ACK.ACK_0>=1)))||(((FMCb.FMCb_0>=1)&&(Dom8.wait_ack_8>=1))&&(ACK.ACK_0>=1)))||((((It0.wait_msg_0>=1)&&(It0.MSG_0>=1))||((It1.wait_msg_1>=1)&&(It1.MSG_1>=1)))||((It2.wait_msg_2>=1)&&(It2.MSG_2>=1)))))))) * !((!(EX(!(((((It0.MSG_0>=1)&&(R_tout.R_tout_0>=1))||((It1.MSG_1>=1)&&(R_tout.R_tout_0>=1)))||((It2.MSG_2>=1)&&(R_tout.R_tout_0>=1)))))) * !(EX(!(((((((((((((cable_free.cable_free_0>=1)&&(FMCb.FMCb_0>=1))&&(Dom0.AMC_0>=1))&&(It0.Cpt2_0>=1))||((((cable_free.cable_free_0>=1)&&(FMCb.FMCb_0>=1))&&(Dom1.AMC_1>=1))&&(It1.Cpt2_1>=1)))||((((cable_free.cable_free_0>=1)&&(FMCb.FMCb_0>=1))&&(Dom2.AMC_2>=1))&&(It2.Cpt2_2>=1)))||((((cable_free.cable_free_0>=1)&&(FMCb.FMCb_0>=1))&&(Dom3.AMC_3>=1))&&(It0.Cpt2_0>=1)))||((((cable_free.cable_free_0>=1)&&(FMCb.FMCb_0>=1))&&(Dom4.AMC_4>=1))&&(It1.Cpt2_1>=1)))||((((cable_free.cable_free_0>=1)&&(FMCb.FMCb_0>=1))&&(Dom5.AMC_5>=1))&&(It2.Cpt2_2>=1)))||((((cable_free.cable_free_0>=1)&&(FMCb.FMCb_0>=1))&&(Dom6.AMC_6>=1))&&(It0.Cpt2_0>=1)))||((((cable_free.cable_free_0>=1)&&(FMCb.FMCb_0>=1))&&(Dom7.AMC_7>=1))&&(It1.Cpt2_1>=1)))||((((cable_free.cable_free_0>=1)&&(FMCb.FMCb_0>=1))&&(Dom8.AMC_8>=1))&&(It2.Cpt2_2>=1))))))))))))] != FALSE
(forward)formula 10,0,2.54455,88472,1,0,166478,14399,1775,156182,253,90647,485740
FORMULA SafeBus-COL-03-CTLFireability-10 FALSE TECHNIQUES DECISION_DIAGRAMS TOPOLOGICAL USE_NUPN
Formula is FALSE !

***************************************

original formula: AG(EX(AF(((ACK.ACK_0>=1)&&(R_tout.R_tout_0>=1)))))
=> equivalent forward existential formula: [(FwdU(Init,TRUE) * !(EX(!(EG(!(((ACK.ACK_0>=1)&&(R_tout.R_tout_0>=1))))))))] = FALSE
(forward)formula 11,0,2.54735,88472,1,0,166567,14453,1785,156467,253,90759,485776
FORMULA SafeBus-COL-03-CTLFireability-11 FALSE TECHNIQUES DECISION_DIAGRAMS TOPOLOGICAL USE_NUPN
Formula is FALSE !

***************************************

original formula: E(!(AF(((((((((((It0.Cpt1_0>=1)&&(It0.loop_em_0>=1))||((It1.Cpt1_1>=1)&&(It0.loop_em_0>=1)))||((It2.Cpt1_2>=1)&&(It0.loop_em_0>=1)))||((It0.Cpt1_0>=1)&&(It1.loop_em_1>=1)))||((It1.Cpt1_1>=1)&&(It1.loop_em_1>=1)))||((It2.Cpt1_2>=1)&&(It1.loop_em_1>=1)))||((It0.Cpt1_0>=1)&&(It2.loop_em_2>=1)))||((It1.Cpt1_1>=1)&&(It2.loop_em_2>=1)))||((It2.Cpt1_2>=1)&&(It2.loop_em_2>=1))))) U (((((((((((((((((((((((((((((((((It0.cable_used_0>=1)&&(It0.Cpt2_0>=1))&&(FMCb.FMCb_0>=1))&&(Dom0.AMC_0>=1))||((((It1.cable_used_1>=1)&&(It0.Cpt2_0>=1))&&(FMCb.FMCb_0>=1))&&(Dom0.AMC_0>=1)))||((((It2.cable_used_2>=1)&&(It0.Cpt2_0>=1))&&(FMCb.FMCb_0>=1))&&(Dom0.AMC_0>=1)))||((((It0.cable_used_0>=1)&&(It1.Cpt2_1>=1))&&(FMCb.FMCb_0>=1))&&(Dom1.AMC_1>=1)))||((((It1.cable_used_1>=1)&&(It1.Cpt2_1>=1))&&(FMCb.FMCb_0>=1))&&(Dom1.AMC_1>=1)))||((((It2.cable_used_2>=1)&&(It1.Cpt2_1>=1))&&(FMCb.FMCb_0>=1))&&(Dom1.AMC_1>=1)))||((((It0.cable_used_0>=1)&&(It2.Cpt2_2>=1))&&(FMCb.FMCb_0>=1))&&(Dom2.AMC_2>=1)))||((((It1.cable_used_1>=1)&&(It2.Cpt2_2>=1))&&(FMCb.FMCb_0>=1))&&(Dom2.AMC_2>=1)))||((((It2.cable_used_2>=1)&&(It2.Cpt2_2>=1))&&(FMCb.FMCb_0>=1))&&(Dom2.AMC_2>=1)))||((((It0.cable_used_0>=1)&&(It0.Cpt2_0>=1))&&(FMCb.FMCb_0>=1))&&(Dom3.AMC_3>=1)))||((((It1.cable_used_1>=1)&&(It0.Cpt2_0>=1))&&(FMCb.FMCb_0>=1))&&(Dom3.AMC_3>=1)))||((((It2.cable_used_2>=1)&&(It0.Cpt2_0>=1))&&(FMCb.FMCb_0>=1))&&(Dom3.AMC_3>=1)))||((((It0.cable_used_0>=1)&&(It1.Cpt2_1>=1))&&(FMCb.FMCb_0>=1))&&(Dom4.AMC_4>=1)))||((((It1.cable_used_1>=1)&&(It1.Cpt2_1>=1))&&(FMCb.FMCb_0>=1))&&(Dom4.AMC_4>=1)))||((((It2.cable_used_2>=1)&&(It1.Cpt2_1>=1))&&(FMCb.FMCb_0>=1))&&(Dom4.AMC_4>=1)))||((((It0.cable_used_0>=1)&&(It2.Cpt2_2>=1))&&(FMCb.FMCb_0>=1))&&(Dom5.AMC_5>=1)))||((((It1.cable_used_1>=1)&&(It2.Cpt2_2>=1))&&(FMCb.FMCb_0>=1))&&(Dom5.AMC_5>=1)))||((((It2.cable_used_2>=1)&&(It2.Cpt2_2>=1))&&(FMCb.FMCb_0>=1))&&(Dom5.AMC_5>=1)))||((((It0.cable_used_0>=1)&&(It0.Cpt2_0>=1))&&(FMCb.FMCb_0>=1))&&(Dom6.AMC_6>=1)))||((((It1.cable_used_1>=1)&&(It0.Cpt2_0>=1))&&(FMCb.FMCb_0>=1))&&(Dom6.AMC_6>=1)))||((((It2.cable_used_2>=1)&&(It0.Cpt2_0>=1))&&(FMCb.FMCb_0>=1))&&(Dom6.AMC_6>=1)))||((((It0.cable_used_0>=1)&&(It1.Cpt2_1>=1))&&(FMCb.FMCb_0>=1))&&(Dom7.AMC_7>=1)))||((((It1.cable_used_1>=1)&&(It1.Cpt2_1>=1))&&(FMCb.FMCb_0>=1))&&(Dom7.AMC_7>=1)))||((((It2.cable_used_2>=1)&&(It1.Cpt2_1>=1))&&(FMCb.FMCb_0>=1))&&(Dom7.AMC_7>=1)))||((((It0.cable_used_0>=1)&&(It2.Cpt2_2>=1))&&(FMCb.FMCb_0>=1))&&(Dom8.AMC_8>=1)))||((((It1.cable_used_1>=1)&&(It2.Cpt2_2>=1))&&(FMCb.FMCb_0>=1))&&(Dom8.AMC_8>=1)))||((((It2.cable_used_2>=1)&&(It2.Cpt2_2>=1))&&(FMCb.FMCb_0>=1))&&(Dom8.AMC_8>=1)))||((((It0.wait_cable_0>=1)&&(It0.RMC_0>=1))||((It1.wait_cable_1>=1)&&(It1.RMC_1>=1)))||((It2.wait_cable_2>=1)&&(It2.RMC_2>=1))))||(!((((It0.FMC_0>=1)&&(S_tout.S_tout_0>=1))||((It1.FMC_1>=1)&&(S_tout.S_tout_0>=1)))||((It2.FMC_2>=1)&&(S_tout.S_tout_0>=1))))) * AG(((((((((((It0.Cpt1_0>=1)&&(It0.loop_em_0>=1))||((It1.Cpt1_1>=1)&&(It0.loop_em_0>=1)))||((It2.Cpt1_2>=1)&&(It0.loop_em_0>=1)))||((It0.Cpt1_0>=1)&&(It1.loop_em_1>=1)))||((It1.Cpt1_1>=1)&&(It1.loop_em_1>=1)))||((It2.Cpt1_2>=1)&&(It1.loop_em_1>=1)))||((It0.Cpt1_0>=1)&&(It2.loop_em_2>=1)))||((It1.Cpt1_1>=1)&&(It2.loop_em_2>=1)))||((It2.Cpt1_2>=1)&&(It2.loop_em_2>=1))))))
=> equivalent forward existential formula: [((FwdU(Init,!(!(EG(!(((((((((((It0.Cpt1_0>=1)&&(It0.loop_em_0>=1))||((It1.Cpt1_1>=1)&&(It0.loop_em_0>=1)))||((It2.Cpt1_2>=1)&&(It0.loop_em_0>=1)))||((It0.Cpt1_0>=1)&&(It1.loop_em_1>=1)))||((It1.Cpt1_1>=1)&&(It1.loop_em_1>=1)))||((It2.Cpt1_2>=1)&&(It1.loop_em_1>=1)))||((It0.Cpt1_0>=1)&&(It2.loop_em_2>=1)))||((It1.Cpt1_1>=1)&&(It2.loop_em_2>=1)))||((It2.Cpt1_2>=1)&&(It2.loop_em_2>=1)))))))) * ((((((((((((((((((((((((((((((((It0.cable_used_0>=1)&&(It0.Cpt2_0>=1))&&(FMCb.FMCb_0>=1))&&(Dom0.AMC_0>=1))||((((It1.cable_used_1>=1)&&(It0.Cpt2_0>=1))&&(FMCb.FMCb_0>=1))&&(Dom0.AMC_0>=1)))||((((It2.cable_used_2>=1)&&(It0.Cpt2_0>=1))&&(FMCb.FMCb_0>=1))&&(Dom0.AMC_0>=1)))||((((It0.cable_used_0>=1)&&(It1.Cpt2_1>=1))&&(FMCb.FMCb_0>=1))&&(Dom1.AMC_1>=1)))||((((It1.cable_used_1>=1)&&(It1.Cpt2_1>=1))&&(FMCb.FMCb_0>=1))&&(Dom1.AMC_1>=1)))||((((It2.cable_used_2>=1)&&(It1.Cpt2_1>=1))&&(FMCb.FMCb_0>=1))&&(Dom1.AMC_1>=1)))||((((It0.cable_used_0>=1)&&(It2.Cpt2_2>=1))&&(FMCb.FMCb_0>=1))&&(Dom2.AMC_2>=1)))||((((It1.cable_used_1>=1)&&(It2.Cpt2_2>=1))&&(FMCb.FMCb_0>=1))&&(Dom2.AMC_2>=1)))||((((It2.cable_used_2>=1)&&(It2.Cpt2_2>=1))&&(FMCb.FMCb_0>=1))&&(Dom2.AMC_2>=1)))||((((It0.cable_used_0>=1)&&(It0.Cpt2_0>=1))&&(FMCb.FMCb_0>=1))&&(Dom3.AMC_3>=1)))||((((It1.cable_used_1>=1)&&(It0.Cpt2_0>=1))&&(FMCb.FMCb_0>=1))&&(Dom3.AMC_3>=1)))||((((It2.cable_used_2>=1)&&(It0.Cpt2_0>=1))&&(FMCb.FMCb_0>=1))&&(Dom3.AMC_3>=1)))||((((It0.cable_used_0>=1)&&(It1.Cpt2_1>=1))&&(FMCb.FMCb_0>=1))&&(Dom4.AMC_4>=1)))||((((It1.cable_used_1>=1)&&(It1.Cpt2_1>=1))&&(FMCb.FMCb_0>=1))&&(Dom4.AMC_4>=1)))||((((It2.cable_used_2>=1)&&(It1.Cpt2_1>=1))&&(FMCb.FMCb_0>=1))&&(Dom4.AMC_4>=1)))||((((It0.cable_used_0>=1)&&(It2.Cpt2_2>=1))&&(FMCb.FMCb_0>=1))&&(Dom5.AMC_5>=1)))||((((It1.cable_used_1>=1)&&(It2.Cpt2_2>=1))&&(FMCb.FMCb_0>=1))&&(Dom5.AMC_5>=1)))||((((It2.cable_used_2>=1)&&(It2.Cpt2_2>=1))&&(FMCb.FMCb_0>=1))&&(Dom5.AMC_5>=1)))||((((It0.cable_used_0>=1)&&(It0.Cpt2_0>=1))&&(FMCb.FMCb_0>=1))&&(Dom6.AMC_6>=1)))||((((It1.cable_used_1>=1)&&(It0.Cpt2_0>=1))&&(FMCb.FMCb_0>=1))&&(Dom6.AMC_6>=1)))||((((It2.cable_used_2>=1)&&(It0.Cpt2_0>=1))&&(FMCb.FMCb_0>=1))&&(Dom6.AMC_6>=1)))||((((It0.cable_used_0>=1)&&(It1.Cpt2_1>=1))&&(FMCb.FMCb_0>=1))&&(Dom7.AMC_7>=1)))||((((It1.cable_used_1>=1)&&(It1.Cpt2_1>=1))&&(FMCb.FMCb_0>=1))&&(Dom7.AMC_7>=1)))||((((It2.cable_used_2>=1)&&(It1.Cpt2_1>=1))&&(FMCb.FMCb_0>=1))&&(Dom7.AMC_7>=1)))||((((It0.cable_used_0>=1)&&(It2.Cpt2_2>=1))&&(FMCb.FMCb_0>=1))&&(Dom8.AMC_8>=1)))||((((It1.cable_used_1>=1)&&(It2.Cpt2_2>=1))&&(FMCb.FMCb_0>=1))&&(Dom8.AMC_8>=1)))||((((It2.cable_used_2>=1)&&(It2.Cpt2_2>=1))&&(FMCb.FMCb_0>=1))&&(Dom8.AMC_8>=1)))||((((It0.wait_cable_0>=1)&&(It0.RMC_0>=1))||((It1.wait_cable_1>=1)&&(It1.RMC_1>=1)))||((It2.wait_cable_2>=1)&&(It2.RMC_2>=1))))||(!((((It0.FMC_0>=1)&&(S_tout.S_tout_0>=1))||((It1.FMC_1>=1)&&(S_tout.S_tout_0>=1)))||((It2.FMC_2>=1)&&(S_tout.S_tout_0>=1)))))) * !(E(TRUE U !(((((((((((It0.Cpt1_0>=1)&&(It0.loop_em_0>=1))||((It1.Cpt1_1>=1)&&(It0.loop_em_0>=1)))||((It2.Cpt1_2>=1)&&(It0.loop_em_0>=1)))||((It0.Cpt1_0>=1)&&(It1.loop_em_1>=1)))||((It1.Cpt1_1>=1)&&(It1.loop_em_1>=1)))||((It2.Cpt1_2>=1)&&(It1.loop_em_1>=1)))||((It0.Cpt1_0>=1)&&(It2.loop_em_2>=1)))||((It1.Cpt1_1>=1)&&(It2.loop_em_2>=1)))||((It2.Cpt1_2>=1)&&(It2.loop_em_2>=1)))))))] != FALSE
(forward)formula 12,0,2.60444,90056,1,0,170756,14541,1786,160047,253,91177,501276
FORMULA SafeBus-COL-03-CTLFireability-12 FALSE TECHNIQUES DECISION_DIAGRAMS TOPOLOGICAL USE_NUPN
Formula is FALSE !

***************************************

original formula: AG((EX((((((((It0.wait_cable_0>=1)&&(It0.PMC_0>=1))||((It1.wait_cable_1>=1)&&(It1.PMC_1>=1)))||((It1.wait_cable_1>=1)&&(It1.PMC_1>=1)))||((It2.wait_cable_2>=1)&&(It2.PMC_2>=1)))||((It2.wait_cable_2>=1)&&(It2.PMC_2>=1)))||((((It0.wait_cable_0>=1)&&(It0.RMC_0>=1))||((It1.wait_cable_1>=1)&&(It1.RMC_1>=1)))||((It2.wait_cable_2>=1)&&(It2.RMC_2>=1))))) + EF(((((((((((((((((((((((((((((((It0.cable_used_0>=1)&&(It0.Cpt2_0>=1))&&(FMCb.FMCb_0>=1))&&(Dom0.AMC_0>=1))||((((It1.cable_used_1>=1)&&(It0.Cpt2_0>=1))&&(FMCb.FMCb_0>=1))&&(Dom0.AMC_0>=1)))||((((It2.cable_used_2>=1)&&(It0.Cpt2_0>=1))&&(FMCb.FMCb_0>=1))&&(Dom0.AMC_0>=1)))||((((It0.cable_used_0>=1)&&(It1.Cpt2_1>=1))&&(FMCb.FMCb_0>=1))&&(Dom1.AMC_1>=1)))||((((It1.cable_used_1>=1)&&(It1.Cpt2_1>=1))&&(FMCb.FMCb_0>=1))&&(Dom1.AMC_1>=1)))||((((It2.cable_used_2>=1)&&(It1.Cpt2_1>=1))&&(FMCb.FMCb_0>=1))&&(Dom1.AMC_1>=1)))||((((It0.cable_used_0>=1)&&(It2.Cpt2_2>=1))&&(FMCb.FMCb_0>=1))&&(Dom2.AMC_2>=1)))||((((It1.cable_used_1>=1)&&(It2.Cpt2_2>=1))&&(FMCb.FMCb_0>=1))&&(Dom2.AMC_2>=1)))||((((It2.cable_used_2>=1)&&(It2.Cpt2_2>=1))&&(FMCb.FMCb_0>=1))&&(Dom2.AMC_2>=1)))||((((It0.cable_used_0>=1)&&(It0.Cpt2_0>=1))&&(FMCb.FMCb_0>=1))&&(Dom3.AMC_3>=1)))||((((It1.cable_used_1>=1)&&(It0.Cpt2_0>=1))&&(FMCb.FMCb_0>=1))&&(Dom3.AMC_3>=1)))||((((It2.cable_used_2>=1)&&(It0.Cpt2_0>=1))&&(FMCb.FMCb_0>=1))&&(Dom3.AMC_3>=1)))||((((It0.cable_used_0>=1)&&(It1.Cpt2_1>=1))&&(FMCb.FMCb_0>=1))&&(Dom4.AMC_4>=1)))||((((It1.cable_used_1>=1)&&(It1.Cpt2_1>=1))&&(FMCb.FMCb_0>=1))&&(Dom4.AMC_4>=1)))||((((It2.cable_used_2>=1)&&(It1.Cpt2_1>=1))&&(FMCb.FMCb_0>=1))&&(Dom4.AMC_4>=1)))||((((It0.cable_used_0>=1)&&(It2.Cpt2_2>=1))&&(FMCb.FMCb_0>=1))&&(Dom5.AMC_5>=1)))||((((It1.cable_used_1>=1)&&(It2.Cpt2_2>=1))&&(FMCb.FMCb_0>=1))&&(Dom5.AMC_5>=1)))||((((It2.cable_used_2>=1)&&(It2.Cpt2_2>=1))&&(FMCb.FMCb_0>=1))&&(Dom5.AMC_5>=1)))||((((It0.cable_used_0>=1)&&(It0.Cpt2_0>=1))&&(FMCb.FMCb_0>=1))&&(Dom6.AMC_6>=1)))||((((It1.cable_used_1>=1)&&(It0.Cpt2_0>=1))&&(FMCb.FMCb_0>=1))&&(Dom6.AMC_6>=1)))||((((It2.cable_used_2>=1)&&(It0.Cpt2_0>=1))&&(FMCb.FMCb_0>=1))&&(Dom6.AMC_6>=1)))||((((It0.cable_used_0>=1)&&(It1.Cpt2_1>=1))&&(FMCb.FMCb_0>=1))&&(Dom7.AMC_7>=1)))||((((It1.cable_used_1>=1)&&(It1.Cpt2_1>=1))&&(FMCb.FMCb_0>=1))&&(Dom7.AMC_7>=1)))||((((It2.cable_used_2>=1)&&(It1.Cpt2_1>=1))&&(FMCb.FMCb_0>=1))&&(Dom7.AMC_7>=1)))||((((It0.cable_used_0>=1)&&(It2.Cpt2_2>=1))&&(FMCb.FMCb_0>=1))&&(Dom8.AMC_8>=1)))||((((It1.cable_used_1>=1)&&(It2.Cpt2_2>=1))&&(FMCb.FMCb_0>=1))&&(Dom8.AMC_8>=1)))||((((It2.cable_used_2>=1)&&(It2.Cpt2_2>=1))&&(FMCb.FMCb_0>=1))&&(Dom8.AMC_8>=1))))))
=> equivalent forward existential formula: [((FwdU(Init,TRUE) * !(E(TRUE U ((((((((((((((((((((((((((((((It0.cable_used_0>=1)&&(It0.Cpt2_0>=1))&&(FMCb.FMCb_0>=1))&&(Dom0.AMC_0>=1))||((((It1.cable_used_1>=1)&&(It0.Cpt2_0>=1))&&(FMCb.FMCb_0>=1))&&(Dom0.AMC_0>=1)))||((((It2.cable_used_2>=1)&&(It0.Cpt2_0>=1))&&(FMCb.FMCb_0>=1))&&(Dom0.AMC_0>=1)))||((((It0.cable_used_0>=1)&&(It1.Cpt2_1>=1))&&(FMCb.FMCb_0>=1))&&(Dom1.AMC_1>=1)))||((((It1.cable_used_1>=1)&&(It1.Cpt2_1>=1))&&(FMCb.FMCb_0>=1))&&(Dom1.AMC_1>=1)))||((((It2.cable_used_2>=1)&&(It1.Cpt2_1>=1))&&(FMCb.FMCb_0>=1))&&(Dom1.AMC_1>=1)))||((((It0.cable_used_0>=1)&&(It2.Cpt2_2>=1))&&(FMCb.FMCb_0>=1))&&(Dom2.AMC_2>=1)))||((((It1.cable_used_1>=1)&&(It2.Cpt2_2>=1))&&(FMCb.FMCb_0>=1))&&(Dom2.AMC_2>=1)))||((((It2.cable_used_2>=1)&&(It2.Cpt2_2>=1))&&(FMCb.FMCb_0>=1))&&(Dom2.AMC_2>=1)))||((((It0.cable_used_0>=1)&&(It0.Cpt2_0>=1))&&(FMCb.FMCb_0>=1))&&(Dom3.AMC_3>=1)))||((((It1.cable_used_1>=1)&&(It0.Cpt2_0>=1))&&(FMCb.FMCb_0>=1))&&(Dom3.AMC_3>=1)))||((((It2.cable_used_2>=1)&&(It0.Cpt2_0>=1))&&(FMCb.FMCb_0>=1))&&(Dom3.AMC_3>=1)))||((((It0.cable_used_0>=1)&&(It1.Cpt2_1>=1))&&(FMCb.FMCb_0>=1))&&(Dom4.AMC_4>=1)))||((((It1.cable_used_1>=1)&&(It1.Cpt2_1>=1))&&(FMCb.FMCb_0>=1))&&(Dom4.AMC_4>=1)))||((((It2.cable_used_2>=1)&&(It1.Cpt2_1>=1))&&(FMCb.FMCb_0>=1))&&(Dom4.AMC_4>=1)))||((((It0.cable_used_0>=1)&&(It2.Cpt2_2>=1))&&(FMCb.FMCb_0>=1))&&(Dom5.AMC_5>=1)))||((((It1.cable_used_1>=1)&&(It2.Cpt2_2>=1))&&(FMCb.FMCb_0>=1))&&(Dom5.AMC_5>=1)))||((((It2.cable_used_2>=1)&&(It2.Cpt2_2>=1))&&(FMCb.FMCb_0>=1))&&(Dom5.AMC_5>=1)))||((((It0.cable_used_0>=1)&&(It0.Cpt2_0>=1))&&(FMCb.FMCb_0>=1))&&(Dom6.AMC_6>=1)))||((((It1.cable_used_1>=1)&&(It0.Cpt2_0>=1))&&(FMCb.FMCb_0>=1))&&(Dom6.AMC_6>=1)))||((((It2.cable_used_2>=1)&&(It0.Cpt2_0>=1))&&(FMCb.FMCb_0>=1))&&(Dom6.AMC_6>=1)))||((((It0.cable_used_0>=1)&&(It1.Cpt2_1>=1))&&(FMCb.FMCb_0>=1))&&(Dom7.AMC_7>=1)))||((((It1.cable_used_1>=1)&&(It1.Cpt2_1>=1))&&(FMCb.FMCb_0>=1))&&(Dom7.AMC_7>=1)))||((((It2.cable_used_2>=1)&&(It1.Cpt2_1>=1))&&(FMCb.FMCb_0>=1))&&(Dom7.AMC_7>=1)))||((((It0.cable_used_0>=1)&&(It2.Cpt2_2>=1))&&(FMCb.FMCb_0>=1))&&(Dom8.AMC_8>=1)))||((((It1.cable_used_1>=1)&&(It2.Cpt2_2>=1))&&(FMCb.FMCb_0>=1))&&(Dom8.AMC_8>=1)))||((((It2.cable_used_2>=1)&&(It2.Cpt2_2>=1))&&(FMCb.FMCb_0>=1))&&(Dom8.AMC_8>=1)))))) * !(EX((((((((It0.wait_cable_0>=1)&&(It0.PMC_0>=1))||((It1.wait_cable_1>=1)&&(It1.PMC_1>=1)))||((It1.wait_cable_1>=1)&&(It1.PMC_1>=1)))||((It2.wait_cable_2>=1)&&(It2.PMC_2>=1)))||((It2.wait_cable_2>=1)&&(It2.PMC_2>=1)))||((((It0.wait_cable_0>=1)&&(It0.RMC_0>=1))||((It1.wait_cable_1>=1)&&(It1.RMC_1>=1)))||((It2.wait_cable_2>=1)&&(It2.RMC_2>=1)))))))] = FALSE
(forward)formula 13,1,2.71008,93224,1,0,176755,14674,1798,165755,254,92381,524682
FORMULA SafeBus-COL-03-CTLFireability-13 TRUE TECHNIQUES DECISION_DIAGRAMS TOPOLOGICAL USE_NUPN
Formula is TRUE !

***************************************

original formula: !(AF(!(EF(((((It0.wait_msg_0>=1)&&(It0.MSG_0>=1))||((It1.wait_msg_1>=1)&&(It1.MSG_1>=1)))||((It2.wait_msg_2>=1)&&(It2.MSG_2>=1)))))))
=> equivalent forward existential formula: [FwdG(Init,!(!(E(TRUE U ((((It0.wait_msg_0>=1)&&(It0.MSG_0>=1))||((It1.wait_msg_1>=1)&&(It1.MSG_1>=1)))||((It2.wait_msg_2>=1)&&(It2.MSG_2>=1)))))))] != FALSE
(forward)formula 14,1,2.7487,94544,1,0,179651,14686,1798,168466,254,92558,534883
FORMULA SafeBus-COL-03-CTLFireability-14 TRUE TECHNIQUES DECISION_DIAGRAMS TOPOLOGICAL USE_NUPN
Formula is TRUE !

***************************************

original formula: !(((AF(((((((((((Dom0.wait_ack_0>=1)&&(T_out.T_out_0>=1))||((Dom1.wait_ack_1>=1)&&(T_out.T_out_0>=1)))||((Dom2.wait_ack_2>=1)&&(T_out.T_out_0>=1)))||((Dom3.wait_ack_3>=1)&&(T_out.T_out_0>=1)))||((Dom4.wait_ack_4>=1)&&(T_out.T_out_0>=1)))||((Dom5.wait_ack_5>=1)&&(T_out.T_out_0>=1)))||((Dom6.wait_ack_6>=1)&&(T_out.T_out_0>=1)))||((Dom7.wait_ack_7>=1)&&(T_out.T_out_0>=1)))||((Dom8.wait_ack_8>=1)&&(T_out.T_out_0>=1)))) + (((((It0.MSG_0>=1)&&(R_tout.R_tout_0>=1))||((It1.MSG_1>=1)&&(R_tout.R_tout_0>=1)))||((It2.MSG_2>=1)&&(R_tout.R_tout_0>=1)))&&((((It0.cable_used_0>=1)&&(It0.FMC_0>=1))||((It1.cable_used_1>=1)&&(It1.FMC_1>=1)))||((It2.cable_used_2>=1)&&(It2.FMC_2>=1))))) + ((((((((((It0.Cpt1_0>=1)&&(It0.loop_em_0>=1))||((It1.Cpt1_1>=1)&&(It0.loop_em_0>=1)))||((It2.Cpt1_2>=1)&&(It0.loop_em_0>=1)))||((It0.Cpt1_0>=1)&&(It1.loop_em_1>=1)))||((It1.Cpt1_1>=1)&&(It1.loop_em_1>=1)))||((It2.Cpt1_2>=1)&&(It1.loop_em_1>=1)))||((It0.Cpt1_0>=1)&&(It2.loop_em_2>=1)))||((It1.Cpt1_1>=1)&&(It2.loop_em_2>=1)))||((It2.Cpt1_2>=1)&&(It2.loop_em_2>=1)))))
=> equivalent forward existential formula: [FwdG(((Init * !(((((((((((It0.Cpt1_0>=1)&&(It0.loop_em_0>=1))||((It1.Cpt1_1>=1)&&(It0.loop_em_0>=1)))||((It2.Cpt1_2>=1)&&(It0.loop_em_0>=1)))||((It0.Cpt1_0>=1)&&(It1.loop_em_1>=1)))||((It1.Cpt1_1>=1)&&(It1.loop_em_1>=1)))||((It2.Cpt1_2>=1)&&(It1.loop_em_1>=1)))||((It0.Cpt1_0>=1)&&(It2.loop_em_2>=1)))||((It1.Cpt1_1>=1)&&(It2.loop_em_2>=1)))||((It2.Cpt1_2>=1)&&(It2.loop_em_2>=1))))) * !((((((It0.MSG_0>=1)&&(R_tout.R_tout_0>=1))||((It1.MSG_1>=1)&&(R_tout.R_tout_0>=1)))||((It2.MSG_2>=1)&&(R_tout.R_tout_0>=1)))&&((((It0.cable_used_0>=1)&&(It0.FMC_0>=1))||((It1.cable_used_1>=1)&&(It1.FMC_1>=1)))||((It2.cable_used_2>=1)&&(It2.FMC_2>=1)))))),!(((((((((((Dom0.wait_ack_0>=1)&&(T_out.T_out_0>=1))||((Dom1.wait_ack_1>=1)&&(T_out.T_out_0>=1)))||((Dom2.wait_ack_2>=1)&&(T_out.T_out_0>=1)))||((Dom3.wait_ack_3>=1)&&(T_out.T_out_0>=1)))||((Dom4.wait_ack_4>=1)&&(T_out.T_out_0>=1)))||((Dom5.wait_ack_5>=1)&&(T_out.T_out_0>=1)))||((Dom6.wait_ack_6>=1)&&(T_out.T_out_0>=1)))||((Dom7.wait_ack_7>=1)&&(T_out.T_out_0>=1)))||((Dom8.wait_ack_8>=1)&&(T_out.T_out_0>=1)))))] != FALSE
(forward)formula 15,1,2.75468,94808,1,0,179651,14686,1801,168466,254,92558,535351
FORMULA SafeBus-COL-03-CTLFireability-15 TRUE TECHNIQUES DECISION_DIAGRAMS TOPOLOGICAL USE_NUPN
Formula is TRUE !

***************************************


BK_STOP 1527023372146

--------------------
content from stderr:

+ export BINDIR=/home/mcc/BenchKit/
+ BINDIR=/home/mcc/BenchKit/
++ pwd
+ export MODEL=/home/mcc/execution
+ MODEL=/home/mcc/execution
+ /home/mcc/BenchKit//runeclipse.sh /home/mcc/execution CTLFireability -its -ltsminpath /home/mcc/BenchKit//lts_install_dir/ -smt
+ ulimit -s 65536
+ [[ -z '' ]]
+ export LTSMIN_MEM_SIZE=8589934592
+ LTSMIN_MEM_SIZE=8589934592
+ /home/mcc/BenchKit//itstools/its-tools -consoleLog -data /home/mcc/execution/workspace -pnfolder /home/mcc/execution -examination CTLFireability -z3path /home/mcc/BenchKit//z3/bin/z3 -yices2path /home/mcc/BenchKit//yices/bin/yices -its -ltsminpath /home/mcc/BenchKit//lts_install_dir/ -smt -vmargs -Dosgi.locking=none -Declipse.stateSaveDelayInterval=-1 -Dosgi.configuration.area=/tmp/.eclipse -Xss8m -Xms40m -Xmx8192m -Dfile.encoding=UTF-8 -Dosgi.requiredJavaVersion=1.6
May 22, 2018 9:09:25 PM fr.lip6.move.gal.application.Application start
INFO: Running its-tools with arguments : [-pnfolder, /home/mcc/execution, -examination, CTLFireability, -z3path, /home/mcc/BenchKit//z3/bin/z3, -yices2path, /home/mcc/BenchKit//yices/bin/yices, -its, -ltsminpath, /home/mcc/BenchKit//lts_install_dir/, -smt]
May 22, 2018 9:09:25 PM fr.lip6.move.gal.application.MccTranslator transformPNML
INFO: Parsing pnml file : /home/mcc/execution/model.pnml
May 22, 2018 9:09:25 PM fr.lip6.move.gal.pnml.togal.PnmlToGalTransformer transform
INFO: Detected file is not PT type :http://www.pnml.org/version-2009/grammar/symmetricnet
May 22, 2018 9:09:26 PM fr.lip6.move.gal.pnml.togal.PnmlToGalTransformer transform
INFO: Load time of PNML (colored model parsed with PNMLFW) : 1016 ms
May 22, 2018 9:09:26 PM fr.lip6.move.gal.pnml.togal.HLGALTransformer handlePage
INFO: Transformed 20 places.
May 22, 2018 9:09:26 PM fr.lip6.move.gal.pnml.togal.HLGALTransformer handlePage
INFO: Computed order using colors.
May 22, 2018 9:09:26 PM fr.lip6.move.gal.pnml.togal.HLGALTransformer handlePage
INFO: sort/places :Dom->AMC,wait_ack,
Dot->cable_free,ACK,T_out,R_tout,S_tout,FMCb,
It->Cpt2,Cpt1,msgl,cable_used,FMC,wait_cable,listen,RMC,PMC,MSG,wait_msg,loop_em,

May 22, 2018 9:09:27 PM fr.lip6.move.gal.pnml.togal.HLGALTransformer handlePage
INFO: Transformed 14 transitions.
May 22, 2018 9:09:27 PM fr.lip6.move.gal.pnml.togal.PnmlToGalTransformer transform
INFO: Computed order based on color domains.
May 22, 2018 9:09:27 PM fr.lip6.move.serialization.SerializationUtil systemToFile
INFO: Time to serialize gal into /home/mcc/execution/model.pnml.img.gal : 11 ms
May 22, 2018 9:09:27 PM fr.lip6.move.gal.application.MccTranslator applyOrder
INFO: Applying decomposition
May 22, 2018 9:09:27 PM fr.lip6.move.gal.instantiate.CompositeBuilder decomposeWithOrder
INFO: Decomposing Gal with order
May 22, 2018 9:09:27 PM fr.lip6.move.gal.instantiate.Instantiator fuseEqualParameters
INFO: Fused parameters : $x and $y of transition C_refuse
May 22, 2018 9:09:27 PM fr.lip6.move.gal.instantiate.Instantiator fuseEqualParameters
INFO: Fused parameters : $i and $j of transition C_free
May 22, 2018 9:09:27 PM fr.lip6.move.gal.instantiate.Instantiator fuseEqualParameters
INFO: Fused parameters : $x and $y of transition C_provide
May 22, 2018 9:09:27 PM fr.lip6.move.gal.instantiate.Instantiator fuseEqualParameters
INFO: Fused parameters : $r and $i of transition I_rec1
May 22, 2018 9:09:27 PM fr.lip6.move.gal.instantiate.Instantiator fuseEqualParameters
INFO: Fused parameters : $i and $j of transition I_emit
May 22, 2018 9:09:27 PM fr.lip6.move.gal.instantiate.Instantiator fuseEqualParameters
INFO: Fused parameters : $i and $j of transition I_refused
May 22, 2018 9:09:27 PM fr.lip6.move.gal.instantiate.Instantiator fuseEqualParameters
INFO: Fused parameters : $r and $i of transition I_rec2
May 22, 2018 9:09:27 PM fr.lip6.move.gal.instantiate.DomainAnalyzer computeVariableDomains
INFO: Found a total of 1 fixed domain variables (out of 60 variables) in GAL type Document
May 22, 2018 9:09:27 PM fr.lip6.move.gal.instantiate.Simplifier printConstantVars
INFO: Found a total of 3 constant array cells/variables (out of 60 variables) in type Document
May 22, 2018 9:09:27 PM fr.lip6.move.gal.instantiate.Simplifier printConstantVars
INFO: msgl[0-2],
May 22, 2018 9:09:27 PM fr.lip6.move.gal.instantiate.Instantiator instantiateParameters
INFO: On-the-fly reduction of False transitions avoided exploring 3.0 instantiations of transitions. Total transitions/syncs built is 112
May 22, 2018 9:09:27 PM fr.lip6.move.gal.instantiate.DomainAnalyzer computeVariableDomains
INFO: Found a total of 1 fixed domain variables (out of 60 variables) in GAL type Document
May 22, 2018 9:09:27 PM fr.lip6.move.gal.instantiate.Simplifier printConstantVars
INFO: Found a total of 3 constant array cells/variables (out of 60 variables) in type Document
May 22, 2018 9:09:27 PM fr.lip6.move.gal.instantiate.Simplifier printConstantVars
INFO: msgl[0-2],
May 22, 2018 9:09:27 PM fr.lip6.move.gal.instantiate.Simplifier simplifyConstantVariables
INFO: Removed constant array :msgl[]
May 22, 2018 9:09:27 PM fr.lip6.move.gal.instantiate.Simplifier simplifyConstantVariables
INFO: Simplified 12 expressions due to constant valuations.
May 22, 2018 9:09:27 PM fr.lip6.move.gal.instantiate.GALRewriter flatten
INFO: Flatten gal took : 82 ms
May 22, 2018 9:09:27 PM fr.lip6.move.gal.instantiate.CompositeBuilder rewriteArraysToAllowPartition
INFO: Rewriting arrays Cpt2, Cpt1, cable_used, FMC, AMC, wait_ack, wait_cable, listen, RMC, PMC, MSG, wait_msg, loop_em to variables to allow decomposition.
May 22, 2018 9:09:27 PM fr.lip6.move.gal.instantiate.Instantiator fuseIsomorphicEffects
INFO: Removed a total of 72 redundant transitions.
May 22, 2018 9:09:28 PM fr.lip6.move.serialization.SerializationUtil systemToFile
INFO: Time to serialize gal into /home/mcc/execution/CTLFireability.pnml.gal : 5 ms
May 22, 2018 9:09:28 PM fr.lip6.move.serialization.SerializationUtil serializePropertiesForITSCTLTools
INFO: Time to serialize properties into /home/mcc/execution/CTLFireability.ctl : 10 ms

Sequence of Actions to be Executed by the VM

This is useful if one wants to reexecute the tool in the VM from the submitted image disk.

set -x
# this is for BenchKit: configuration of major elements for the test
export BK_INPUT="SafeBus-COL-03"
export BK_EXAMINATION="CTLFireability"
export BK_TOOL="itstools"
export BK_RESULT_DIR="/tmp/BK_RESULTS/OUTPUTS"
export BK_TIME_CONFINEMENT="3600"
export BK_MEMORY_CONFINEMENT="16384"

# this is specific to your benchmark or test

export BIN_DIR="$HOME/BenchKit/bin"

# remove the execution directoty if it exists (to avoid increse of .vmdk images)
if [ -d execution ] ; then
rm -rf execution
fi

tar xzf /home/mcc/BenchKit/INPUTS/SafeBus-COL-03.tgz
mv SafeBus-COL-03 execution
cd execution
pwd
ls -lh

# this is for BenchKit: explicit launching of the test
echo "====================================================================="
echo " Generated by BenchKit 2-3637"
echo " Executing tool itstools"
echo " Input is SafeBus-COL-03, examination is CTLFireability"
echo " Time confinement is $BK_TIME_CONFINEMENT seconds"
echo " Memory confinement is 16384 MBytes"
echo " Number of cores is 4"
echo " Run identifier is r152-smll-152685550300179"
echo "====================================================================="
echo
echo "--------------------"
echo "content from stdout:"
echo
echo "=== Data for post analysis generated by BenchKit (invocation template)"
echo
if [ "CTLFireability" = "UpperBounds" ] ; then
echo "The expected result is a vector of positive values"
echo NUM_VECTOR
elif [ "CTLFireability" != "StateSpace" ] ; then
echo "The expected result is a vector of booleans"
echo BOOL_VECTOR
else
echo "no data necessary for post analysis"
fi
echo
if [ -f "CTLFireability.txt" ] ; then
echo "here is the order used to build the result vector(from text file)"
for x in $(grep Property CTLFireability.txt | cut -d ' ' -f 2 | sort -u) ; do
echo "FORMULA_NAME $x"
done
elif [ -f "CTLFireability.xml" ] ; then # for cunf (txt files deleted;-)
echo echo "here is the order used to build the result vector(from xml file)"
for x in $(grep '' CTLFireability.xml | cut -d '>' -f 2 | cut -d '<' -f 1 | sort -u) ; do
echo "FORMULA_NAME $x"
done
fi
echo
echo "=== Now, execution of the tool begins"
echo
echo -n "BK_START "
date -u +%s%3N
echo
timeout -s 9 $BK_TIME_CONFINEMENT bash -c "/home/mcc/BenchKit/BenchKit_head.sh 2> STDERR ; echo ; echo -n \"BK_STOP \" ; date -u +%s%3N"
if [ $? -eq 137 ] ; then
echo
echo "BK_TIME_CONFINEMENT_REACHED"
fi
echo
echo "--------------------"
echo "content from stderr:"
echo
cat STDERR ;