fond
Model Checking Contest 2018
8th edition, Bratislava, Slovakia, June 26, 2018
Execution of r128-smll-152673530200084
Last Updated
June 26, 2018

About the Execution of ITS-Tools for PolyORBLF-COL-S04J06T08

Execution Summary
Max Memory
Used (MB)
Time wait (ms) CPU Usage (ms) I/O Wait (ms) Computed Result Execution
Status
15754.680 3600000.00 8268555.00 8237.40 ????F????F?T???T normal

Execution Chart

We display below the execution chart for this examination (boot time has been removed).

Trace from the execution

Waiting for the VM to be ready (probing ssh)
.........................
/home/mcc/execution
total 324K
-rw-r--r-- 1 mcc users 3.8K May 15 18:54 CTLCardinality.txt
-rw-r--r-- 1 mcc users 19K May 15 18:54 CTLCardinality.xml
-rw-r--r-- 1 mcc users 3.1K May 15 18:54 CTLFireability.txt
-rw-r--r-- 1 mcc users 19K May 15 18:54 CTLFireability.xml
-rw-r--r-- 1 mcc users 4.0K May 15 18:50 GenericPropertiesDefinition.xml
-rw-r--r-- 1 mcc users 5.9K May 15 18:50 GenericPropertiesVerdict.xml
-rw-r--r-- 1 mcc users 3.0K May 15 18:54 LTLCardinality.txt
-rw-r--r-- 1 mcc users 13K May 15 18:54 LTLCardinality.xml
-rw-r--r-- 1 mcc users 2.2K May 15 18:54 LTLFireability.txt
-rw-r--r-- 1 mcc users 11K May 15 18:54 LTLFireability.xml
-rw-r--r-- 1 mcc users 3.9K May 15 18:54 ReachabilityCardinality.txt
-rw-r--r-- 1 mcc users 17K May 15 18:54 ReachabilityCardinality.xml
-rw-r--r-- 1 mcc users 114 May 15 18:54 ReachabilityDeadlock.txt
-rw-r--r-- 1 mcc users 352 May 15 18:54 ReachabilityDeadlock.xml
-rw-r--r-- 1 mcc users 2.7K May 15 18:54 ReachabilityFireability.txt
-rw-r--r-- 1 mcc users 13K May 15 18:54 ReachabilityFireability.xml
-rw-r--r-- 1 mcc users 1.8K May 15 18:54 UpperBounds.txt
-rw-r--r-- 1 mcc users 3.9K May 15 18:54 UpperBounds.xml
-rw-r--r-- 1 mcc users 5 May 15 18:50 equiv_pt
-rw-r--r-- 1 mcc users 10 May 15 18:50 instance
-rw-r--r-- 1 mcc users 5 May 15 18:50 iscolored
-rw-r--r-- 1 mcc users 154K May 15 18:50 model.pnml
=====================================================================
Generated by BenchKit 2-3637
Executing tool itstools
Input is PolyORBLF-COL-S04J06T08, examination is ReachabilityFireability
Time confinement is 3600 seconds
Memory confinement is 16384 MBytes
Number of cores is 4
Run identifier is r128-smll-152673530200084
=====================================================================


--------------------
content from stdout:

=== Data for post analysis generated by BenchKit (invocation template)

The expected result is a vector of booleans
BOOL_VECTOR

here is the order used to build the result vector(from text file)
FORMULA_NAME PolyORBLF-COL-S04J06T08-ReachabilityFireability-00
FORMULA_NAME PolyORBLF-COL-S04J06T08-ReachabilityFireability-01
FORMULA_NAME PolyORBLF-COL-S04J06T08-ReachabilityFireability-02
FORMULA_NAME PolyORBLF-COL-S04J06T08-ReachabilityFireability-03
FORMULA_NAME PolyORBLF-COL-S04J06T08-ReachabilityFireability-04
FORMULA_NAME PolyORBLF-COL-S04J06T08-ReachabilityFireability-05
FORMULA_NAME PolyORBLF-COL-S04J06T08-ReachabilityFireability-06
FORMULA_NAME PolyORBLF-COL-S04J06T08-ReachabilityFireability-07
FORMULA_NAME PolyORBLF-COL-S04J06T08-ReachabilityFireability-08
FORMULA_NAME PolyORBLF-COL-S04J06T08-ReachabilityFireability-09
FORMULA_NAME PolyORBLF-COL-S04J06T08-ReachabilityFireability-10
FORMULA_NAME PolyORBLF-COL-S04J06T08-ReachabilityFireability-11
FORMULA_NAME PolyORBLF-COL-S04J06T08-ReachabilityFireability-12
FORMULA_NAME PolyORBLF-COL-S04J06T08-ReachabilityFireability-13
FORMULA_NAME PolyORBLF-COL-S04J06T08-ReachabilityFireability-14
FORMULA_NAME PolyORBLF-COL-S04J06T08-ReachabilityFireability-15

=== Now, execution of the tool begins

BK_START 1526868039795

02:00:43.378 [main] ERROR PNML validation - The rng grammar file can't be accessed : www.pnml.org
02:00:43.382 [main] ERROR import - Grammar file errors have been raised, the validation can't be done, process will continue without Grammar validation
Using solver Z3 to compute partial order matrices.
Built C files in :
/home/mcc/execution
Running compilation step : CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit//lts_install_dir//include, -I., -std=c99, -fPIC, -O3, model.c], workingDir=/home/mcc/execution]
Invoking ITS tools like this :CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.201805151631/bin/its-reach-linux64, --gc-threshold, 2000000, --quiet, -i, /home/mcc/execution/ReachabilityFireability.pnml.gal, -t, CGAL, -reachable-file, ReachabilityFireability.prop, --nowitness], workingDir=/home/mcc/execution]

its-reach command run as :

/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.201805151631/bin/its-reach-linux64 --gc-threshold 2000000 --quiet -i /home/mcc/execution/ReachabilityFireability.pnml.gal -t CGAL -reachable-file ReachabilityFireability.prop --nowitness
Loading property file ReachabilityFireability.prop.
Read [reachable] property : PolyORBLF-COL-S04J06T08-ReachabilityFireability-00 with value :(((((((((Threads0.TryAllocateOneTaskE_0>=1)&&(Threads0.P_2321_0>=1))||((Threads1.TryAllocateOneTaskE_1>=1)&&(Threads1.P_2321_1>=1)))||((Threads2.TryAllocateOneTaskE_2>=1)&&(Threads2.P_2321_2>=1)))||((Threads3.TryAllocateOneTaskE_3>=1)&&(Threads3.P_2321_3>=1)))||((Threads4.TryAllocateOneTaskE_4>=1)&&(Threads4.P_2321_4>=1)))||((Threads5.TryAllocateOneTaskE_5>=1)&&(Threads5.P_2321_5>=1)))||((Threads6.TryAllocateOneTaskE_6>=1)&&(Threads6.P_2321_6>=1)))||((Threads7.TryAllocateOneTaskE_7>=1)&&(Threads7.P_2321_7>=1)))
Read [reachable] property : PolyORBLF-COL-S04J06T08-ReachabilityFireability-01 with value :(((((((((Threads0.Abort_Check_Sources_B_0>=1)&&(SigAbort.SigAbort_0>=1))||((Threads1.Abort_Check_Sources_B_1>=1)&&(SigAbort.SigAbort_0>=1)))||((Threads2.Abort_Check_Sources_B_2>=1)&&(SigAbort.SigAbort_0>=1)))||((Threads3.Abort_Check_Sources_B_3>=1)&&(SigAbort.SigAbort_0>=1)))||((Threads4.Abort_Check_Sources_B_4>=1)&&(SigAbort.SigAbort_0>=1)))||((Threads5.Abort_Check_Sources_B_5>=1)&&(SigAbort.SigAbort_0>=1)))||((Threads6.Abort_Check_Sources_B_6>=1)&&(SigAbort.SigAbort_0>=1)))||((Threads7.Abort_Check_Sources_B_7>=1)&&(SigAbort.SigAbort_0>=1)))
Read [reachable] property : PolyORBLF-COL-S04J06T08-ReachabilityFireability-02 with value :(((((((((((Threads0.P_1617_0>=1)&&(cIdleTasks.cIdleTasks_0>=1))&&(cJobCnt.cJobCnt_0>=10))||(((Threads1.P_1617_1>=1)&&(cIdleTasks.cIdleTasks_0>=1))&&(cJobCnt.cJobCnt_0>=10)))||(((Threads2.P_1617_2>=1)&&(cIdleTasks.cIdleTasks_0>=1))&&(cJobCnt.cJobCnt_0>=10)))||(((Threads3.P_1617_3>=1)&&(cIdleTasks.cIdleTasks_0>=1))&&(cJobCnt.cJobCnt_0>=10)))||(((Threads4.P_1617_4>=1)&&(cIdleTasks.cIdleTasks_0>=1))&&(cJobCnt.cJobCnt_0>=10)))||(((Threads5.P_1617_5>=1)&&(cIdleTasks.cIdleTasks_0>=1))&&(cJobCnt.cJobCnt_0>=10)))||(((Threads6.P_1617_6>=1)&&(cIdleTasks.cIdleTasks_0>=1))&&(cJobCnt.cJobCnt_0>=10)))||(((Threads7.P_1617_7>=1)&&(cIdleTasks.cIdleTasks_0>=1))&&(cJobCnt.cJobCnt_0>=10)))||(((((((((Threads0.P_1617_0>=1)&&(JobCnt.JobCnt_0>=1))||((Threads1.P_1617_1>=1)&&(JobCnt.JobCnt_0>=1)))||((Threads2.P_1617_2>=1)&&(JobCnt.JobCnt_0>=1)))||((Threads3.P_1617_3>=1)&&(JobCnt.JobCnt_0>=1)))||((Threads4.P_1617_4>=1)&&(JobCnt.JobCnt_0>=1)))||((Threads5.P_1617_5>=1)&&(JobCnt.JobCnt_0>=1)))||((Threads6.P_1617_6>=1)&&(JobCnt.JobCnt_0>=1)))||((Threads7.P_1617_7>=1)&&(JobCnt.JobCnt_0>=1))))
Read [invariant] property : PolyORBLF-COL-S04J06T08-ReachabilityFireability-03 with value :(!((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((D60.CreatedJobs_0>=1)&&(D10.ScheduleTaskE_Work_0>=1))||((D60.CreatedJobs_0>=1)&&(D17.ScheduleTaskE_Work_7>=1)))||((D60.CreatedJobs_0>=1)&&(D114.ScheduleTaskE_Work_14>=1)))||((D60.CreatedJobs_0>=1)&&(D121.ScheduleTaskE_Work_21>=1)))||((D60.CreatedJobs_0>=1)&&(D128.ScheduleTaskE_Work_28>=1)))||((D60.CreatedJobs_0>=1)&&(D135.ScheduleTaskE_Work_35>=1)))||((D60.CreatedJobs_0>=1)&&(D142.ScheduleTaskE_Work_42>=1)))||((D60.CreatedJobs_0>=1)&&(D149.ScheduleTaskE_Work_49>=1)))||((D64.CreatedJobs_4>=1)&&(D11.ScheduleTaskE_Work_1>=1)))||((D64.CreatedJobs_4>=1)&&(D18.ScheduleTaskE_Work_8>=1)))||((D64.CreatedJobs_4>=1)&&(D115.ScheduleTaskE_Work_15>=1)))||((D64.CreatedJobs_4>=1)&&(D122.ScheduleTaskE_Work_22>=1)))||((D64.CreatedJobs_4>=1)&&(D129.ScheduleTaskE_Work_29>=1)))||((D64.CreatedJobs_4>=1)&&(D136.ScheduleTaskE_Work_36>=1)))||((D64.CreatedJobs_4>=1)&&(D143.ScheduleTaskE_Work_43>=1)))||((D64.CreatedJobs_4>=1)&&(D150.ScheduleTaskE_Work_50>=1)))||((D68.CreatedJobs_8>=1)&&(D12.ScheduleTaskE_Work_2>=1)))||((D68.CreatedJobs_8>=1)&&(D19.ScheduleTaskE_Work_9>=1)))||((D68.CreatedJobs_8>=1)&&(D116.ScheduleTaskE_Work_16>=1)))||((D68.CreatedJobs_8>=1)&&(D123.ScheduleTaskE_Work_23>=1)))||((D68.CreatedJobs_8>=1)&&(D130.ScheduleTaskE_Work_30>=1)))||((D68.CreatedJobs_8>=1)&&(D137.ScheduleTaskE_Work_37>=1)))||((D68.CreatedJobs_8>=1)&&(D144.ScheduleTaskE_Work_44>=1)))||((D68.CreatedJobs_8>=1)&&(D151.ScheduleTaskE_Work_51>=1)))||((D612.CreatedJobs_12>=1)&&(D13.ScheduleTaskE_Work_3>=1)))||((D612.CreatedJobs_12>=1)&&(D110.ScheduleTaskE_Work_10>=1)))||((D612.CreatedJobs_12>=1)&&(D117.ScheduleTaskE_Work_17>=1)))||((D612.CreatedJobs_12>=1)&&(D124.ScheduleTaskE_Work_24>=1)))||((D612.CreatedJobs_12>=1)&&(D131.ScheduleTaskE_Work_31>=1)))||((D612.CreatedJobs_12>=1)&&(D138.ScheduleTaskE_Work_38>=1)))||((D612.CreatedJobs_12>=1)&&(D145.ScheduleTaskE_Work_45>=1)))||((D612.CreatedJobs_12>=1)&&(D152.ScheduleTaskE_Work_52>=1)))||((D616.CreatedJobs_16>=1)&&(D14.ScheduleTaskE_Work_4>=1)))||((D616.CreatedJobs_16>=1)&&(D111.ScheduleTaskE_Work_11>=1)))||((D616.CreatedJobs_16>=1)&&(D118.ScheduleTaskE_Work_18>=1)))||((D616.CreatedJobs_16>=1)&&(D125.ScheduleTaskE_Work_25>=1)))||((D616.CreatedJobs_16>=1)&&(D132.ScheduleTaskE_Work_32>=1)))||((D616.CreatedJobs_16>=1)&&(D139.ScheduleTaskE_Work_39>=1)))||((D616.CreatedJobs_16>=1)&&(D146.ScheduleTaskE_Work_46>=1)))||((D616.CreatedJobs_16>=1)&&(D153.ScheduleTaskE_Work_53>=1)))||((D620.CreatedJobs_20>=1)&&(D15.ScheduleTaskE_Work_5>=1)))||((D620.CreatedJobs_20>=1)&&(D112.ScheduleTaskE_Work_12>=1)))||((D620.CreatedJobs_20>=1)&&(D119.ScheduleTaskE_Work_19>=1)))||((D620.CreatedJobs_20>=1)&&(D126.ScheduleTaskE_Work_26>=1)))||((D620.CreatedJobs_20>=1)&&(D133.ScheduleTaskE_Work_33>=1)))||((D620.CreatedJobs_20>=1)&&(D140.ScheduleTaskE_Work_40>=1)))||((D620.CreatedJobs_20>=1)&&(D147.ScheduleTaskE_Work_47>=1)))||((D620.CreatedJobs_20>=1)&&(D154.ScheduleTaskE_Work_54>=1)))||((D624.CreatedJobs_24>=1)&&(D16.ScheduleTaskE_Work_6>=1)))||((D624.CreatedJobs_24>=1)&&(D113.ScheduleTaskE_Work_13>=1)))||((D624.CreatedJobs_24>=1)&&(D120.ScheduleTaskE_Work_20>=1)))||((D624.CreatedJobs_24>=1)&&(D127.ScheduleTaskE_Work_27>=1)))||((D624.CreatedJobs_24>=1)&&(D134.ScheduleTaskE_Work_34>=1)))||((D624.CreatedJobs_24>=1)&&(D141.ScheduleTaskE_Work_41>=1)))||((D624.CreatedJobs_24>=1)&&(D148.ScheduleTaskE_Work_48>=1)))||((D624.CreatedJobs_24>=1)&&(D155.ScheduleTaskE_Work_55>=1)))||((D61.CreatedJobs_1>=1)&&(D10.ScheduleTaskE_Work_0>=1)))||((D61.CreatedJobs_1>=1)&&(D17.ScheduleTaskE_Work_7>=1)))||((D61.CreatedJobs_1>=1)&&(D114.ScheduleTaskE_Work_14>=1)))||((D61.CreatedJobs_1>=1)&&(D121.ScheduleTaskE_Work_21>=1)))||((D61.CreatedJobs_1>=1)&&(D128.ScheduleTaskE_Work_28>=1)))||((D61.CreatedJobs_1>=1)&&(D135.ScheduleTaskE_Work_35>=1)))||((D61.CreatedJobs_1>=1)&&(D142.ScheduleTaskE_Work_42>=1)))||((D61.CreatedJobs_1>=1)&&(D149.ScheduleTaskE_Work_49>=1)))||((D65.CreatedJobs_5>=1)&&(D11.ScheduleTaskE_Work_1>=1)))||((D65.CreatedJobs_5>=1)&&(D18.ScheduleTaskE_Work_8>=1)))||((D65.CreatedJobs_5>=1)&&(D115.ScheduleTaskE_Work_15>=1)))||((D65.CreatedJobs_5>=1)&&(D122.ScheduleTaskE_Work_22>=1)))||((D65.CreatedJobs_5>=1)&&(D129.ScheduleTaskE_Work_29>=1)))||((D65.CreatedJobs_5>=1)&&(D136.ScheduleTaskE_Work_36>=1)))||((D65.CreatedJobs_5>=1)&&(D143.ScheduleTaskE_Work_43>=1)))||((D65.CreatedJobs_5>=1)&&(D150.ScheduleTaskE_Work_50>=1)))||((D69.CreatedJobs_9>=1)&&(D12.ScheduleTaskE_Work_2>=1)))||((D69.CreatedJobs_9>=1)&&(D19.ScheduleTaskE_Work_9>=1)))||((D69.CreatedJobs_9>=1)&&(D116.ScheduleTaskE_Work_16>=1)))||((D69.CreatedJobs_9>=1)&&(D123.ScheduleTaskE_Work_23>=1)))||((D69.CreatedJobs_9>=1)&&(D130.ScheduleTaskE_Work_30>=1)))||((D69.CreatedJobs_9>=1)&&(D137.ScheduleTaskE_Work_37>=1)))||((D69.CreatedJobs_9>=1)&&(D144.ScheduleTaskE_Work_44>=1)))||((D69.CreatedJobs_9>=1)&&(D151.ScheduleTaskE_Work_51>=1)))||((D613.CreatedJobs_13>=1)&&(D13.ScheduleTaskE_Work_3>=1)))||((D613.CreatedJobs_13>=1)&&(D110.ScheduleTaskE_Work_10>=1)))||((D613.CreatedJobs_13>=1)&&(D117.ScheduleTaskE_Work_17>=1)))||((D613.CreatedJobs_13>=1)&&(D124.ScheduleTaskE_Work_24>=1)))||((D613.CreatedJobs_13>=1)&&(D131.ScheduleTaskE_Work_31>=1)))||((D613.CreatedJobs_13>=1)&&(D138.ScheduleTaskE_Work_38>=1)))||((D613.CreatedJobs_13>=1)&&(D145.ScheduleTaskE_Work_45>=1)))||((D613.CreatedJobs_13>=1)&&(D152.ScheduleTaskE_Work_52>=1)))||((D617.CreatedJobs_17>=1)&&(D14.ScheduleTaskE_Work_4>=1)))||((D617.CreatedJobs_17>=1)&&(D111.ScheduleTaskE_Work_11>=1)))||((D617.CreatedJobs_17>=1)&&(D118.ScheduleTaskE_Work_18>=1)))||((D617.CreatedJobs_17>=1)&&(D125.ScheduleTaskE_Work_25>=1)))||((D617.CreatedJobs_17>=1)&&(D132.ScheduleTaskE_Work_32>=1)))||((D617.CreatedJobs_17>=1)&&(D139.ScheduleTaskE_Work_39>=1)))||((D617.CreatedJobs_17>=1)&&(D146.ScheduleTaskE_Work_46>=1)))||((D617.CreatedJobs_17>=1)&&(D153.ScheduleTaskE_Work_53>=1)))||((D621.CreatedJobs_21>=1)&&(D15.ScheduleTaskE_Work_5>=1)))||((D621.CreatedJobs_21>=1)&&(D112.ScheduleTaskE_Work_12>=1)))||((D621.CreatedJobs_21>=1)&&(D119.ScheduleTaskE_Work_19>=1)))||((D621.CreatedJobs_21>=1)&&(D126.ScheduleTaskE_Work_26>=1)))||((D621.CreatedJobs_21>=1)&&(D133.ScheduleTaskE_Work_33>=1)))||((D621.CreatedJobs_21>=1)&&(D140.ScheduleTaskE_Work_40>=1)))||((D621.CreatedJobs_21>=1)&&(D147.ScheduleTaskE_Work_47>=1)))||((D621.CreatedJobs_21>=1)&&(D154.ScheduleTaskE_Work_54>=1)))||((D625.CreatedJobs_25>=1)&&(D16.ScheduleTaskE_Work_6>=1)))||((D625.CreatedJobs_25>=1)&&(D113.ScheduleTaskE_Work_13>=1)))||((D625.CreatedJobs_25>=1)&&(D120.ScheduleTaskE_Work_20>=1)))||((D625.CreatedJobs_25>=1)&&(D127.ScheduleTaskE_Work_27>=1)))||((D625.CreatedJobs_25>=1)&&(D134.ScheduleTaskE_Work_34>=1)))||((D625.CreatedJobs_25>=1)&&(D141.ScheduleTaskE_Work_41>=1)))||((D625.CreatedJobs_25>=1)&&(D148.ScheduleTaskE_Work_48>=1)))||((D625.CreatedJobs_25>=1)&&(D155.ScheduleTaskE_Work_55>=1)))||((D62.CreatedJobs_2>=1)&&(D10.ScheduleTaskE_Work_0>=1)))||((D62.CreatedJobs_2>=1)&&(D17.ScheduleTaskE_Work_7>=1)))||((D62.CreatedJobs_2>=1)&&(D114.ScheduleTaskE_Work_14>=1)))||((D62.CreatedJobs_2>=1)&&(D121.ScheduleTaskE_Work_21>=1)))||((D62.CreatedJobs_2>=1)&&(D128.ScheduleTaskE_Work_28>=1)))||((D62.CreatedJobs_2>=1)&&(D135.ScheduleTaskE_Work_35>=1)))||((D62.CreatedJobs_2>=1)&&(D142.ScheduleTaskE_Work_42>=1)))||((D62.CreatedJobs_2>=1)&&(D149.ScheduleTaskE_Work_49>=1)))||((D66.CreatedJobs_6>=1)&&(D11.ScheduleTaskE_Work_1>=1)))||((D66.CreatedJobs_6>=1)&&(D18.ScheduleTaskE_Work_8>=1)))||((D66.CreatedJobs_6>=1)&&(D115.ScheduleTaskE_Work_15>=1)))||((D66.CreatedJobs_6>=1)&&(D122.ScheduleTaskE_Work_22>=1)))||((D66.CreatedJobs_6>=1)&&(D129.ScheduleTaskE_Work_29>=1)))||((D66.CreatedJobs_6>=1)&&(D136.ScheduleTaskE_Work_36>=1)))||((D66.CreatedJobs_6>=1)&&(D143.ScheduleTaskE_Work_43>=1)))||((D66.CreatedJobs_6>=1)&&(D150.ScheduleTaskE_Work_50>=1)))||((D610.CreatedJobs_10>=1)&&(D12.ScheduleTaskE_Work_2>=1)))||((D610.CreatedJobs_10>=1)&&(D19.ScheduleTaskE_Work_9>=1)))||((D610.CreatedJobs_10>=1)&&(D116.ScheduleTaskE_Work_16>=1)))||((D610.CreatedJobs_10>=1)&&(D123.ScheduleTaskE_Work_23>=1)))||((D610.CreatedJobs_10>=1)&&(D130.ScheduleTaskE_Work_30>=1)))||((D610.CreatedJobs_10>=1)&&(D137.ScheduleTaskE_Work_37>=1)))||((D610.CreatedJobs_10>=1)&&(D144.ScheduleTaskE_Work_44>=1)))||((D610.CreatedJobs_10>=1)&&(D151.ScheduleTaskE_Work_51>=1)))||((D614.CreatedJobs_14>=1)&&(D13.ScheduleTaskE_Work_3>=1)))||((D614.CreatedJobs_14>=1)&&(D110.ScheduleTaskE_Work_10>=1)))||((D614.CreatedJobs_14>=1)&&(D117.ScheduleTaskE_Work_17>=1)))||((D614.CreatedJobs_14>=1)&&(D124.ScheduleTaskE_Work_24>=1)))||((D614.CreatedJobs_14>=1)&&(D131.ScheduleTaskE_Work_31>=1)))||((D614.CreatedJobs_14>=1)&&(D138.ScheduleTaskE_Work_38>=1)))||((D614.CreatedJobs_14>=1)&&(D145.ScheduleTaskE_Work_45>=1)))||((D614.CreatedJobs_14>=1)&&(D152.ScheduleTaskE_Work_52>=1)))||((D618.CreatedJobs_18>=1)&&(D14.ScheduleTaskE_Work_4>=1)))||((D618.CreatedJobs_18>=1)&&(D111.ScheduleTaskE_Work_11>=1)))||((D618.CreatedJobs_18>=1)&&(D118.ScheduleTaskE_Work_18>=1)))||((D618.CreatedJobs_18>=1)&&(D125.ScheduleTaskE_Work_25>=1)))||((D618.CreatedJobs_18>=1)&&(D132.ScheduleTaskE_Work_32>=1)))||((D618.CreatedJobs_18>=1)&&(D139.ScheduleTaskE_Work_39>=1)))||((D618.CreatedJobs_18>=1)&&(D146.ScheduleTaskE_Work_46>=1)))||((D618.CreatedJobs_18>=1)&&(D153.ScheduleTaskE_Work_53>=1)))||((D622.CreatedJobs_22>=1)&&(D15.ScheduleTaskE_Work_5>=1)))||((D622.CreatedJobs_22>=1)&&(D112.ScheduleTaskE_Work_12>=1)))||((D622.CreatedJobs_22>=1)&&(D119.ScheduleTaskE_Work_19>=1)))||((D622.CreatedJobs_22>=1)&&(D126.ScheduleTaskE_Work_26>=1)))||((D622.CreatedJobs_22>=1)&&(D133.ScheduleTaskE_Work_33>=1)))||((D622.CreatedJobs_22>=1)&&(D140.ScheduleTaskE_Work_40>=1)))||((D622.CreatedJobs_22>=1)&&(D147.ScheduleTaskE_Work_47>=1)))||((D622.CreatedJobs_22>=1)&&(D154.ScheduleTaskE_Work_54>=1)))||((D626.CreatedJobs_26>=1)&&(D16.ScheduleTaskE_Work_6>=1)))||((D626.CreatedJobs_26>=1)&&(D113.ScheduleTaskE_Work_13>=1)))||((D626.CreatedJobs_26>=1)&&(D120.ScheduleTaskE_Work_20>=1)))||((D626.CreatedJobs_26>=1)&&(D127.ScheduleTaskE_Work_27>=1)))||((D626.CreatedJobs_26>=1)&&(D134.ScheduleTaskE_Work_34>=1)))||((D626.CreatedJobs_26>=1)&&(D141.ScheduleTaskE_Work_41>=1)))||((D626.CreatedJobs_26>=1)&&(D148.ScheduleTaskE_Work_48>=1)))||((D626.CreatedJobs_26>=1)&&(D155.ScheduleTaskE_Work_55>=1)))||((D63.CreatedJobs_3>=1)&&(D10.ScheduleTaskE_Work_0>=1)))||((D63.CreatedJobs_3>=1)&&(D17.ScheduleTaskE_Work_7>=1)))||((D63.CreatedJobs_3>=1)&&(D114.ScheduleTaskE_Work_14>=1)))||((D63.CreatedJobs_3>=1)&&(D121.ScheduleTaskE_Work_21>=1)))||((D63.CreatedJobs_3>=1)&&(D128.ScheduleTaskE_Work_28>=1)))||((D63.CreatedJobs_3>=1)&&(D135.ScheduleTaskE_Work_35>=1)))||((D63.CreatedJobs_3>=1)&&(D142.ScheduleTaskE_Work_42>=1)))||((D63.CreatedJobs_3>=1)&&(D149.ScheduleTaskE_Work_49>=1)))||((D67.CreatedJobs_7>=1)&&(D11.ScheduleTaskE_Work_1>=1)))||((D67.CreatedJobs_7>=1)&&(D18.ScheduleTaskE_Work_8>=1)))||((D67.CreatedJobs_7>=1)&&(D115.ScheduleTaskE_Work_15>=1)))||((D67.CreatedJobs_7>=1)&&(D122.ScheduleTaskE_Work_22>=1)))||((D67.CreatedJobs_7>=1)&&(D129.ScheduleTaskE_Work_29>=1)))||((D67.CreatedJobs_7>=1)&&(D136.ScheduleTaskE_Work_36>=1)))||((D67.CreatedJobs_7>=1)&&(D143.ScheduleTaskE_Work_43>=1)))||((D67.CreatedJobs_7>=1)&&(D150.ScheduleTaskE_Work_50>=1)))||((D611.CreatedJobs_11>=1)&&(D12.ScheduleTaskE_Work_2>=1)))||((D611.CreatedJobs_11>=1)&&(D19.ScheduleTaskE_Work_9>=1)))||((D611.CreatedJobs_11>=1)&&(D116.ScheduleTaskE_Work_16>=1)))||((D611.CreatedJobs_11>=1)&&(D123.ScheduleTaskE_Work_23>=1)))||((D611.CreatedJobs_11>=1)&&(D130.ScheduleTaskE_Work_30>=1)))||((D611.CreatedJobs_11>=1)&&(D137.ScheduleTaskE_Work_37>=1)))||((D611.CreatedJobs_11>=1)&&(D144.ScheduleTaskE_Work_44>=1)))||((D611.CreatedJobs_11>=1)&&(D151.ScheduleTaskE_Work_51>=1)))||((D615.CreatedJobs_15>=1)&&(D13.ScheduleTaskE_Work_3>=1)))||((D615.CreatedJobs_15>=1)&&(D110.ScheduleTaskE_Work_10>=1)))||((D615.CreatedJobs_15>=1)&&(D117.ScheduleTaskE_Work_17>=1)))||((D615.CreatedJobs_15>=1)&&(D124.ScheduleTaskE_Work_24>=1)))||((D615.CreatedJobs_15>=1)&&(D131.ScheduleTaskE_Work_31>=1)))||((D615.CreatedJobs_15>=1)&&(D138.ScheduleTaskE_Work_38>=1)))||((D615.CreatedJobs_15>=1)&&(D145.ScheduleTaskE_Work_45>=1)))||((D615.CreatedJobs_15>=1)&&(D152.ScheduleTaskE_Work_52>=1)))||((D619.CreatedJobs_19>=1)&&(D14.ScheduleTaskE_Work_4>=1)))||((D619.CreatedJobs_19>=1)&&(D111.ScheduleTaskE_Work_11>=1)))||((D619.CreatedJobs_19>=1)&&(D118.ScheduleTaskE_Work_18>=1)))||((D619.CreatedJobs_19>=1)&&(D125.ScheduleTaskE_Work_25>=1)))||((D619.CreatedJobs_19>=1)&&(D132.ScheduleTaskE_Work_32>=1)))||((D619.CreatedJobs_19>=1)&&(D139.ScheduleTaskE_Work_39>=1)))||((D619.CreatedJobs_19>=1)&&(D146.ScheduleTaskE_Work_46>=1)))||((D619.CreatedJobs_19>=1)&&(D153.ScheduleTaskE_Work_53>=1)))||((D623.CreatedJobs_23>=1)&&(D15.ScheduleTaskE_Work_5>=1)))||((D623.CreatedJobs_23>=1)&&(D112.ScheduleTaskE_Work_12>=1)))||((D623.CreatedJobs_23>=1)&&(D119.ScheduleTaskE_Work_19>=1)))||((D623.CreatedJobs_23>=1)&&(D126.ScheduleTaskE_Work_26>=1)))||((D623.CreatedJobs_23>=1)&&(D133.ScheduleTaskE_Work_33>=1)))||((D623.CreatedJobs_23>=1)&&(D140.ScheduleTaskE_Work_40>=1)))||((D623.CreatedJobs_23>=1)&&(D147.ScheduleTaskE_Work_47>=1)))||((D623.CreatedJobs_23>=1)&&(D154.ScheduleTaskE_Work_54>=1)))||((D627.CreatedJobs_27>=1)&&(D16.ScheduleTaskE_Work_6>=1)))||((D627.CreatedJobs_27>=1)&&(D113.ScheduleTaskE_Work_13>=1)))||((D627.CreatedJobs_27>=1)&&(D120.ScheduleTaskE_Work_20>=1)))||((D627.CreatedJobs_27>=1)&&(D127.ScheduleTaskE_Work_27>=1)))||((D627.CreatedJobs_27>=1)&&(D134.ScheduleTaskE_Work_34>=1)))||((D627.CreatedJobs_27>=1)&&(D141.ScheduleTaskE_Work_41>=1)))||((D627.CreatedJobs_27>=1)&&(D148.ScheduleTaskE_Work_48>=1)))||((D627.CreatedJobs_27>=1)&&(D155.ScheduleTaskE_Work_55>=1)))||(((((((((((Threads0.P_1113_0>=1)&&(P_1099.P_1099_0>=1))||((Threads1.P_1113_1>=1)&&(P_1099.P_1099_0>=1)))||((Threads2.P_1113_2>=1)&&(P_1099.P_1099_0>=1)))||((Threads3.P_1113_3>=1)&&(P_1099.P_1099_0>=1)))||((Threads4.P_1113_4>=1)&&(P_1099.P_1099_0>=1)))||((Threads5.P_1113_5>=1)&&(P_1099.P_1099_0>=1)))||((Threads6.P_1113_6>=1)&&(P_1099.P_1099_0>=1)))||((Threads7.P_1113_7>=1)&&(P_1099.P_1099_0>=1)))&&((((((((((((((((((((((((((((((((D40.DisablePollingE_0>=1)||(D44.DisablePollingE_4>=1))||(D48.DisablePollingE_8>=1))||(D412.DisablePollingE_12>=1))||(D416.DisablePollingE_16>=1))||(D420.DisablePollingE_20>=1))||(D424.DisablePollingE_24>=1))||(D428.DisablePollingE_28>=1))||(D41.DisablePollingE_1>=1))||(D45.DisablePollingE_5>=1))||(D49.DisablePollingE_9>=1))||(D413.DisablePollingE_13>=1))||(D417.DisablePollingE_17>=1))||(D421.DisablePollingE_21>=1))||(D425.DisablePollingE_25>=1))||(D429.DisablePollingE_29>=1))||(D42.DisablePollingE_2>=1))||(D46.DisablePollingE_6>=1))||(D410.DisablePollingE_10>=1))||(D414.DisablePollingE_14>=1))||(D418.DisablePollingE_18>=1))||(D422.DisablePollingE_22>=1))||(D426.DisablePollingE_26>=1))||(D430.DisablePollingE_30>=1))||(D43.DisablePollingE_3>=1))||(D47.DisablePollingE_7>=1))||(D411.DisablePollingE_11>=1))||(D415.DisablePollingE_15>=1))||(D419.DisablePollingE_19>=1))||(D423.DisablePollingE_23>=1))||(D427.DisablePollingE_27>=1))||(D431.DisablePollingE_31>=1)))&&(((((((((Threads0.NotifyEventJobCompletedB_0>=1)||(Threads1.NotifyEventJobCompletedB_1>=1))||(Threads2.NotifyEventJobCompletedB_2>=1))||(Threads3.NotifyEventJobCompletedB_3>=1))||(Threads4.NotifyEventJobCompletedB_4>=1))||(Threads5.NotifyEventJobCompletedB_5>=1))||(Threads6.NotifyEventJobCompletedB_6>=1))||(Threads7.NotifyEventJobCompletedB_7>=1))||((((((((((((((((((((((((((((((((((((((((((((((((((((((((D10.FetchJobE_0>=1)||(D17.FetchJobE_7>=1))||(D114.FetchJobE_14>=1))||(D121.FetchJobE_21>=1))||(D128.FetchJobE_28>=1))||(D135.FetchJobE_35>=1))||(D142.FetchJobE_42>=1))||(D149.FetchJobE_49>=1))||(D11.FetchJobE_1>=1))||(D18.FetchJobE_8>=1))||(D115.FetchJobE_15>=1))||(D122.FetchJobE_22>=1))||(D129.FetchJobE_29>=1))||(D136.FetchJobE_36>=1))||(D143.FetchJobE_43>=1))||(D150.FetchJobE_50>=1))||(D12.FetchJobE_2>=1))||(D19.FetchJobE_9>=1))||(D116.FetchJobE_16>=1))||(D123.FetchJobE_23>=1))||(D130.FetchJobE_30>=1))||(D137.FetchJobE_37>=1))||(D144.FetchJobE_44>=1))||(D151.FetchJobE_51>=1))||(D13.FetchJobE_3>=1))||(D110.FetchJobE_10>=1))||(D117.FetchJobE_17>=1))||(D124.FetchJobE_24>=1))||(D131.FetchJobE_31>=1))||(D138.FetchJobE_38>=1))||(D145.FetchJobE_45>=1))||(D152.FetchJobE_52>=1))||(D14.FetchJobE_4>=1))||(D111.FetchJobE_11>=1))||(D118.FetchJobE_18>=1))||(D125.FetchJobE_25>=1))||(D132.FetchJobE_32>=1))||(D139.FetchJobE_39>=1))||(D146.FetchJobE_46>=1))||(D153.FetchJobE_53>=1))||(D15.FetchJobE_5>=1))||(D112.FetchJobE_12>=1))||(D119.FetchJobE_19>=1))||(D126.FetchJobE_26>=1))||(D133.FetchJobE_33>=1))||(D140.FetchJobE_40>=1))||(D147.FetchJobE_47>=1))||(D154.FetchJobE_54>=1))||(D16.FetchJobE_6>=1))||(D113.FetchJobE_13>=1))||(D120.FetchJobE_20>=1))||(D127.FetchJobE_27>=1))||(D134.FetchJobE_34>=1))||(D141.FetchJobE_41>=1))||(D148.FetchJobE_48>=1))||(D155.FetchJobE_55>=1))))))
Read [reachable] property : PolyORBLF-COL-S04J06T08-ReachabilityFireability-04 with value :(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((Threads0.ProcessingEvt_0>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources0.ModifiedSrc_0>=1))&&(Sources0.ModifiedSrc_0>=1))&&(Sources0.ModifiedSrc_0>=2))&&(Sources0.ModifiedSrc_0>=2))&&(Sources0.ModifiedSrc_0>=2))||((((((((Threads1.ProcessingEvt_1>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources0.ModifiedSrc_0>=1))&&(Sources0.ModifiedSrc_0>=1))&&(Sources0.ModifiedSrc_0>=2))&&(Sources0.ModifiedSrc_0>=2))&&(Sources0.ModifiedSrc_0>=2)))||((((((((Threads2.ProcessingEvt_2>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources0.ModifiedSrc_0>=1))&&(Sources0.ModifiedSrc_0>=1))&&(Sources0.ModifiedSrc_0>=2))&&(Sources0.ModifiedSrc_0>=2))&&(Sources0.ModifiedSrc_0>=2)))||((((((((Threads3.ProcessingEvt_3>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources0.ModifiedSrc_0>=1))&&(Sources0.ModifiedSrc_0>=1))&&(Sources0.ModifiedSrc_0>=2))&&(Sources0.ModifiedSrc_0>=2))&&(Sources0.ModifiedSrc_0>=2)))||((((((((Threads4.ProcessingEvt_4>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources0.ModifiedSrc_0>=1))&&(Sources0.ModifiedSrc_0>=1))&&(Sources0.ModifiedSrc_0>=2))&&(Sources0.ModifiedSrc_0>=2))&&(Sources0.ModifiedSrc_0>=2)))||((((((((Threads5.ProcessingEvt_5>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources0.ModifiedSrc_0>=1))&&(Sources0.ModifiedSrc_0>=1))&&(Sources0.ModifiedSrc_0>=2))&&(Sources0.ModifiedSrc_0>=2))&&(Sources0.ModifiedSrc_0>=2)))||((((((((Threads6.ProcessingEvt_6>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources0.ModifiedSrc_0>=1))&&(Sources0.ModifiedSrc_0>=1))&&(Sources0.ModifiedSrc_0>=2))&&(Sources0.ModifiedSrc_0>=2))&&(Sources0.ModifiedSrc_0>=2)))||((((((((Threads7.ProcessingEvt_7>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources0.ModifiedSrc_0>=1))&&(Sources0.ModifiedSrc_0>=1))&&(Sources0.ModifiedSrc_0>=2))&&(Sources0.ModifiedSrc_0>=2))&&(Sources0.ModifiedSrc_0>=2)))||((((((Threads0.ProcessingEvt_0>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources0.ModifiedSrc_0>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources0.ModifiedSrc_0>=2)))||((((((Threads1.ProcessingEvt_1>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources0.ModifiedSrc_0>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources0.ModifiedSrc_0>=2)))||((((((Threads2.ProcessingEvt_2>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources0.ModifiedSrc_0>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources0.ModifiedSrc_0>=2)))||((((((Threads3.ProcessingEvt_3>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources0.ModifiedSrc_0>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources0.ModifiedSrc_0>=2)))||((((((Threads4.ProcessingEvt_4>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources0.ModifiedSrc_0>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources0.ModifiedSrc_0>=2)))||((((((Threads5.ProcessingEvt_5>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources0.ModifiedSrc_0>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources0.ModifiedSrc_0>=2)))||((((((Threads6.ProcessingEvt_6>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources0.ModifiedSrc_0>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources0.ModifiedSrc_0>=2)))||((((((Threads7.ProcessingEvt_7>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources0.ModifiedSrc_0>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources0.ModifiedSrc_0>=2)))||((((((Threads0.ProcessingEvt_0>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=2)))||((((((Threads1.ProcessingEvt_1>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=2)))||((((((Threads2.ProcessingEvt_2>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=2)))||((((((Threads3.ProcessingEvt_3>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=2)))||((((((Threads4.ProcessingEvt_4>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=2)))||((((((Threads5.ProcessingEvt_5>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=2)))||((((((Threads6.ProcessingEvt_6>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=2)))||((((((Threads7.ProcessingEvt_7>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=2)))||((((((((Threads0.ProcessingEvt_0>=1)&&(cSources.cSources_0>=7))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=2))&&(Sources1.ModifiedSrc_1>=2))&&(Sources1.ModifiedSrc_1>=2)))||((((((((Threads1.ProcessingEvt_1>=1)&&(cSources.cSources_0>=7))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=2))&&(Sources1.ModifiedSrc_1>=2))&&(Sources1.ModifiedSrc_1>=2)))||((((((((Threads2.ProcessingEvt_2>=1)&&(cSources.cSources_0>=7))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=2))&&(Sources1.ModifiedSrc_1>=2))&&(Sources1.ModifiedSrc_1>=2)))||((((((((Threads3.ProcessingEvt_3>=1)&&(cSources.cSources_0>=7))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=2))&&(Sources1.ModifiedSrc_1>=2))&&(Sources1.ModifiedSrc_1>=2)))||((((((((Threads4.ProcessingEvt_4>=1)&&(cSources.cSources_0>=7))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=2))&&(Sources1.ModifiedSrc_1>=2))&&(Sources1.ModifiedSrc_1>=2)))||((((((((Threads5.ProcessingEvt_5>=1)&&(cSources.cSources_0>=7))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=2))&&(Sources1.ModifiedSrc_1>=2))&&(Sources1.ModifiedSrc_1>=2)))||((((((((Threads6.ProcessingEvt_6>=1)&&(cSources.cSources_0>=7))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=2))&&(Sources1.ModifiedSrc_1>=2))&&(Sources1.ModifiedSrc_1>=2)))||((((((((Threads7.ProcessingEvt_7>=1)&&(cSources.cSources_0>=7))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=2))&&(Sources1.ModifiedSrc_1>=2))&&(Sources1.ModifiedSrc_1>=2)))||((((((Threads0.ProcessingEvt_0>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources0.ModifiedSrc_0>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources0.ModifiedSrc_0>=2)))||((((((Threads1.ProcessingEvt_1>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources0.ModifiedSrc_0>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources0.ModifiedSrc_0>=2)))||((((((Threads2.ProcessingEvt_2>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources0.ModifiedSrc_0>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources0.ModifiedSrc_0>=2)))||((((((Threads3.ProcessingEvt_3>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources0.ModifiedSrc_0>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources0.ModifiedSrc_0>=2)))||((((((Threads4.ProcessingEvt_4>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources0.ModifiedSrc_0>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources0.ModifiedSrc_0>=2)))||((((((Threads5.ProcessingEvt_5>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources0.ModifiedSrc_0>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources0.ModifiedSrc_0>=2)))||((((((Threads6.ProcessingEvt_6>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources0.ModifiedSrc_0>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources0.ModifiedSrc_0>=2)))||((((((Threads7.ProcessingEvt_7>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources0.ModifiedSrc_0>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources0.ModifiedSrc_0>=2)))||(((((Threads0.ProcessingEvt_0>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources2.ModifiedSrc_2>=1)))||(((((Threads1.ProcessingEvt_1>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources2.ModifiedSrc_2>=1)))||(((((Threads2.ProcessingEvt_2>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources2.ModifiedSrc_2>=1)))||(((((Threads3.ProcessingEvt_3>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources2.ModifiedSrc_2>=1)))||(((((Threads4.ProcessingEvt_4>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources2.ModifiedSrc_2>=1)))||(((((Threads5.ProcessingEvt_5>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources2.ModifiedSrc_2>=1)))||(((((Threads6.ProcessingEvt_6>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources2.ModifiedSrc_2>=1)))||(((((Threads7.ProcessingEvt_7>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources2.ModifiedSrc_2>=1)))||((((((Threads0.ProcessingEvt_0>=1)&&(cSources.cSources_0>=7))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources1.ModifiedSrc_1>=2)))||((((((Threads1.ProcessingEvt_1>=1)&&(cSources.cSources_0>=7))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources1.ModifiedSrc_1>=2)))||((((((Threads2.ProcessingEvt_2>=1)&&(cSources.cSources_0>=7))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources1.ModifiedSrc_1>=2)))||((((((Threads3.ProcessingEvt_3>=1)&&(cSources.cSources_0>=7))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources1.ModifiedSrc_1>=2)))||((((((Threads4.ProcessingEvt_4>=1)&&(cSources.cSources_0>=7))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources1.ModifiedSrc_1>=2)))||((((((Threads5.ProcessingEvt_5>=1)&&(cSources.cSources_0>=7))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources1.ModifiedSrc_1>=2)))||((((((Threads6.ProcessingEvt_6>=1)&&(cSources.cSources_0>=7))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources1.ModifiedSrc_1>=2)))||((((((Threads7.ProcessingEvt_7>=1)&&(cSources.cSources_0>=7))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources1.ModifiedSrc_1>=2)))||((((((Threads0.ProcessingEvt_0>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=2)))||((((((Threads1.ProcessingEvt_1>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=2)))||((((((Threads2.ProcessingEvt_2>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=2)))||((((((Threads3.ProcessingEvt_3>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=2)))||((((((Threads4.ProcessingEvt_4>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=2)))||((((((Threads5.ProcessingEvt_5>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=2)))||((((((Threads6.ProcessingEvt_6>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=2)))||((((((Threads7.ProcessingEvt_7>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=2)))||((((((Threads0.ProcessingEvt_0>=1)&&(cSources.cSources_0>=7))&&(Sources1.ModifiedSrc_1>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=2)))||((((((Threads1.ProcessingEvt_1>=1)&&(cSources.cSources_0>=7))&&(Sources1.ModifiedSrc_1>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=2)))||((((((Threads2.ProcessingEvt_2>=1)&&(cSources.cSources_0>=7))&&(Sources1.ModifiedSrc_1>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=2)))||((((((Threads3.ProcessingEvt_3>=1)&&(cSources.cSources_0>=7))&&(Sources1.ModifiedSrc_1>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=2)))||((((((Threads4.ProcessingEvt_4>=1)&&(cSources.cSources_0>=7))&&(Sources1.ModifiedSrc_1>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=2)))||((((((Threads5.ProcessingEvt_5>=1)&&(cSources.cSources_0>=7))&&(Sources1.ModifiedSrc_1>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=2)))||((((((Threads6.ProcessingEvt_6>=1)&&(cSources.cSources_0>=7))&&(Sources1.ModifiedSrc_1>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=2)))||((((((Threads7.ProcessingEvt_7>=1)&&(cSources.cSources_0>=7))&&(Sources1.ModifiedSrc_1>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=2)))||((((((((Threads0.ProcessingEvt_0>=1)&&(cSources.cSources_0>=7))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=2))&&(Sources2.ModifiedSrc_2>=2))&&(Sources2.ModifiedSrc_2>=2)))||((((((((Threads1.ProcessingEvt_1>=1)&&(cSources.cSources_0>=7))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=2))&&(Sources2.ModifiedSrc_2>=2))&&(Sources2.ModifiedSrc_2>=2)))||((((((((Threads2.ProcessingEvt_2>=1)&&(cSources.cSources_0>=7))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=2))&&(Sources2.ModifiedSrc_2>=2))&&(Sources2.ModifiedSrc_2>=2)))||((((((((Threads3.ProcessingEvt_3>=1)&&(cSources.cSources_0>=7))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=2))&&(Sources2.ModifiedSrc_2>=2))&&(Sources2.ModifiedSrc_2>=2)))||((((((((Threads4.ProcessingEvt_4>=1)&&(cSources.cSources_0>=7))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=2))&&(Sources2.ModifiedSrc_2>=2))&&(Sources2.ModifiedSrc_2>=2)))||((((((((Threads5.ProcessingEvt_5>=1)&&(cSources.cSources_0>=7))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=2))&&(Sources2.ModifiedSrc_2>=2))&&(Sources2.ModifiedSrc_2>=2)))||((((((((Threads6.ProcessingEvt_6>=1)&&(cSources.cSources_0>=7))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=2))&&(Sources2.ModifiedSrc_2>=2))&&(Sources2.ModifiedSrc_2>=2)))||((((((((Threads7.ProcessingEvt_7>=1)&&(cSources.cSources_0>=7))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=2))&&(Sources2.ModifiedSrc_2>=2))&&(Sources2.ModifiedSrc_2>=2)))||((((((Threads0.ProcessingEvt_0>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources0.ModifiedSrc_0>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources0.ModifiedSrc_0>=2)))||((((((Threads1.ProcessingEvt_1>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources0.ModifiedSrc_0>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources0.ModifiedSrc_0>=2)))||((((((Threads2.ProcessingEvt_2>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources0.ModifiedSrc_0>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources0.ModifiedSrc_0>=2)))||((((((Threads3.ProcessingEvt_3>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources0.ModifiedSrc_0>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources0.ModifiedSrc_0>=2)))||((((((Threads4.ProcessingEvt_4>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources0.ModifiedSrc_0>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources0.ModifiedSrc_0>=2)))||((((((Threads5.ProcessingEvt_5>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources0.ModifiedSrc_0>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources0.ModifiedSrc_0>=2)))||((((((Threads6.ProcessingEvt_6>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources0.ModifiedSrc_0>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources0.ModifiedSrc_0>=2)))||((((((Threads7.ProcessingEvt_7>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources0.ModifiedSrc_0>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources0.ModifiedSrc_0>=2)))||(((((Threads0.ProcessingEvt_0>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources3.ModifiedSrc_3>=1)))||(((((Threads1.ProcessingEvt_1>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources3.ModifiedSrc_3>=1)))||(((((Threads2.ProcessingEvt_2>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources3.ModifiedSrc_3>=1)))||(((((Threads3.ProcessingEvt_3>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources3.ModifiedSrc_3>=1)))||(((((Threads4.ProcessingEvt_4>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources3.ModifiedSrc_3>=1)))||(((((Threads5.ProcessingEvt_5>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources3.ModifiedSrc_3>=1)))||(((((Threads6.ProcessingEvt_6>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources3.ModifiedSrc_3>=1)))||(((((Threads7.ProcessingEvt_7>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources3.ModifiedSrc_3>=1)))||((((((Threads0.ProcessingEvt_0>=1)&&(cSources.cSources_0>=7))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources1.ModifiedSrc_1>=2)))||((((((Threads1.ProcessingEvt_1>=1)&&(cSources.cSources_0>=7))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources1.ModifiedSrc_1>=2)))||((((((Threads2.ProcessingEvt_2>=1)&&(cSources.cSources_0>=7))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources1.ModifiedSrc_1>=2)))||((((((Threads3.ProcessingEvt_3>=1)&&(cSources.cSources_0>=7))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources1.ModifiedSrc_1>=2)))||((((((Threads4.ProcessingEvt_4>=1)&&(cSources.cSources_0>=7))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources1.ModifiedSrc_1>=2)))||((((((Threads5.ProcessingEvt_5>=1)&&(cSources.cSources_0>=7))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources1.ModifiedSrc_1>=2)))||((((((Threads6.ProcessingEvt_6>=1)&&(cSources.cSources_0>=7))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources1.ModifiedSrc_1>=2)))||((((((Threads7.ProcessingEvt_7>=1)&&(cSources.cSources_0>=7))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources1.ModifiedSrc_1>=2)))||(((((Threads0.ProcessingEvt_0>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources3.ModifiedSrc_3>=1)))||(((((Threads1.ProcessingEvt_1>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources3.ModifiedSrc_3>=1)))||(((((Threads2.ProcessingEvt_2>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources3.ModifiedSrc_3>=1)))||(((((Threads3.ProcessingEvt_3>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources3.ModifiedSrc_3>=1)))||(((((Threads4.ProcessingEvt_4>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources3.ModifiedSrc_3>=1)))||(((((Threads5.ProcessingEvt_5>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources3.ModifiedSrc_3>=1)))||(((((Threads6.ProcessingEvt_6>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources3.ModifiedSrc_3>=1)))||(((((Threads7.ProcessingEvt_7>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources3.ModifiedSrc_3>=1)))||(((((Threads0.ProcessingEvt_0>=1)&&(cSources.cSources_0>=7))&&(Sources1.ModifiedSrc_1>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources3.ModifiedSrc_3>=1)))||(((((Threads1.ProcessingEvt_1>=1)&&(cSources.cSources_0>=7))&&(Sources1.ModifiedSrc_1>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources3.ModifiedSrc_3>=1)))||(((((Threads2.ProcessingEvt_2>=1)&&(cSources.cSources_0>=7))&&(Sources1.ModifiedSrc_1>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources3.ModifiedSrc_3>=1)))||(((((Threads3.ProcessingEvt_3>=1)&&(cSources.cSources_0>=7))&&(Sources1.ModifiedSrc_1>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources3.ModifiedSrc_3>=1)))||(((((Threads4.ProcessingEvt_4>=1)&&(cSources.cSources_0>=7))&&(Sources1.ModifiedSrc_1>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources3.ModifiedSrc_3>=1)))||(((((Threads5.ProcessingEvt_5>=1)&&(cSources.cSources_0>=7))&&(Sources1.ModifiedSrc_1>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources3.ModifiedSrc_3>=1)))||(((((Threads6.ProcessingEvt_6>=1)&&(cSources.cSources_0>=7))&&(Sources1.ModifiedSrc_1>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources3.ModifiedSrc_3>=1)))||(((((Threads7.ProcessingEvt_7>=1)&&(cSources.cSources_0>=7))&&(Sources1.ModifiedSrc_1>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources3.ModifiedSrc_3>=1)))||((((((Threads0.ProcessingEvt_0>=1)&&(cSources.cSources_0>=7))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources2.ModifiedSrc_2>=2)))||((((((Threads1.ProcessingEvt_1>=1)&&(cSources.cSources_0>=7))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources2.ModifiedSrc_2>=2)))||((((((Threads2.ProcessingEvt_2>=1)&&(cSources.cSources_0>=7))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources2.ModifiedSrc_2>=2)))||((((((Threads3.ProcessingEvt_3>=1)&&(cSources.cSources_0>=7))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources2.ModifiedSrc_2>=2)))||((((((Threads4.ProcessingEvt_4>=1)&&(cSources.cSources_0>=7))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources2.ModifiedSrc_2>=2)))||((((((Threads5.ProcessingEvt_5>=1)&&(cSources.cSources_0>=7))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources2.ModifiedSrc_2>=2)))||((((((Threads6.ProcessingEvt_6>=1)&&(cSources.cSources_0>=7))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources2.ModifiedSrc_2>=2)))||((((((Threads7.ProcessingEvt_7>=1)&&(cSources.cSources_0>=7))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources2.ModifiedSrc_2>=2)))||((((((Threads0.ProcessingEvt_0>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=2)))||((((((Threads1.ProcessingEvt_1>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=2)))||((((((Threads2.ProcessingEvt_2>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=2)))||((((((Threads3.ProcessingEvt_3>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=2)))||((((((Threads4.ProcessingEvt_4>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=2)))||((((((Threads5.ProcessingEvt_5>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=2)))||((((((Threads6.ProcessingEvt_6>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=2)))||((((((Threads7.ProcessingEvt_7>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=2)))||((((((Threads0.ProcessingEvt_0>=1)&&(cSources.cSources_0>=7))&&(Sources1.ModifiedSrc_1>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=2)))||((((((Threads1.ProcessingEvt_1>=1)&&(cSources.cSources_0>=7))&&(Sources1.ModifiedSrc_1>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=2)))||((((((Threads2.ProcessingEvt_2>=1)&&(cSources.cSources_0>=7))&&(Sources1.ModifiedSrc_1>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=2)))||((((((Threads3.ProcessingEvt_3>=1)&&(cSources.cSources_0>=7))&&(Sources1.ModifiedSrc_1>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=2)))||((((((Threads4.ProcessingEvt_4>=1)&&(cSources.cSources_0>=7))&&(Sources1.ModifiedSrc_1>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=2)))||((((((Threads5.ProcessingEvt_5>=1)&&(cSources.cSources_0>=7))&&(Sources1.ModifiedSrc_1>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=2)))||((((((Threads6.ProcessingEvt_6>=1)&&(cSources.cSources_0>=7))&&(Sources1.ModifiedSrc_1>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=2)))||((((((Threads7.ProcessingEvt_7>=1)&&(cSources.cSources_0>=7))&&(Sources1.ModifiedSrc_1>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=2)))||((((((Threads0.ProcessingEvt_0>=1)&&(cSources.cSources_0>=7))&&(Sources2.ModifiedSrc_2>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=2)))||((((((Threads1.ProcessingEvt_1>=1)&&(cSources.cSources_0>=7))&&(Sources2.ModifiedSrc_2>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=2)))||((((((Threads2.ProcessingEvt_2>=1)&&(cSources.cSources_0>=7))&&(Sources2.ModifiedSrc_2>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=2)))||((((((Threads3.ProcessingEvt_3>=1)&&(cSources.cSources_0>=7))&&(Sources2.ModifiedSrc_2>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=2)))||((((((Threads4.ProcessingEvt_4>=1)&&(cSources.cSources_0>=7))&&(Sources2.ModifiedSrc_2>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=2)))||((((((Threads5.ProcessingEvt_5>=1)&&(cSources.cSources_0>=7))&&(Sources2.ModifiedSrc_2>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=2)))||((((((Threads6.ProcessingEvt_6>=1)&&(cSources.cSources_0>=7))&&(Sources2.ModifiedSrc_2>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=2)))||((((((Threads7.ProcessingEvt_7>=1)&&(cSources.cSources_0>=7))&&(Sources2.ModifiedSrc_2>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=2)))||((((((((Threads0.ProcessingEvt_0>=1)&&(cSources.cSources_0>=7))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=2))&&(Sources3.ModifiedSrc_3>=2))&&(Sources3.ModifiedSrc_3>=2)))||((((((((Threads1.ProcessingEvt_1>=1)&&(cSources.cSources_0>=7))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=2))&&(Sources3.ModifiedSrc_3>=2))&&(Sources3.ModifiedSrc_3>=2)))||((((((((Threads2.ProcessingEvt_2>=1)&&(cSources.cSources_0>=7))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=2))&&(Sources3.ModifiedSrc_3>=2))&&(Sources3.ModifiedSrc_3>=2)))||((((((((Threads3.ProcessingEvt_3>=1)&&(cSources.cSources_0>=7))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=2))&&(Sources3.ModifiedSrc_3>=2))&&(Sources3.ModifiedSrc_3>=2)))||((((((((Threads4.ProcessingEvt_4>=1)&&(cSources.cSources_0>=7))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=2))&&(Sources3.ModifiedSrc_3>=2))&&(Sources3.ModifiedSrc_3>=2)))||((((((((Threads5.ProcessingEvt_5>=1)&&(cSources.cSources_0>=7))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=2))&&(Sources3.ModifiedSrc_3>=2))&&(Sources3.ModifiedSrc_3>=2)))||((((((((Threads6.ProcessingEvt_6>=1)&&(cSources.cSources_0>=7))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=2))&&(Sources3.ModifiedSrc_3>=2))&&(Sources3.ModifiedSrc_3>=2)))||((((((((Threads7.ProcessingEvt_7>=1)&&(cSources.cSources_0>=7))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=2))&&(Sources3.ModifiedSrc_3>=2))&&(Sources3.ModifiedSrc_3>=2)))&&(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((Threads0.TryAllocateOneTaskB_0>=1)&&(Threads0.IdleTasks_0>=1))||((Threads0.TryAllocateOneTaskB_0>=1)&&(Threads1.IdleTasks_1>=1)))||((Threads0.TryAllocateOneTaskB_0>=1)&&(Threads2.IdleTasks_2>=1)))||((Threads0.TryAllocateOneTaskB_0>=1)&&(Threads3.IdleTasks_3>=1)))||((Threads0.TryAllocateOneTaskB_0>=1)&&(Threads4.IdleTasks_4>=1)))||((Threads0.TryAllocateOneTaskB_0>=1)&&(Threads5.IdleTasks_5>=1)))||((Threads0.TryAllocateOneTaskB_0>=1)&&(Threads6.IdleTasks_6>=1)))||((Threads0.TryAllocateOneTaskB_0>=1)&&(Threads7.IdleTasks_7>=1)))||((Threads1.TryAllocateOneTaskB_1>=1)&&(Threads0.IdleTasks_0>=1)))||((Threads1.TryAllocateOneTaskB_1>=1)&&(Threads1.IdleTasks_1>=1)))||((Threads1.TryAllocateOneTaskB_1>=1)&&(Threads2.IdleTasks_2>=1)))||((Threads1.TryAllocateOneTaskB_1>=1)&&(Threads3.IdleTasks_3>=1)))||((Threads1.TryAllocateOneTaskB_1>=1)&&(Threads4.IdleTasks_4>=1)))||((Threads1.TryAllocateOneTaskB_1>=1)&&(Threads5.IdleTasks_5>=1)))||((Threads1.TryAllocateOneTaskB_1>=1)&&(Threads6.IdleTasks_6>=1)))||((Threads1.TryAllocateOneTaskB_1>=1)&&(Threads7.IdleTasks_7>=1)))||((Threads2.TryAllocateOneTaskB_2>=1)&&(Threads0.IdleTasks_0>=1)))||((Threads2.TryAllocateOneTaskB_2>=1)&&(Threads1.IdleTasks_1>=1)))||((Threads2.TryAllocateOneTaskB_2>=1)&&(Threads2.IdleTasks_2>=1)))||((Threads2.TryAllocateOneTaskB_2>=1)&&(Threads3.IdleTasks_3>=1)))||((Threads2.TryAllocateOneTaskB_2>=1)&&(Threads4.IdleTasks_4>=1)))||((Threads2.TryAllocateOneTaskB_2>=1)&&(Threads5.IdleTasks_5>=1)))||((Threads2.TryAllocateOneTaskB_2>=1)&&(Threads6.IdleTasks_6>=1)))||((Threads2.TryAllocateOneTaskB_2>=1)&&(Threads7.IdleTasks_7>=1)))||((Threads3.TryAllocateOneTaskB_3>=1)&&(Threads0.IdleTasks_0>=1)))||((Threads3.TryAllocateOneTaskB_3>=1)&&(Threads1.IdleTasks_1>=1)))||((Threads3.TryAllocateOneTaskB_3>=1)&&(Threads2.IdleTasks_2>=1)))||((Threads3.TryAllocateOneTaskB_3>=1)&&(Threads3.IdleTasks_3>=1)))||((Threads3.TryAllocateOneTaskB_3>=1)&&(Threads4.IdleTasks_4>=1)))||((Threads3.TryAllocateOneTaskB_3>=1)&&(Threads5.IdleTasks_5>=1)))||((Threads3.TryAllocateOneTaskB_3>=1)&&(Threads6.IdleTasks_6>=1)))||((Threads3.TryAllocateOneTaskB_3>=1)&&(Threads7.IdleTasks_7>=1)))||((Threads4.TryAllocateOneTaskB_4>=1)&&(Threads0.IdleTasks_0>=1)))||((Threads4.TryAllocateOneTaskB_4>=1)&&(Threads1.IdleTasks_1>=1)))||((Threads4.TryAllocateOneTaskB_4>=1)&&(Threads2.IdleTasks_2>=1)))||((Threads4.TryAllocateOneTaskB_4>=1)&&(Threads3.IdleTasks_3>=1)))||((Threads4.TryAllocateOneTaskB_4>=1)&&(Threads4.IdleTasks_4>=1)))||((Threads4.TryAllocateOneTaskB_4>=1)&&(Threads5.IdleTasks_5>=1)))||((Threads4.TryAllocateOneTaskB_4>=1)&&(Threads6.IdleTasks_6>=1)))||((Threads4.TryAllocateOneTaskB_4>=1)&&(Threads7.IdleTasks_7>=1)))||((Threads5.TryAllocateOneTaskB_5>=1)&&(Threads0.IdleTasks_0>=1)))||((Threads5.TryAllocateOneTaskB_5>=1)&&(Threads1.IdleTasks_1>=1)))||((Threads5.TryAllocateOneTaskB_5>=1)&&(Threads2.IdleTasks_2>=1)))||((Threads5.TryAllocateOneTaskB_5>=1)&&(Threads3.IdleTasks_3>=1)))||((Threads5.TryAllocateOneTaskB_5>=1)&&(Threads4.IdleTasks_4>=1)))||((Threads5.TryAllocateOneTaskB_5>=1)&&(Threads5.IdleTasks_5>=1)))||((Threads5.TryAllocateOneTaskB_5>=1)&&(Threads6.IdleTasks_6>=1)))||((Threads5.TryAllocateOneTaskB_5>=1)&&(Threads7.IdleTasks_7>=1)))||((Threads6.TryAllocateOneTaskB_6>=1)&&(Threads0.IdleTasks_0>=1)))||((Threads6.TryAllocateOneTaskB_6>=1)&&(Threads1.IdleTasks_1>=1)))||((Threads6.TryAllocateOneTaskB_6>=1)&&(Threads2.IdleTasks_2>=1)))||((Threads6.TryAllocateOneTaskB_6>=1)&&(Threads3.IdleTasks_3>=1)))||((Threads6.TryAllocateOneTaskB_6>=1)&&(Threads4.IdleTasks_4>=1)))||((Threads6.TryAllocateOneTaskB_6>=1)&&(Threads5.IdleTasks_5>=1)))||((Threads6.TryAllocateOneTaskB_6>=1)&&(Threads6.IdleTasks_6>=1)))||((Threads6.TryAllocateOneTaskB_6>=1)&&(Threads7.IdleTasks_7>=1)))||((Threads7.TryAllocateOneTaskB_7>=1)&&(Threads0.IdleTasks_0>=1)))||((Threads7.TryAllocateOneTaskB_7>=1)&&(Threads1.IdleTasks_1>=1)))||((Threads7.TryAllocateOneTaskB_7>=1)&&(Threads2.IdleTasks_2>=1)))||((Threads7.TryAllocateOneTaskB_7>=1)&&(Threads3.IdleTasks_3>=1)))||((Threads7.TryAllocateOneTaskB_7>=1)&&(Threads4.IdleTasks_4>=1)))||((Threads7.TryAllocateOneTaskB_7>=1)&&(Threads5.IdleTasks_5>=1)))||((Threads7.TryAllocateOneTaskB_7>=1)&&(Threads6.IdleTasks_6>=1)))||((Threads7.TryAllocateOneTaskB_7>=1)&&(Threads7.IdleTasks_7>=1))))&&(!(((((((((Threads0.TryAllocateOneTaskB_0>=1)&&(cIdleTasks.cIdleTasks_0>=2))||((Threads1.TryAllocateOneTaskB_1>=1)&&(cIdleTasks.cIdleTasks_0>=2)))||((Threads2.TryAllocateOneTaskB_2>=1)&&(cIdleTasks.cIdleTasks_0>=2)))||((Threads3.TryAllocateOneTaskB_3>=1)&&(cIdleTasks.cIdleTasks_0>=2)))||((Threads4.TryAllocateOneTaskB_4>=1)&&(cIdleTasks.cIdleTasks_0>=2)))||((Threads5.TryAllocateOneTaskB_5>=1)&&(cIdleTasks.cIdleTasks_0>=2)))||((Threads6.TryAllocateOneTaskB_6>=1)&&(cIdleTasks.cIdleTasks_0>=2)))||((Threads7.TryAllocateOneTaskB_7>=1)&&(cIdleTasks.cIdleTasks_0>=2)))))&&((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((Threads0.TryAllocateOneTaskB_0>=1)&&(Threads0.IdleTasks_0>=1))||((Threads0.TryAllocateOneTaskB_0>=1)&&(Threads1.IdleTasks_1>=1)))||((Threads0.TryAllocateOneTaskB_0>=1)&&(Threads2.IdleTasks_2>=1)))||((Threads0.TryAllocateOneTaskB_0>=1)&&(Threads3.IdleTasks_3>=1)))||((Threads0.TryAllocateOneTaskB_0>=1)&&(Threads4.IdleTasks_4>=1)))||((Threads0.TryAllocateOneTaskB_0>=1)&&(Threads5.IdleTasks_5>=1)))||((Threads0.TryAllocateOneTaskB_0>=1)&&(Threads6.IdleTasks_6>=1)))||((Threads0.TryAllocateOneTaskB_0>=1)&&(Threads7.IdleTasks_7>=1)))||((Threads1.TryAllocateOneTaskB_1>=1)&&(Threads0.IdleTasks_0>=1)))||((Threads1.TryAllocateOneTaskB_1>=1)&&(Threads1.IdleTasks_1>=1)))||((Threads1.TryAllocateOneTaskB_1>=1)&&(Threads2.IdleTasks_2>=1)))||((Threads1.TryAllocateOneTaskB_1>=1)&&(Threads3.IdleTasks_3>=1)))||((Threads1.TryAllocateOneTaskB_1>=1)&&(Threads4.IdleTasks_4>=1)))||((Threads1.TryAllocateOneTaskB_1>=1)&&(Threads5.IdleTasks_5>=1)))||((Threads1.TryAllocateOneTaskB_1>=1)&&(Threads6.IdleTasks_6>=1)))||((Threads1.TryAllocateOneTaskB_1>=1)&&(Threads7.IdleTasks_7>=1)))||((Threads2.TryAllocateOneTaskB_2>=1)&&(Threads0.IdleTasks_0>=1)))||((Threads2.TryAllocateOneTaskB_2>=1)&&(Threads1.IdleTasks_1>=1)))||((Threads2.TryAllocateOneTaskB_2>=1)&&(Threads2.IdleTasks_2>=1)))||((Threads2.TryAllocateOneTaskB_2>=1)&&(Threads3.IdleTasks_3>=1)))||((Threads2.TryAllocateOneTaskB_2>=1)&&(Threads4.IdleTasks_4>=1)))||((Threads2.TryAllocateOneTaskB_2>=1)&&(Threads5.IdleTasks_5>=1)))||((Threads2.TryAllocateOneTaskB_2>=1)&&(Threads6.IdleTasks_6>=1)))||((Threads2.TryAllocateOneTaskB_2>=1)&&(Threads7.IdleTasks_7>=1)))||((Threads3.TryAllocateOneTaskB_3>=1)&&(Threads0.IdleTasks_0>=1)))||((Threads3.TryAllocateOneTaskB_3>=1)&&(Threads1.IdleTasks_1>=1)))||((Threads3.TryAllocateOneTaskB_3>=1)&&(Threads2.IdleTasks_2>=1)))||((Threads3.TryAllocateOneTaskB_3>=1)&&(Threads3.IdleTasks_3>=1)))||((Threads3.TryAllocateOneTaskB_3>=1)&&(Threads4.IdleTasks_4>=1)))||((Threads3.TryAllocateOneTaskB_3>=1)&&(Threads5.IdleTasks_5>=1)))||((Threads3.TryAllocateOneTaskB_3>=1)&&(Threads6.IdleTasks_6>=1)))||((Threads3.TryAllocateOneTaskB_3>=1)&&(Threads7.IdleTasks_7>=1)))||((Threads4.TryAllocateOneTaskB_4>=1)&&(Threads0.IdleTasks_0>=1)))||((Threads4.TryAllocateOneTaskB_4>=1)&&(Threads1.IdleTasks_1>=1)))||((Threads4.TryAllocateOneTaskB_4>=1)&&(Threads2.IdleTasks_2>=1)))||((Threads4.TryAllocateOneTaskB_4>=1)&&(Threads3.IdleTasks_3>=1)))||((Threads4.TryAllocateOneTaskB_4>=1)&&(Threads4.IdleTasks_4>=1)))||((Threads4.TryAllocateOneTaskB_4>=1)&&(Threads5.IdleTasks_5>=1)))||((Threads4.TryAllocateOneTaskB_4>=1)&&(Threads6.IdleTasks_6>=1)))||((Threads4.TryAllocateOneTaskB_4>=1)&&(Threads7.IdleTasks_7>=1)))||((Threads5.TryAllocateOneTaskB_5>=1)&&(Threads0.IdleTasks_0>=1)))||((Threads5.TryAllocateOneTaskB_5>=1)&&(Threads1.IdleTasks_1>=1)))||((Threads5.TryAllocateOneTaskB_5>=1)&&(Threads2.IdleTasks_2>=1)))||((Threads5.TryAllocateOneTaskB_5>=1)&&(Threads3.IdleTasks_3>=1)))||((Threads5.TryAllocateOneTaskB_5>=1)&&(Threads4.IdleTasks_4>=1)))||((Threads5.TryAllocateOneTaskB_5>=1)&&(Threads5.IdleTasks_5>=1)))||((Threads5.TryAllocateOneTaskB_5>=1)&&(Threads6.IdleTasks_6>=1)))||((Threads5.TryAllocateOneTaskB_5>=1)&&(Threads7.IdleTasks_7>=1)))||((Threads6.TryAllocateOneTaskB_6>=1)&&(Threads0.IdleTasks_0>=1)))||((Threads6.TryAllocateOneTaskB_6>=1)&&(Threads1.IdleTasks_1>=1)))||((Threads6.TryAllocateOneTaskB_6>=1)&&(Threads2.IdleTasks_2>=1)))||((Threads6.TryAllocateOneTaskB_6>=1)&&(Threads3.IdleTasks_3>=1)))||((Threads6.TryAllocateOneTaskB_6>=1)&&(Threads4.IdleTasks_4>=1)))||((Threads6.TryAllocateOneTaskB_6>=1)&&(Threads5.IdleTasks_5>=1)))||((Threads6.TryAllocateOneTaskB_6>=1)&&(Threads6.IdleTasks_6>=1)))||((Threads6.TryAllocateOneTaskB_6>=1)&&(Threads7.IdleTasks_7>=1)))||((Threads7.TryAllocateOneTaskB_7>=1)&&(Threads0.IdleTasks_0>=1)))||((Threads7.TryAllocateOneTaskB_7>=1)&&(Threads1.IdleTasks_1>=1)))||((Threads7.TryAllocateOneTaskB_7>=1)&&(Threads2.IdleTasks_2>=1)))||((Threads7.TryAllocateOneTaskB_7>=1)&&(Threads3.IdleTasks_3>=1)))||((Threads7.TryAllocateOneTaskB_7>=1)&&(Threads4.IdleTasks_4>=1)))||((Threads7.TryAllocateOneTaskB_7>=1)&&(Threads5.IdleTasks_5>=1)))||((Threads7.TryAllocateOneTaskB_7>=1)&&(Threads6.IdleTasks_6>=1)))||((Threads7.TryAllocateOneTaskB_7>=1)&&(Threads7.IdleTasks_7>=1)))&&(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((Jobs0.P_1725_0>=1)&&(Threads0.FetchJobB_0>=1))&&(MarkerRead.MarkerRead_0>=1))||(((Jobs0.P_1725_0>=1)&&(Threads1.FetchJobB_1>=1))&&(MarkerRead.MarkerRead_0>=1)))||(((Jobs0.P_1725_0>=1)&&(Threads2.FetchJobB_2>=1))&&(MarkerRead.MarkerRead_0>=1)))||(((Jobs0.P_1725_0>=1)&&(Threads3.FetchJobB_3>=1))&&(MarkerRead.MarkerRead_0>=1)))||(((Jobs0.P_1725_0>=1)&&(Threads4.FetchJobB_4>=1))&&(MarkerRead.MarkerRead_0>=1)))||(((Jobs0.P_1725_0>=1)&&(Threads5.FetchJobB_5>=1))&&(MarkerRead.MarkerRead_0>=1)))||(((Jobs0.P_1725_0>=1)&&(Threads6.FetchJobB_6>=1))&&(MarkerRead.MarkerRead_0>=1)))||(((Jobs0.P_1725_0>=1)&&(Threads7.FetchJobB_7>=1))&&(MarkerRead.MarkerRead_0>=1)))||(((Jobs1.P_1725_1>=1)&&(Threads0.FetchJobB_0>=1))&&(MarkerRead.MarkerRead_0>=1)))||(((Jobs1.P_1725_1>=1)&&(Threads1.FetchJobB_1>=1))&&(MarkerRead.MarkerRead_0>=1)))||(((Jobs1.P_1725_1>=1)&&(Threads2.FetchJobB_2>=1))&&(MarkerRead.MarkerRead_0>=1)))||(((Jobs1.P_1725_1>=1)&&(Threads3.FetchJobB_3>=1))&&(MarkerRead.MarkerRead_0>=1)))||(((Jobs1.P_1725_1>=1)&&(Threads4.FetchJobB_4>=1))&&(MarkerRead.MarkerRead_0>=1)))||(((Jobs1.P_1725_1>=1)&&(Threads5.FetchJobB_5>=1))&&(MarkerRead.MarkerRead_0>=1)))||(((Jobs1.P_1725_1>=1)&&(Threads6.FetchJobB_6>=1))&&(MarkerRead.MarkerRead_0>=1)))||(((Jobs1.P_1725_1>=1)&&(Threads7.FetchJobB_7>=1))&&(MarkerRead.MarkerRead_0>=1)))||(((Jobs2.P_1725_2>=1)&&(Threads0.FetchJobB_0>=1))&&(MarkerRead.MarkerRead_0>=1)))||(((Jobs2.P_1725_2>=1)&&(Threads1.FetchJobB_1>=1))&&(MarkerRead.MarkerRead_0>=1)))||(((Jobs2.P_1725_2>=1)&&(Threads2.FetchJobB_2>=1))&&(MarkerRead.MarkerRead_0>=1)))||(((Jobs2.P_1725_2>=1)&&(Threads3.FetchJobB_3>=1))&&(MarkerRead.MarkerRead_0>=1)))||(((Jobs2.P_1725_2>=1)&&(Threads4.FetchJobB_4>=1))&&(MarkerRead.MarkerRead_0>=1)))||(((Jobs2.P_1725_2>=1)&&(Threads5.FetchJobB_5>=1))&&(MarkerRead.MarkerRead_0>=1)))||(((Jobs2.P_1725_2>=1)&&(Threads6.FetchJobB_6>=1))&&(MarkerRead.MarkerRead_0>=1)))||(((Jobs2.P_1725_2>=1)&&(Threads7.FetchJobB_7>=1))&&(MarkerRead.MarkerRead_0>=1)))||(((Jobs3.P_1725_3>=1)&&(Threads0.FetchJobB_0>=1))&&(MarkerRead.MarkerRead_0>=1)))||(((Jobs3.P_1725_3>=1)&&(Threads1.FetchJobB_1>=1))&&(MarkerRead.MarkerRead_0>=1)))||(((Jobs3.P_1725_3>=1)&&(Threads2.FetchJobB_2>=1))&&(MarkerRead.MarkerRead_0>=1)))||(((Jobs3.P_1725_3>=1)&&(Threads3.FetchJobB_3>=1))&&(MarkerRead.MarkerRead_0>=1)))||(((Jobs3.P_1725_3>=1)&&(Threads4.FetchJobB_4>=1))&&(MarkerRead.MarkerRead_0>=1)))||(((Jobs3.P_1725_3>=1)&&(Threads5.FetchJobB_5>=1))&&(MarkerRead.MarkerRead_0>=1)))||(((Jobs3.P_1725_3>=1)&&(Threads6.FetchJobB_6>=1))&&(MarkerRead.MarkerRead_0>=1)))||(((Jobs3.P_1725_3>=1)&&(Threads7.FetchJobB_7>=1))&&(MarkerRead.MarkerRead_0>=1)))||(((Jobs4.P_1725_4>=1)&&(Threads0.FetchJobB_0>=1))&&(MarkerRead.MarkerRead_0>=1)))||(((Jobs4.P_1725_4>=1)&&(Threads1.FetchJobB_1>=1))&&(MarkerRead.MarkerRead_0>=1)))||(((Jobs4.P_1725_4>=1)&&(Threads2.FetchJobB_2>=1))&&(MarkerRead.MarkerRead_0>=1)))||(((Jobs4.P_1725_4>=1)&&(Threads3.FetchJobB_3>=1))&&(MarkerRead.MarkerRead_0>=1)))||(((Jobs4.P_1725_4>=1)&&(Threads4.FetchJobB_4>=1))&&(MarkerRead.MarkerRead_0>=1)))||(((Jobs4.P_1725_4>=1)&&(Threads5.FetchJobB_5>=1))&&(MarkerRead.MarkerRead_0>=1)))||(((Jobs4.P_1725_4>=1)&&(Threads6.FetchJobB_6>=1))&&(MarkerRead.MarkerRead_0>=1)))||(((Jobs4.P_1725_4>=1)&&(Threads7.FetchJobB_7>=1))&&(MarkerRead.MarkerRead_0>=1)))||(((Jobs5.P_1725_5>=1)&&(Threads0.FetchJobB_0>=1))&&(MarkerRead.MarkerRead_0>=1)))||(((Jobs5.P_1725_5>=1)&&(Threads1.FetchJobB_1>=1))&&(MarkerRead.MarkerRead_0>=1)))||(((Jobs5.P_1725_5>=1)&&(Threads2.FetchJobB_2>=1))&&(MarkerRead.MarkerRead_0>=1)))||(((Jobs5.P_1725_5>=1)&&(Threads3.FetchJobB_3>=1))&&(MarkerRead.MarkerRead_0>=1)))||(((Jobs5.P_1725_5>=1)&&(Threads4.FetchJobB_4>=1))&&(MarkerRead.MarkerRead_0>=1)))||(((Jobs5.P_1725_5>=1)&&(Threads5.FetchJobB_5>=1))&&(MarkerRead.MarkerRead_0>=1)))||(((Jobs5.P_1725_5>=1)&&(Threads6.FetchJobB_6>=1))&&(MarkerRead.MarkerRead_0>=1)))||(((Jobs5.P_1725_5>=1)&&(Threads7.FetchJobB_7>=1))&&(MarkerRead.MarkerRead_0>=1)))||(((Jobs6.P_1725_6>=1)&&(Threads0.FetchJobB_0>=1))&&(MarkerRead.MarkerRead_0>=1)))||(((Jobs6.P_1725_6>=1)&&(Threads1.FetchJobB_1>=1))&&(MarkerRead.MarkerRead_0>=1)))||(((Jobs6.P_1725_6>=1)&&(Threads2.FetchJobB_2>=1))&&(MarkerRead.MarkerRead_0>=1)))||(((Jobs6.P_1725_6>=1)&&(Threads3.FetchJobB_3>=1))&&(MarkerRead.MarkerRead_0>=1)))||(((Jobs6.P_1725_6>=1)&&(Threads4.FetchJobB_4>=1))&&(MarkerRead.MarkerRead_0>=1)))||(((Jobs6.P_1725_6>=1)&&(Threads5.FetchJobB_5>=1))&&(MarkerRead.MarkerRead_0>=1)))||(((Jobs6.P_1725_6>=1)&&(Threads6.FetchJobB_6>=1))&&(MarkerRead.MarkerRead_0>=1)))||(((Jobs6.P_1725_6>=1)&&(Threads7.FetchJobB_7>=1))&&(MarkerRead.MarkerRead_0>=1)))&&((((((((Threads0.Insert_Source_E_0>=1)||(Threads1.Insert_Source_E_1>=1))||(Threads2.Insert_Source_E_2>=1))||(Threads3.Insert_Source_E_3>=1))||(Threads4.Insert_Source_E_4>=1))||(Threads5.Insert_Source_E_5>=1))||(Threads6.Insert_Source_E_6>=1))||(Threads7.Insert_Source_E_7>=1)))))&&((((((((Threads0.P_1158_0>=2)||(Threads1.P_1158_1>=2))||(Threads2.P_1158_2>=2))||(Threads3.P_1158_3>=2))||(Threads4.P_1158_4>=2))||(Threads5.P_1158_5>=2))||(Threads6.P_1158_6>=2))||(Threads7.P_1158_7>=2)))
Read [invariant] property : PolyORBLF-COL-S04J06T08-ReachabilityFireability-05 with value :(((((((((((Threads0.NotifyEventEndOfCheckSourcesB_0>=1)&&(BlockedTasks.BlockedTasks_0>=1))&&(IsMonitoring.IsMonitoring_0>=1))||(((Threads1.NotifyEventEndOfCheckSourcesB_1>=1)&&(BlockedTasks.BlockedTasks_0>=1))&&(IsMonitoring.IsMonitoring_0>=1)))||(((Threads2.NotifyEventEndOfCheckSourcesB_2>=1)&&(BlockedTasks.BlockedTasks_0>=1))&&(IsMonitoring.IsMonitoring_0>=1)))||(((Threads3.NotifyEventEndOfCheckSourcesB_3>=1)&&(BlockedTasks.BlockedTasks_0>=1))&&(IsMonitoring.IsMonitoring_0>=1)))||(((Threads4.NotifyEventEndOfCheckSourcesB_4>=1)&&(BlockedTasks.BlockedTasks_0>=1))&&(IsMonitoring.IsMonitoring_0>=1)))||(((Threads5.NotifyEventEndOfCheckSourcesB_5>=1)&&(BlockedTasks.BlockedTasks_0>=1))&&(IsMonitoring.IsMonitoring_0>=1)))||(((Threads6.NotifyEventEndOfCheckSourcesB_6>=1)&&(BlockedTasks.BlockedTasks_0>=1))&&(IsMonitoring.IsMonitoring_0>=1)))||(((Threads7.NotifyEventEndOfCheckSourcesB_7>=1)&&(BlockedTasks.BlockedTasks_0>=1))&&(IsMonitoring.IsMonitoring_0>=1)))||(!((((((((Threads0.NotifyEventJobCompletedB_0>=1)||(Threads1.NotifyEventJobCompletedB_1>=1))||(Threads2.NotifyEventJobCompletedB_2>=1))||(Threads3.NotifyEventJobCompletedB_3>=1))||(Threads4.NotifyEventJobCompletedB_4>=1))||(Threads5.NotifyEventJobCompletedB_5>=1))||(Threads6.NotifyEventJobCompletedB_6>=1))||(Threads7.NotifyEventJobCompletedB_7>=1))))
Read [reachable] property : PolyORBLF-COL-S04J06T08-ReachabilityFireability-06 with value :((((((((((((((((((((((((((((((((((((((((((((((((((((((((((Jobs0.P_1724_0>=1)&&(P_1717.P_1717_0>=1))&&(Threads0.FetchJobB_0>=1))||(((Jobs0.P_1724_0>=1)&&(P_1717.P_1717_0>=1))&&(Threads1.FetchJobB_1>=1)))||(((Jobs0.P_1724_0>=1)&&(P_1717.P_1717_0>=1))&&(Threads2.FetchJobB_2>=1)))||(((Jobs0.P_1724_0>=1)&&(P_1717.P_1717_0>=1))&&(Threads3.FetchJobB_3>=1)))||(((Jobs0.P_1724_0>=1)&&(P_1717.P_1717_0>=1))&&(Threads4.FetchJobB_4>=1)))||(((Jobs0.P_1724_0>=1)&&(P_1717.P_1717_0>=1))&&(Threads5.FetchJobB_5>=1)))||(((Jobs0.P_1724_0>=1)&&(P_1717.P_1717_0>=1))&&(Threads6.FetchJobB_6>=1)))||(((Jobs0.P_1724_0>=1)&&(P_1717.P_1717_0>=1))&&(Threads7.FetchJobB_7>=1)))||(((Jobs1.P_1724_1>=1)&&(P_1717.P_1717_0>=1))&&(Threads0.FetchJobB_0>=1)))||(((Jobs1.P_1724_1>=1)&&(P_1717.P_1717_0>=1))&&(Threads1.FetchJobB_1>=1)))||(((Jobs1.P_1724_1>=1)&&(P_1717.P_1717_0>=1))&&(Threads2.FetchJobB_2>=1)))||(((Jobs1.P_1724_1>=1)&&(P_1717.P_1717_0>=1))&&(Threads3.FetchJobB_3>=1)))||(((Jobs1.P_1724_1>=1)&&(P_1717.P_1717_0>=1))&&(Threads4.FetchJobB_4>=1)))||(((Jobs1.P_1724_1>=1)&&(P_1717.P_1717_0>=1))&&(Threads5.FetchJobB_5>=1)))||(((Jobs1.P_1724_1>=1)&&(P_1717.P_1717_0>=1))&&(Threads6.FetchJobB_6>=1)))||(((Jobs1.P_1724_1>=1)&&(P_1717.P_1717_0>=1))&&(Threads7.FetchJobB_7>=1)))||(((Jobs2.P_1724_2>=1)&&(P_1717.P_1717_0>=1))&&(Threads0.FetchJobB_0>=1)))||(((Jobs2.P_1724_2>=1)&&(P_1717.P_1717_0>=1))&&(Threads1.FetchJobB_1>=1)))||(((Jobs2.P_1724_2>=1)&&(P_1717.P_1717_0>=1))&&(Threads2.FetchJobB_2>=1)))||(((Jobs2.P_1724_2>=1)&&(P_1717.P_1717_0>=1))&&(Threads3.FetchJobB_3>=1)))||(((Jobs2.P_1724_2>=1)&&(P_1717.P_1717_0>=1))&&(Threads4.FetchJobB_4>=1)))||(((Jobs2.P_1724_2>=1)&&(P_1717.P_1717_0>=1))&&(Threads5.FetchJobB_5>=1)))||(((Jobs2.P_1724_2>=1)&&(P_1717.P_1717_0>=1))&&(Threads6.FetchJobB_6>=1)))||(((Jobs2.P_1724_2>=1)&&(P_1717.P_1717_0>=1))&&(Threads7.FetchJobB_7>=1)))||(((Jobs3.P_1724_3>=1)&&(P_1717.P_1717_0>=1))&&(Threads0.FetchJobB_0>=1)))||(((Jobs3.P_1724_3>=1)&&(P_1717.P_1717_0>=1))&&(Threads1.FetchJobB_1>=1)))||(((Jobs3.P_1724_3>=1)&&(P_1717.P_1717_0>=1))&&(Threads2.FetchJobB_2>=1)))||(((Jobs3.P_1724_3>=1)&&(P_1717.P_1717_0>=1))&&(Threads3.FetchJobB_3>=1)))||(((Jobs3.P_1724_3>=1)&&(P_1717.P_1717_0>=1))&&(Threads4.FetchJobB_4>=1)))||(((Jobs3.P_1724_3>=1)&&(P_1717.P_1717_0>=1))&&(Threads5.FetchJobB_5>=1)))||(((Jobs3.P_1724_3>=1)&&(P_1717.P_1717_0>=1))&&(Threads6.FetchJobB_6>=1)))||(((Jobs3.P_1724_3>=1)&&(P_1717.P_1717_0>=1))&&(Threads7.FetchJobB_7>=1)))||(((Jobs4.P_1724_4>=1)&&(P_1717.P_1717_0>=1))&&(Threads0.FetchJobB_0>=1)))||(((Jobs4.P_1724_4>=1)&&(P_1717.P_1717_0>=1))&&(Threads1.FetchJobB_1>=1)))||(((Jobs4.P_1724_4>=1)&&(P_1717.P_1717_0>=1))&&(Threads2.FetchJobB_2>=1)))||(((Jobs4.P_1724_4>=1)&&(P_1717.P_1717_0>=1))&&(Threads3.FetchJobB_3>=1)))||(((Jobs4.P_1724_4>=1)&&(P_1717.P_1717_0>=1))&&(Threads4.FetchJobB_4>=1)))||(((Jobs4.P_1724_4>=1)&&(P_1717.P_1717_0>=1))&&(Threads5.FetchJobB_5>=1)))||(((Jobs4.P_1724_4>=1)&&(P_1717.P_1717_0>=1))&&(Threads6.FetchJobB_6>=1)))||(((Jobs4.P_1724_4>=1)&&(P_1717.P_1717_0>=1))&&(Threads7.FetchJobB_7>=1)))||(((Jobs5.P_1724_5>=1)&&(P_1717.P_1717_0>=1))&&(Threads0.FetchJobB_0>=1)))||(((Jobs5.P_1724_5>=1)&&(P_1717.P_1717_0>=1))&&(Threads1.FetchJobB_1>=1)))||(((Jobs5.P_1724_5>=1)&&(P_1717.P_1717_0>=1))&&(Threads2.FetchJobB_2>=1)))||(((Jobs5.P_1724_5>=1)&&(P_1717.P_1717_0>=1))&&(Threads3.FetchJobB_3>=1)))||(((Jobs5.P_1724_5>=1)&&(P_1717.P_1717_0>=1))&&(Threads4.FetchJobB_4>=1)))||(((Jobs5.P_1724_5>=1)&&(P_1717.P_1717_0>=1))&&(Threads5.FetchJobB_5>=1)))||(((Jobs5.P_1724_5>=1)&&(P_1717.P_1717_0>=1))&&(Threads6.FetchJobB_6>=1)))||(((Jobs5.P_1724_5>=1)&&(P_1717.P_1717_0>=1))&&(Threads7.FetchJobB_7>=1)))||(((Jobs6.P_1724_6>=1)&&(P_1717.P_1717_0>=1))&&(Threads0.FetchJobB_0>=1)))||(((Jobs6.P_1724_6>=1)&&(P_1717.P_1717_0>=1))&&(Threads1.FetchJobB_1>=1)))||(((Jobs6.P_1724_6>=1)&&(P_1717.P_1717_0>=1))&&(Threads2.FetchJobB_2>=1)))||(((Jobs6.P_1724_6>=1)&&(P_1717.P_1717_0>=1))&&(Threads3.FetchJobB_3>=1)))||(((Jobs6.P_1724_6>=1)&&(P_1717.P_1717_0>=1))&&(Threads4.FetchJobB_4>=1)))||(((Jobs6.P_1724_6>=1)&&(P_1717.P_1717_0>=1))&&(Threads5.FetchJobB_5>=1)))||(((Jobs6.P_1724_6>=1)&&(P_1717.P_1717_0>=1))&&(Threads6.FetchJobB_6>=1)))||(((Jobs6.P_1724_6>=1)&&(P_1717.P_1717_0>=1))&&(Threads7.FetchJobB_7>=1)))
Read [invariant] property : PolyORBLF-COL-S04J06T08-ReachabilityFireability-07 with value :(!((((((((((((((((((((((((((((((((((D40.DisablePollingB_0>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1))||(((D44.DisablePollingB_4>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D48.DisablePollingB_8>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D412.DisablePollingB_12>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D416.DisablePollingB_16>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D420.DisablePollingB_20>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D424.DisablePollingB_24>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D428.DisablePollingB_28>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D41.DisablePollingB_1>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D45.DisablePollingB_5>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D49.DisablePollingB_9>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D413.DisablePollingB_13>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D417.DisablePollingB_17>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D421.DisablePollingB_21>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D425.DisablePollingB_25>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D429.DisablePollingB_29>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D42.DisablePollingB_2>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D46.DisablePollingB_6>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D410.DisablePollingB_10>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D414.DisablePollingB_14>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D418.DisablePollingB_18>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D422.DisablePollingB_22>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D426.DisablePollingB_26>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D430.DisablePollingB_30>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D43.DisablePollingB_3>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D47.DisablePollingB_7>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D411.DisablePollingB_11>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D415.DisablePollingB_15>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D419.DisablePollingB_19>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D423.DisablePollingB_23>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D427.DisablePollingB_27>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D431.DisablePollingB_31>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1))))
Read [reachable] property : PolyORBLF-COL-S04J06T08-ReachabilityFireability-08 with value :((((((((((((((((((((((((((((((((D40.Insert_Source_B_0>=1)||(D44.Insert_Source_B_4>=1))||(D48.Insert_Source_B_8>=1))||(D412.Insert_Source_B_12>=1))||(D416.Insert_Source_B_16>=1))||(D420.Insert_Source_B_20>=1))||(D424.Insert_Source_B_24>=1))||(D428.Insert_Source_B_28>=1))||(D41.Insert_Source_B_1>=1))||(D45.Insert_Source_B_5>=1))||(D49.Insert_Source_B_9>=1))||(D413.Insert_Source_B_13>=1))||(D417.Insert_Source_B_17>=1))||(D421.Insert_Source_B_21>=1))||(D425.Insert_Source_B_25>=1))||(D429.Insert_Source_B_29>=1))||(D42.Insert_Source_B_2>=1))||(D46.Insert_Source_B_6>=1))||(D410.Insert_Source_B_10>=1))||(D414.Insert_Source_B_14>=1))||(D418.Insert_Source_B_18>=1))||(D422.Insert_Source_B_22>=1))||(D426.Insert_Source_B_26>=1))||(D430.Insert_Source_B_30>=1))||(D43.Insert_Source_B_3>=1))||(D47.Insert_Source_B_7>=1))||(D411.Insert_Source_B_11>=1))||(D415.Insert_Source_B_15>=1))||(D419.Insert_Source_B_19>=1))||(D423.Insert_Source_B_23>=1))||(D427.Insert_Source_B_27>=1))||(D431.Insert_Source_B_31>=1))
Read [reachable] property : PolyORBLF-COL-S04J06T08-ReachabilityFireability-09 with value :((!((((((((((SigAbort.SigAbort_0>=1)&&(ORB_Lock.ORB_Lock_0>=1))&&(Threads0.Check_Sources_B_0>=1))||(((SigAbort.SigAbort_0>=1)&&(ORB_Lock.ORB_Lock_0>=1))&&(Threads1.Check_Sources_B_1>=1)))||(((SigAbort.SigAbort_0>=1)&&(ORB_Lock.ORB_Lock_0>=1))&&(Threads2.Check_Sources_B_2>=1)))||(((SigAbort.SigAbort_0>=1)&&(ORB_Lock.ORB_Lock_0>=1))&&(Threads3.Check_Sources_B_3>=1)))||(((SigAbort.SigAbort_0>=1)&&(ORB_Lock.ORB_Lock_0>=1))&&(Threads4.Check_Sources_B_4>=1)))||(((SigAbort.SigAbort_0>=1)&&(ORB_Lock.ORB_Lock_0>=1))&&(Threads5.Check_Sources_B_5>=1)))||(((SigAbort.SigAbort_0>=1)&&(ORB_Lock.ORB_Lock_0>=1))&&(Threads6.Check_Sources_B_6>=1)))||(((SigAbort.SigAbort_0>=1)&&(ORB_Lock.ORB_Lock_0>=1))&&(Threads7.Check_Sources_B_7>=1))))&&((((((((((Threads0.P_1113_0>=1)&&(P_1093.P_1093_0>=1))||((Threads1.P_1113_1>=1)&&(P_1093.P_1093_0>=1)))||((Threads2.P_1113_2>=1)&&(P_1093.P_1093_0>=1)))||((Threads3.P_1113_3>=1)&&(P_1093.P_1093_0>=1)))||((Threads4.P_1113_4>=1)&&(P_1093.P_1093_0>=1)))||((Threads5.P_1113_5>=1)&&(P_1093.P_1093_0>=1)))||((Threads6.P_1113_6>=1)&&(P_1093.P_1093_0>=1)))||((Threads7.P_1113_7>=1)&&(P_1093.P_1093_0>=1)))&&(((((((((((Threads0.P_2318_0>=1)&&(Threads0.TryAllocateOneTaskE_0>=1))||((Threads1.P_2318_1>=1)&&(Threads1.TryAllocateOneTaskE_1>=1)))||((Threads2.P_2318_2>=1)&&(Threads2.TryAllocateOneTaskE_2>=1)))||((Threads3.P_2318_3>=1)&&(Threads3.TryAllocateOneTaskE_3>=1)))||((Threads4.P_2318_4>=1)&&(Threads4.TryAllocateOneTaskE_4>=1)))||((Threads5.P_2318_5>=1)&&(Threads5.TryAllocateOneTaskE_5>=1)))||((Threads6.P_2318_6>=1)&&(Threads6.TryAllocateOneTaskE_6>=1)))||((Threads7.P_2318_7>=1)&&(Threads7.TryAllocateOneTaskE_7>=1)))||(((((((((Threads0.Abort_Check_Sources_B_0>=1)&&(SigAbort.SigAbort_0>=1))||((Threads1.Abort_Check_Sources_B_1>=1)&&(SigAbort.SigAbort_0>=1)))||((Threads2.Abort_Check_Sources_B_2>=1)&&(SigAbort.SigAbort_0>=1)))||((Threads3.Abort_Check_Sources_B_3>=1)&&(SigAbort.SigAbort_0>=1)))||((Threads4.Abort_Check_Sources_B_4>=1)&&(SigAbort.SigAbort_0>=1)))||((Threads5.Abort_Check_Sources_B_5>=1)&&(SigAbort.SigAbort_0>=1)))||((Threads6.Abort_Check_Sources_B_6>=1)&&(SigAbort.SigAbort_0>=1)))||((Threads7.Abort_Check_Sources_B_7>=1)&&(SigAbort.SigAbort_0>=1))))||(((((((((((((((((((((((((((((((((((D40.DisablePollingB_0>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1))||(((D44.DisablePollingB_4>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D48.DisablePollingB_8>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D412.DisablePollingB_12>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D416.DisablePollingB_16>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D420.DisablePollingB_20>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D424.DisablePollingB_24>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D428.DisablePollingB_28>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D41.DisablePollingB_1>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D45.DisablePollingB_5>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D49.DisablePollingB_9>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D413.DisablePollingB_13>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D417.DisablePollingB_17>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D421.DisablePollingB_21>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D425.DisablePollingB_25>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D429.DisablePollingB_29>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D42.DisablePollingB_2>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D46.DisablePollingB_6>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D410.DisablePollingB_10>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D414.DisablePollingB_14>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D418.DisablePollingB_18>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D422.DisablePollingB_22>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D426.DisablePollingB_26>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D430.DisablePollingB_30>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D43.DisablePollingB_3>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D47.DisablePollingB_7>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D411.DisablePollingB_11>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D415.DisablePollingB_15>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D419.DisablePollingB_19>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D423.DisablePollingB_23>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D427.DisablePollingB_27>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D431.DisablePollingB_31>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||((((((((Threads0.Insert_Source_E_0>=1)||(Threads1.Insert_Source_E_1>=1))||(Threads2.Insert_Source_E_2>=1))||(Threads3.Insert_Source_E_3>=1))||(Threads4.Insert_Source_E_4>=1))||(Threads5.Insert_Source_E_5>=1))||(Threads6.Insert_Source_E_6>=1))||(Threads7.Insert_Source_E_7>=1))))))
Read [invariant] property : PolyORBLF-COL-S04J06T08-ReachabilityFireability-10 with value :(!((((((((((((((((((((((((((((((((((D40.Insert_Source_B_0>=1)||(D44.Insert_Source_B_4>=1))||(D48.Insert_Source_B_8>=1))||(D412.Insert_Source_B_12>=1))||(D416.Insert_Source_B_16>=1))||(D420.Insert_Source_B_20>=1))||(D424.Insert_Source_B_24>=1))||(D428.Insert_Source_B_28>=1))||(D41.Insert_Source_B_1>=1))||(D45.Insert_Source_B_5>=1))||(D49.Insert_Source_B_9>=1))||(D413.Insert_Source_B_13>=1))||(D417.Insert_Source_B_17>=1))||(D421.Insert_Source_B_21>=1))||(D425.Insert_Source_B_25>=1))||(D429.Insert_Source_B_29>=1))||(D42.Insert_Source_B_2>=1))||(D46.Insert_Source_B_6>=1))||(D410.Insert_Source_B_10>=1))||(D414.Insert_Source_B_14>=1))||(D418.Insert_Source_B_18>=1))||(D422.Insert_Source_B_22>=1))||(D426.Insert_Source_B_26>=1))||(D430.Insert_Source_B_30>=1))||(D43.Insert_Source_B_3>=1))||(D47.Insert_Source_B_7>=1))||(D411.Insert_Source_B_11>=1))||(D415.Insert_Source_B_15>=1))||(D419.Insert_Source_B_19>=1))||(D423.Insert_Source_B_23>=1))||(D427.Insert_Source_B_27>=1))||(D431.Insert_Source_B_31>=1))&&((((((((((Threads0.Abort_Check_Sources_B_0>=1)&&(NoSigAbort.NoSigAbort_0>=1))||((Threads1.Abort_Check_Sources_B_1>=1)&&(NoSigAbort.NoSigAbort_0>=1)))||((Threads2.Abort_Check_Sources_B_2>=1)&&(NoSigAbort.NoSigAbort_0>=1)))||((Threads3.Abort_Check_Sources_B_3>=1)&&(NoSigAbort.NoSigAbort_0>=1)))||((Threads4.Abort_Check_Sources_B_4>=1)&&(NoSigAbort.NoSigAbort_0>=1)))||((Threads5.Abort_Check_Sources_B_5>=1)&&(NoSigAbort.NoSigAbort_0>=1)))||((Threads6.Abort_Check_Sources_B_6>=1)&&(NoSigAbort.NoSigAbort_0>=1)))||((Threads7.Abort_Check_Sources_B_7>=1)&&(NoSigAbort.NoSigAbort_0>=1)))&&(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((Threads0.ProcessingEvt_0>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources0.ModifiedSrc_0>=1))&&(Sources0.ModifiedSrc_0>=1))&&(Sources0.ModifiedSrc_0>=2))&&(Sources0.ModifiedSrc_0>=2))&&(Sources0.ModifiedSrc_0>=2))||((((((((Threads1.ProcessingEvt_1>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources0.ModifiedSrc_0>=1))&&(Sources0.ModifiedSrc_0>=1))&&(Sources0.ModifiedSrc_0>=2))&&(Sources0.ModifiedSrc_0>=2))&&(Sources0.ModifiedSrc_0>=2)))||((((((((Threads2.ProcessingEvt_2>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources0.ModifiedSrc_0>=1))&&(Sources0.ModifiedSrc_0>=1))&&(Sources0.ModifiedSrc_0>=2))&&(Sources0.ModifiedSrc_0>=2))&&(Sources0.ModifiedSrc_0>=2)))||((((((((Threads3.ProcessingEvt_3>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources0.ModifiedSrc_0>=1))&&(Sources0.ModifiedSrc_0>=1))&&(Sources0.ModifiedSrc_0>=2))&&(Sources0.ModifiedSrc_0>=2))&&(Sources0.ModifiedSrc_0>=2)))||((((((((Threads4.ProcessingEvt_4>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources0.ModifiedSrc_0>=1))&&(Sources0.ModifiedSrc_0>=1))&&(Sources0.ModifiedSrc_0>=2))&&(Sources0.ModifiedSrc_0>=2))&&(Sources0.ModifiedSrc_0>=2)))||((((((((Threads5.ProcessingEvt_5>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources0.ModifiedSrc_0>=1))&&(Sources0.ModifiedSrc_0>=1))&&(Sources0.ModifiedSrc_0>=2))&&(Sources0.ModifiedSrc_0>=2))&&(Sources0.ModifiedSrc_0>=2)))||((((((((Threads6.ProcessingEvt_6>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources0.ModifiedSrc_0>=1))&&(Sources0.ModifiedSrc_0>=1))&&(Sources0.ModifiedSrc_0>=2))&&(Sources0.ModifiedSrc_0>=2))&&(Sources0.ModifiedSrc_0>=2)))||((((((((Threads7.ProcessingEvt_7>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources0.ModifiedSrc_0>=1))&&(Sources0.ModifiedSrc_0>=1))&&(Sources0.ModifiedSrc_0>=2))&&(Sources0.ModifiedSrc_0>=2))&&(Sources0.ModifiedSrc_0>=2)))||((((((Threads0.ProcessingEvt_0>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources0.ModifiedSrc_0>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources0.ModifiedSrc_0>=2)))||((((((Threads1.ProcessingEvt_1>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources0.ModifiedSrc_0>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources0.ModifiedSrc_0>=2)))||((((((Threads2.ProcessingEvt_2>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources0.ModifiedSrc_0>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources0.ModifiedSrc_0>=2)))||((((((Threads3.ProcessingEvt_3>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources0.ModifiedSrc_0>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources0.ModifiedSrc_0>=2)))||((((((Threads4.ProcessingEvt_4>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources0.ModifiedSrc_0>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources0.ModifiedSrc_0>=2)))||((((((Threads5.ProcessingEvt_5>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources0.ModifiedSrc_0>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources0.ModifiedSrc_0>=2)))||((((((Threads6.ProcessingEvt_6>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources0.ModifiedSrc_0>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources0.ModifiedSrc_0>=2)))||((((((Threads7.ProcessingEvt_7>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources0.ModifiedSrc_0>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources0.ModifiedSrc_0>=2)))||((((((Threads0.ProcessingEvt_0>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=2)))||((((((Threads1.ProcessingEvt_1>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=2)))||((((((Threads2.ProcessingEvt_2>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=2)))||((((((Threads3.ProcessingEvt_3>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=2)))||((((((Threads4.ProcessingEvt_4>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=2)))||((((((Threads5.ProcessingEvt_5>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=2)))||((((((Threads6.ProcessingEvt_6>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=2)))||((((((Threads7.ProcessingEvt_7>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=2)))||((((((((Threads0.ProcessingEvt_0>=1)&&(cSources.cSources_0>=7))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=2))&&(Sources1.ModifiedSrc_1>=2))&&(Sources1.ModifiedSrc_1>=2)))||((((((((Threads1.ProcessingEvt_1>=1)&&(cSources.cSources_0>=7))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=2))&&(Sources1.ModifiedSrc_1>=2))&&(Sources1.ModifiedSrc_1>=2)))||((((((((Threads2.ProcessingEvt_2>=1)&&(cSources.cSources_0>=7))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=2))&&(Sources1.ModifiedSrc_1>=2))&&(Sources1.ModifiedSrc_1>=2)))||((((((((Threads3.ProcessingEvt_3>=1)&&(cSources.cSources_0>=7))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=2))&&(Sources1.ModifiedSrc_1>=2))&&(Sources1.ModifiedSrc_1>=2)))||((((((((Threads4.ProcessingEvt_4>=1)&&(cSources.cSources_0>=7))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=2))&&(Sources1.ModifiedSrc_1>=2))&&(Sources1.ModifiedSrc_1>=2)))||((((((((Threads5.ProcessingEvt_5>=1)&&(cSources.cSources_0>=7))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=2))&&(Sources1.ModifiedSrc_1>=2))&&(Sources1.ModifiedSrc_1>=2)))||((((((((Threads6.ProcessingEvt_6>=1)&&(cSources.cSources_0>=7))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=2))&&(Sources1.ModifiedSrc_1>=2))&&(Sources1.ModifiedSrc_1>=2)))||((((((((Threads7.ProcessingEvt_7>=1)&&(cSources.cSources_0>=7))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=2))&&(Sources1.ModifiedSrc_1>=2))&&(Sources1.ModifiedSrc_1>=2)))||((((((Threads0.ProcessingEvt_0>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources0.ModifiedSrc_0>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources0.ModifiedSrc_0>=2)))||((((((Threads1.ProcessingEvt_1>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources0.ModifiedSrc_0>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources0.ModifiedSrc_0>=2)))||((((((Threads2.ProcessingEvt_2>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources0.ModifiedSrc_0>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources0.ModifiedSrc_0>=2)))||((((((Threads3.ProcessingEvt_3>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources0.ModifiedSrc_0>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources0.ModifiedSrc_0>=2)))||((((((Threads4.ProcessingEvt_4>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources0.ModifiedSrc_0>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources0.ModifiedSrc_0>=2)))||((((((Threads5.ProcessingEvt_5>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources0.ModifiedSrc_0>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources0.ModifiedSrc_0>=2)))||((((((Threads6.ProcessingEvt_6>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources0.ModifiedSrc_0>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources0.ModifiedSrc_0>=2)))||((((((Threads7.ProcessingEvt_7>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources0.ModifiedSrc_0>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources0.ModifiedSrc_0>=2)))||(((((Threads0.ProcessingEvt_0>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources2.ModifiedSrc_2>=1)))||(((((Threads1.ProcessingEvt_1>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources2.ModifiedSrc_2>=1)))||(((((Threads2.ProcessingEvt_2>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources2.ModifiedSrc_2>=1)))||(((((Threads3.ProcessingEvt_3>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources2.ModifiedSrc_2>=1)))||(((((Threads4.ProcessingEvt_4>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources2.ModifiedSrc_2>=1)))||(((((Threads5.ProcessingEvt_5>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources2.ModifiedSrc_2>=1)))||(((((Threads6.ProcessingEvt_6>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources2.ModifiedSrc_2>=1)))||(((((Threads7.ProcessingEvt_7>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources2.ModifiedSrc_2>=1)))||((((((Threads0.ProcessingEvt_0>=1)&&(cSources.cSources_0>=7))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources1.ModifiedSrc_1>=2)))||((((((Threads1.ProcessingEvt_1>=1)&&(cSources.cSources_0>=7))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources1.ModifiedSrc_1>=2)))||((((((Threads2.ProcessingEvt_2>=1)&&(cSources.cSources_0>=7))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources1.ModifiedSrc_1>=2)))||((((((Threads3.ProcessingEvt_3>=1)&&(cSources.cSources_0>=7))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources1.ModifiedSrc_1>=2)))||((((((Threads4.ProcessingEvt_4>=1)&&(cSources.cSources_0>=7))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources1.ModifiedSrc_1>=2)))||((((((Threads5.ProcessingEvt_5>=1)&&(cSources.cSources_0>=7))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources1.ModifiedSrc_1>=2)))||((((((Threads6.ProcessingEvt_6>=1)&&(cSources.cSources_0>=7))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources1.ModifiedSrc_1>=2)))||((((((Threads7.ProcessingEvt_7>=1)&&(cSources.cSources_0>=7))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources1.ModifiedSrc_1>=2)))||((((((Threads0.ProcessingEvt_0>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=2)))||((((((Threads1.ProcessingEvt_1>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=2)))||((((((Threads2.ProcessingEvt_2>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=2)))||((((((Threads3.ProcessingEvt_3>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=2)))||((((((Threads4.ProcessingEvt_4>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=2)))||((((((Threads5.ProcessingEvt_5>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=2)))||((((((Threads6.ProcessingEvt_6>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=2)))||((((((Threads7.ProcessingEvt_7>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=2)))||((((((Threads0.ProcessingEvt_0>=1)&&(cSources.cSources_0>=7))&&(Sources1.ModifiedSrc_1>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=2)))||((((((Threads1.ProcessingEvt_1>=1)&&(cSources.cSources_0>=7))&&(Sources1.ModifiedSrc_1>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=2)))||((((((Threads2.ProcessingEvt_2>=1)&&(cSources.cSources_0>=7))&&(Sources1.ModifiedSrc_1>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=2)))||((((((Threads3.ProcessingEvt_3>=1)&&(cSources.cSources_0>=7))&&(Sources1.ModifiedSrc_1>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=2)))||((((((Threads4.ProcessingEvt_4>=1)&&(cSources.cSources_0>=7))&&(Sources1.ModifiedSrc_1>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=2)))||((((((Threads5.ProcessingEvt_5>=1)&&(cSources.cSources_0>=7))&&(Sources1.ModifiedSrc_1>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=2)))||((((((Threads6.ProcessingEvt_6>=1)&&(cSources.cSources_0>=7))&&(Sources1.ModifiedSrc_1>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=2)))||((((((Threads7.ProcessingEvt_7>=1)&&(cSources.cSources_0>=7))&&(Sources1.ModifiedSrc_1>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=2)))||((((((((Threads0.ProcessingEvt_0>=1)&&(cSources.cSources_0>=7))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=2))&&(Sources2.ModifiedSrc_2>=2))&&(Sources2.ModifiedSrc_2>=2)))||((((((((Threads1.ProcessingEvt_1>=1)&&(cSources.cSources_0>=7))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=2))&&(Sources2.ModifiedSrc_2>=2))&&(Sources2.ModifiedSrc_2>=2)))||((((((((Threads2.ProcessingEvt_2>=1)&&(cSources.cSources_0>=7))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=2))&&(Sources2.ModifiedSrc_2>=2))&&(Sources2.ModifiedSrc_2>=2)))||((((((((Threads3.ProcessingEvt_3>=1)&&(cSources.cSources_0>=7))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=2))&&(Sources2.ModifiedSrc_2>=2))&&(Sources2.ModifiedSrc_2>=2)))||((((((((Threads4.ProcessingEvt_4>=1)&&(cSources.cSources_0>=7))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=2))&&(Sources2.ModifiedSrc_2>=2))&&(Sources2.ModifiedSrc_2>=2)))||((((((((Threads5.ProcessingEvt_5>=1)&&(cSources.cSources_0>=7))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=2))&&(Sources2.ModifiedSrc_2>=2))&&(Sources2.ModifiedSrc_2>=2)))||((((((((Threads6.ProcessingEvt_6>=1)&&(cSources.cSources_0>=7))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=2))&&(Sources2.ModifiedSrc_2>=2))&&(Sources2.ModifiedSrc_2>=2)))||((((((((Threads7.ProcessingEvt_7>=1)&&(cSources.cSources_0>=7))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=2))&&(Sources2.ModifiedSrc_2>=2))&&(Sources2.ModifiedSrc_2>=2)))||((((((Threads0.ProcessingEvt_0>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources0.ModifiedSrc_0>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources0.ModifiedSrc_0>=2)))||((((((Threads1.ProcessingEvt_1>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources0.ModifiedSrc_0>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources0.ModifiedSrc_0>=2)))||((((((Threads2.ProcessingEvt_2>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources0.ModifiedSrc_0>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources0.ModifiedSrc_0>=2)))||((((((Threads3.ProcessingEvt_3>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources0.ModifiedSrc_0>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources0.ModifiedSrc_0>=2)))||((((((Threads4.ProcessingEvt_4>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources0.ModifiedSrc_0>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources0.ModifiedSrc_0>=2)))||((((((Threads5.ProcessingEvt_5>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources0.ModifiedSrc_0>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources0.ModifiedSrc_0>=2)))||((((((Threads6.ProcessingEvt_6>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources0.ModifiedSrc_0>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources0.ModifiedSrc_0>=2)))||((((((Threads7.ProcessingEvt_7>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources0.ModifiedSrc_0>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources0.ModifiedSrc_0>=2)))||(((((Threads0.ProcessingEvt_0>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources3.ModifiedSrc_3>=1)))||(((((Threads1.ProcessingEvt_1>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources3.ModifiedSrc_3>=1)))||(((((Threads2.ProcessingEvt_2>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources3.ModifiedSrc_3>=1)))||(((((Threads3.ProcessingEvt_3>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources3.ModifiedSrc_3>=1)))||(((((Threads4.ProcessingEvt_4>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources3.ModifiedSrc_3>=1)))||(((((Threads5.ProcessingEvt_5>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources3.ModifiedSrc_3>=1)))||(((((Threads6.ProcessingEvt_6>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources3.ModifiedSrc_3>=1)))||(((((Threads7.ProcessingEvt_7>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources3.ModifiedSrc_3>=1)))||((((((Threads0.ProcessingEvt_0>=1)&&(cSources.cSources_0>=7))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources1.ModifiedSrc_1>=2)))||((((((Threads1.ProcessingEvt_1>=1)&&(cSources.cSources_0>=7))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources1.ModifiedSrc_1>=2)))||((((((Threads2.ProcessingEvt_2>=1)&&(cSources.cSources_0>=7))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources1.ModifiedSrc_1>=2)))||((((((Threads3.ProcessingEvt_3>=1)&&(cSources.cSources_0>=7))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources1.ModifiedSrc_1>=2)))||((((((Threads4.ProcessingEvt_4>=1)&&(cSources.cSources_0>=7))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources1.ModifiedSrc_1>=2)))||((((((Threads5.ProcessingEvt_5>=1)&&(cSources.cSources_0>=7))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources1.ModifiedSrc_1>=2)))||((((((Threads6.ProcessingEvt_6>=1)&&(cSources.cSources_0>=7))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources1.ModifiedSrc_1>=2)))||((((((Threads7.ProcessingEvt_7>=1)&&(cSources.cSources_0>=7))&&(Sources1.ModifiedSrc_1>=1))&&(Sources1.ModifiedSrc_1>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources1.ModifiedSrc_1>=2)))||(((((Threads0.ProcessingEvt_0>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources3.ModifiedSrc_3>=1)))||(((((Threads1.ProcessingEvt_1>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources3.ModifiedSrc_3>=1)))||(((((Threads2.ProcessingEvt_2>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources3.ModifiedSrc_3>=1)))||(((((Threads3.ProcessingEvt_3>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources3.ModifiedSrc_3>=1)))||(((((Threads4.ProcessingEvt_4>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources3.ModifiedSrc_3>=1)))||(((((Threads5.ProcessingEvt_5>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources3.ModifiedSrc_3>=1)))||(((((Threads6.ProcessingEvt_6>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources3.ModifiedSrc_3>=1)))||(((((Threads7.ProcessingEvt_7>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources3.ModifiedSrc_3>=1)))||(((((Threads0.ProcessingEvt_0>=1)&&(cSources.cSources_0>=7))&&(Sources1.ModifiedSrc_1>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources3.ModifiedSrc_3>=1)))||(((((Threads1.ProcessingEvt_1>=1)&&(cSources.cSources_0>=7))&&(Sources1.ModifiedSrc_1>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources3.ModifiedSrc_3>=1)))||(((((Threads2.ProcessingEvt_2>=1)&&(cSources.cSources_0>=7))&&(Sources1.ModifiedSrc_1>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources3.ModifiedSrc_3>=1)))||(((((Threads3.ProcessingEvt_3>=1)&&(cSources.cSources_0>=7))&&(Sources1.ModifiedSrc_1>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources3.ModifiedSrc_3>=1)))||(((((Threads4.ProcessingEvt_4>=1)&&(cSources.cSources_0>=7))&&(Sources1.ModifiedSrc_1>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources3.ModifiedSrc_3>=1)))||(((((Threads5.ProcessingEvt_5>=1)&&(cSources.cSources_0>=7))&&(Sources1.ModifiedSrc_1>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources3.ModifiedSrc_3>=1)))||(((((Threads6.ProcessingEvt_6>=1)&&(cSources.cSources_0>=7))&&(Sources1.ModifiedSrc_1>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources3.ModifiedSrc_3>=1)))||(((((Threads7.ProcessingEvt_7>=1)&&(cSources.cSources_0>=7))&&(Sources1.ModifiedSrc_1>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources3.ModifiedSrc_3>=1)))||((((((Threads0.ProcessingEvt_0>=1)&&(cSources.cSources_0>=7))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources2.ModifiedSrc_2>=2)))||((((((Threads1.ProcessingEvt_1>=1)&&(cSources.cSources_0>=7))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources2.ModifiedSrc_2>=2)))||((((((Threads2.ProcessingEvt_2>=1)&&(cSources.cSources_0>=7))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources2.ModifiedSrc_2>=2)))||((((((Threads3.ProcessingEvt_3>=1)&&(cSources.cSources_0>=7))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources2.ModifiedSrc_2>=2)))||((((((Threads4.ProcessingEvt_4>=1)&&(cSources.cSources_0>=7))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources2.ModifiedSrc_2>=2)))||((((((Threads5.ProcessingEvt_5>=1)&&(cSources.cSources_0>=7))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources2.ModifiedSrc_2>=2)))||((((((Threads6.ProcessingEvt_6>=1)&&(cSources.cSources_0>=7))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources2.ModifiedSrc_2>=2)))||((((((Threads7.ProcessingEvt_7>=1)&&(cSources.cSources_0>=7))&&(Sources2.ModifiedSrc_2>=1))&&(Sources2.ModifiedSrc_2>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources2.ModifiedSrc_2>=2)))||((((((Threads0.ProcessingEvt_0>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=2)))||((((((Threads1.ProcessingEvt_1>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=2)))||((((((Threads2.ProcessingEvt_2>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=2)))||((((((Threads3.ProcessingEvt_3>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=2)))||((((((Threads4.ProcessingEvt_4>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=2)))||((((((Threads5.ProcessingEvt_5>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=2)))||((((((Threads6.ProcessingEvt_6>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=2)))||((((((Threads7.ProcessingEvt_7>=1)&&(cSources.cSources_0>=7))&&(Sources0.ModifiedSrc_0>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=2)))||((((((Threads0.ProcessingEvt_0>=1)&&(cSources.cSources_0>=7))&&(Sources1.ModifiedSrc_1>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=2)))||((((((Threads1.ProcessingEvt_1>=1)&&(cSources.cSources_0>=7))&&(Sources1.ModifiedSrc_1>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=2)))||((((((Threads2.ProcessingEvt_2>=1)&&(cSources.cSources_0>=7))&&(Sources1.ModifiedSrc_1>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=2)))||((((((Threads3.ProcessingEvt_3>=1)&&(cSources.cSources_0>=7))&&(Sources1.ModifiedSrc_1>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=2)))||((((((Threads4.ProcessingEvt_4>=1)&&(cSources.cSources_0>=7))&&(Sources1.ModifiedSrc_1>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=2)))||((((((Threads5.ProcessingEvt_5>=1)&&(cSources.cSources_0>=7))&&(Sources1.ModifiedSrc_1>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=2)))||((((((Threads6.ProcessingEvt_6>=1)&&(cSources.cSources_0>=7))&&(Sources1.ModifiedSrc_1>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=2)))||((((((Threads7.ProcessingEvt_7>=1)&&(cSources.cSources_0>=7))&&(Sources1.ModifiedSrc_1>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=2)))||((((((Threads0.ProcessingEvt_0>=1)&&(cSources.cSources_0>=7))&&(Sources2.ModifiedSrc_2>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=2)))||((((((Threads1.ProcessingEvt_1>=1)&&(cSources.cSources_0>=7))&&(Sources2.ModifiedSrc_2>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=2)))||((((((Threads2.ProcessingEvt_2>=1)&&(cSources.cSources_0>=7))&&(Sources2.ModifiedSrc_2>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=2)))||((((((Threads3.ProcessingEvt_3>=1)&&(cSources.cSources_0>=7))&&(Sources2.ModifiedSrc_2>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=2)))||((((((Threads4.ProcessingEvt_4>=1)&&(cSources.cSources_0>=7))&&(Sources2.ModifiedSrc_2>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=2)))||((((((Threads5.ProcessingEvt_5>=1)&&(cSources.cSources_0>=7))&&(Sources2.ModifiedSrc_2>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=2)))||((((((Threads6.ProcessingEvt_6>=1)&&(cSources.cSources_0>=7))&&(Sources2.ModifiedSrc_2>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=2)))||((((((Threads7.ProcessingEvt_7>=1)&&(cSources.cSources_0>=7))&&(Sources2.ModifiedSrc_2>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=2)))||((((((((Threads0.ProcessingEvt_0>=1)&&(cSources.cSources_0>=7))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=2))&&(Sources3.ModifiedSrc_3>=2))&&(Sources3.ModifiedSrc_3>=2)))||((((((((Threads1.ProcessingEvt_1>=1)&&(cSources.cSources_0>=7))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=2))&&(Sources3.ModifiedSrc_3>=2))&&(Sources3.ModifiedSrc_3>=2)))||((((((((Threads2.ProcessingEvt_2>=1)&&(cSources.cSources_0>=7))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=2))&&(Sources3.ModifiedSrc_3>=2))&&(Sources3.ModifiedSrc_3>=2)))||((((((((Threads3.ProcessingEvt_3>=1)&&(cSources.cSources_0>=7))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=2))&&(Sources3.ModifiedSrc_3>=2))&&(Sources3.ModifiedSrc_3>=2)))||((((((((Threads4.ProcessingEvt_4>=1)&&(cSources.cSources_0>=7))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=2))&&(Sources3.ModifiedSrc_3>=2))&&(Sources3.ModifiedSrc_3>=2)))||((((((((Threads5.ProcessingEvt_5>=1)&&(cSources.cSources_0>=7))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=2))&&(Sources3.ModifiedSrc_3>=2))&&(Sources3.ModifiedSrc_3>=2)))||((((((((Threads6.ProcessingEvt_6>=1)&&(cSources.cSources_0>=7))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=2))&&(Sources3.ModifiedSrc_3>=2))&&(Sources3.ModifiedSrc_3>=2)))||((((((((Threads7.ProcessingEvt_7>=1)&&(cSources.cSources_0>=7))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=1))&&(Sources3.ModifiedSrc_3>=2))&&(Sources3.ModifiedSrc_3>=2))&&(Sources3.ModifiedSrc_3>=2)))))||((((((((Threads0.Perform_Work_E_0>=1)||(Threads1.Perform_Work_E_1>=1))||(Threads2.Perform_Work_E_2>=1))||(Threads3.Perform_Work_E_3>=1))||(Threads4.Perform_Work_E_4>=1))||(Threads5.Perform_Work_E_5>=1))||(Threads6.Perform_Work_E_6>=1))||(Threads7.Perform_Work_E_7>=1))))
Read [invariant] property : PolyORBLF-COL-S04J06T08-ReachabilityFireability-11 with value :(((((((((((((cBlockedTasks.cBlockedTasks_0>=1)&&(Threads0.ScheduleTaskE_Check_0>=1))||((cBlockedTasks.cBlockedTasks_0>=1)&&(Threads1.ScheduleTaskE_Check_1>=1)))||((cBlockedTasks.cBlockedTasks_0>=1)&&(Threads2.ScheduleTaskE_Check_2>=1)))||((cBlockedTasks.cBlockedTasks_0>=1)&&(Threads3.ScheduleTaskE_Check_3>=1)))||((cBlockedTasks.cBlockedTasks_0>=1)&&(Threads4.ScheduleTaskE_Check_4>=1)))||((cBlockedTasks.cBlockedTasks_0>=1)&&(Threads5.ScheduleTaskE_Check_5>=1)))||((cBlockedTasks.cBlockedTasks_0>=1)&&(Threads6.ScheduleTaskE_Check_6>=1)))||((cBlockedTasks.cBlockedTasks_0>=1)&&(Threads7.ScheduleTaskE_Check_7>=1)))||(((((((((((((((((((((((((((((((((((((((((((((((((((((((((D10.QueueJobB_0>=1)&&(MarkerWrite.MarkerWrite_0>=1))||((D17.QueueJobB_7>=1)&&(MarkerWrite.MarkerWrite_0>=1)))||((D114.QueueJobB_14>=1)&&(MarkerWrite.MarkerWrite_0>=1)))||((D121.QueueJobB_21>=1)&&(MarkerWrite.MarkerWrite_0>=1)))||((D128.QueueJobB_28>=1)&&(MarkerWrite.MarkerWrite_0>=1)))||((D135.QueueJobB_35>=1)&&(MarkerWrite.MarkerWrite_0>=1)))||((D142.QueueJobB_42>=1)&&(MarkerWrite.MarkerWrite_0>=1)))||((D149.QueueJobB_49>=1)&&(MarkerWrite.MarkerWrite_0>=1)))||((D11.QueueJobB_1>=1)&&(MarkerWrite.MarkerWrite_0>=1)))||((D18.QueueJobB_8>=1)&&(MarkerWrite.MarkerWrite_0>=1)))||((D115.QueueJobB_15>=1)&&(MarkerWrite.MarkerWrite_0>=1)))||((D122.QueueJobB_22>=1)&&(MarkerWrite.MarkerWrite_0>=1)))||((D129.QueueJobB_29>=1)&&(MarkerWrite.MarkerWrite_0>=1)))||((D136.QueueJobB_36>=1)&&(MarkerWrite.MarkerWrite_0>=1)))||((D143.QueueJobB_43>=1)&&(MarkerWrite.MarkerWrite_0>=1)))||((D150.QueueJobB_50>=1)&&(MarkerWrite.MarkerWrite_0>=1)))||((D12.QueueJobB_2>=1)&&(MarkerWrite.MarkerWrite_0>=1)))||((D19.QueueJobB_9>=1)&&(MarkerWrite.MarkerWrite_0>=1)))||((D116.QueueJobB_16>=1)&&(MarkerWrite.MarkerWrite_0>=1)))||((D123.QueueJobB_23>=1)&&(MarkerWrite.MarkerWrite_0>=1)))||((D130.QueueJobB_30>=1)&&(MarkerWrite.MarkerWrite_0>=1)))||((D137.QueueJobB_37>=1)&&(MarkerWrite.MarkerWrite_0>=1)))||((D144.QueueJobB_44>=1)&&(MarkerWrite.MarkerWrite_0>=1)))||((D151.QueueJobB_51>=1)&&(MarkerWrite.MarkerWrite_0>=1)))||((D13.QueueJobB_3>=1)&&(MarkerWrite.MarkerWrite_0>=1)))||((D110.QueueJobB_10>=1)&&(MarkerWrite.MarkerWrite_0>=1)))||((D117.QueueJobB_17>=1)&&(MarkerWrite.MarkerWrite_0>=1)))||((D124.QueueJobB_24>=1)&&(MarkerWrite.MarkerWrite_0>=1)))||((D131.QueueJobB_31>=1)&&(MarkerWrite.MarkerWrite_0>=1)))||((D138.QueueJobB_38>=1)&&(MarkerWrite.MarkerWrite_0>=1)))||((D145.QueueJobB_45>=1)&&(MarkerWrite.MarkerWrite_0>=1)))||((D152.QueueJobB_52>=1)&&(MarkerWrite.MarkerWrite_0>=1)))||((D14.QueueJobB_4>=1)&&(MarkerWrite.MarkerWrite_0>=1)))||((D111.QueueJobB_11>=1)&&(MarkerWrite.MarkerWrite_0>=1)))||((D118.QueueJobB_18>=1)&&(MarkerWrite.MarkerWrite_0>=1)))||((D125.QueueJobB_25>=1)&&(MarkerWrite.MarkerWrite_0>=1)))||((D132.QueueJobB_32>=1)&&(MarkerWrite.MarkerWrite_0>=1)))||((D139.QueueJobB_39>=1)&&(MarkerWrite.MarkerWrite_0>=1)))||((D146.QueueJobB_46>=1)&&(MarkerWrite.MarkerWrite_0>=1)))||((D153.QueueJobB_53>=1)&&(MarkerWrite.MarkerWrite_0>=1)))||((D15.QueueJobB_5>=1)&&(MarkerWrite.MarkerWrite_0>=1)))||((D112.QueueJobB_12>=1)&&(MarkerWrite.MarkerWrite_0>=1)))||((D119.QueueJobB_19>=1)&&(MarkerWrite.MarkerWrite_0>=1)))||((D126.QueueJobB_26>=1)&&(MarkerWrite.MarkerWrite_0>=1)))||((D133.QueueJobB_33>=1)&&(MarkerWrite.MarkerWrite_0>=1)))||((D140.QueueJobB_40>=1)&&(MarkerWrite.MarkerWrite_0>=1)))||((D147.QueueJobB_47>=1)&&(MarkerWrite.MarkerWrite_0>=1)))||((D154.QueueJobB_54>=1)&&(MarkerWrite.MarkerWrite_0>=1)))||((D16.QueueJobB_6>=1)&&(MarkerWrite.MarkerWrite_0>=1)))||((D113.QueueJobB_13>=1)&&(MarkerWrite.MarkerWrite_0>=1)))||((D120.QueueJobB_20>=1)&&(MarkerWrite.MarkerWrite_0>=1)))||((D127.QueueJobB_27>=1)&&(MarkerWrite.MarkerWrite_0>=1)))||((D134.QueueJobB_34>=1)&&(MarkerWrite.MarkerWrite_0>=1)))||((D141.QueueJobB_41>=1)&&(MarkerWrite.MarkerWrite_0>=1)))||((D148.QueueJobB_48>=1)&&(MarkerWrite.MarkerWrite_0>=1)))||((D155.QueueJobB_55>=1)&&(MarkerWrite.MarkerWrite_0>=1))))||(!((((((((Threads0.P_1159_0>=3)||(Threads1.P_1159_1>=3))||(Threads2.P_1159_2>=3))||(Threads3.P_1159_3>=3))||(Threads4.P_1159_4>=3))||(Threads5.P_1159_5>=3))||(Threads6.P_1159_6>=3))||(Threads7.P_1159_7>=3))))&&(!((((((((((cPollingAbort.cPollingAbort_0>=1)&&(Threads0.EnablePollingB_0>=1))||((cPollingAbort.cPollingAbort_0>=1)&&(Threads1.EnablePollingB_1>=1)))||((cPollingAbort.cPollingAbort_0>=1)&&(Threads2.EnablePollingB_2>=1)))||((cPollingAbort.cPollingAbort_0>=1)&&(Threads3.EnablePollingB_3>=1)))||((cPollingAbort.cPollingAbort_0>=1)&&(Threads4.EnablePollingB_4>=1)))||((cPollingAbort.cPollingAbort_0>=1)&&(Threads5.EnablePollingB_5>=1)))||((cPollingAbort.cPollingAbort_0>=1)&&(Threads6.EnablePollingB_6>=1)))||((cPollingAbort.cPollingAbort_0>=1)&&(Threads7.EnablePollingB_7>=1)))&&(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((D60.CreatedJobs_0>=1)&&(D10.ScheduleTaskE_Work_0>=1))||((D60.CreatedJobs_0>=1)&&(D17.ScheduleTaskE_Work_7>=1)))||((D60.CreatedJobs_0>=1)&&(D114.ScheduleTaskE_Work_14>=1)))||((D60.CreatedJobs_0>=1)&&(D121.ScheduleTaskE_Work_21>=1)))||((D60.CreatedJobs_0>=1)&&(D128.ScheduleTaskE_Work_28>=1)))||((D60.CreatedJobs_0>=1)&&(D135.ScheduleTaskE_Work_35>=1)))||((D60.CreatedJobs_0>=1)&&(D142.ScheduleTaskE_Work_42>=1)))||((D60.CreatedJobs_0>=1)&&(D149.ScheduleTaskE_Work_49>=1)))||((D64.CreatedJobs_4>=1)&&(D11.ScheduleTaskE_Work_1>=1)))||((D64.CreatedJobs_4>=1)&&(D18.ScheduleTaskE_Work_8>=1)))||((D64.CreatedJobs_4>=1)&&(D115.ScheduleTaskE_Work_15>=1)))||((D64.CreatedJobs_4>=1)&&(D122.ScheduleTaskE_Work_22>=1)))||((D64.CreatedJobs_4>=1)&&(D129.ScheduleTaskE_Work_29>=1)))||((D64.CreatedJobs_4>=1)&&(D136.ScheduleTaskE_Work_36>=1)))||((D64.CreatedJobs_4>=1)&&(D143.ScheduleTaskE_Work_43>=1)))||((D64.CreatedJobs_4>=1)&&(D150.ScheduleTaskE_Work_50>=1)))||((D68.CreatedJobs_8>=1)&&(D12.ScheduleTaskE_Work_2>=1)))||((D68.CreatedJobs_8>=1)&&(D19.ScheduleTaskE_Work_9>=1)))||((D68.CreatedJobs_8>=1)&&(D116.ScheduleTaskE_Work_16>=1)))||((D68.CreatedJobs_8>=1)&&(D123.ScheduleTaskE_Work_23>=1)))||((D68.CreatedJobs_8>=1)&&(D130.ScheduleTaskE_Work_30>=1)))||((D68.CreatedJobs_8>=1)&&(D137.ScheduleTaskE_Work_37>=1)))||((D68.CreatedJobs_8>=1)&&(D144.ScheduleTaskE_Work_44>=1)))||((D68.CreatedJobs_8>=1)&&(D151.ScheduleTaskE_Work_51>=1)))||((D612.CreatedJobs_12>=1)&&(D13.ScheduleTaskE_Work_3>=1)))||((D612.CreatedJobs_12>=1)&&(D110.ScheduleTaskE_Work_10>=1)))||((D612.CreatedJobs_12>=1)&&(D117.ScheduleTaskE_Work_17>=1)))||((D612.CreatedJobs_12>=1)&&(D124.ScheduleTaskE_Work_24>=1)))||((D612.CreatedJobs_12>=1)&&(D131.ScheduleTaskE_Work_31>=1)))||((D612.CreatedJobs_12>=1)&&(D138.ScheduleTaskE_Work_38>=1)))||((D612.CreatedJobs_12>=1)&&(D145.ScheduleTaskE_Work_45>=1)))||((D612.CreatedJobs_12>=1)&&(D152.ScheduleTaskE_Work_52>=1)))||((D616.CreatedJobs_16>=1)&&(D14.ScheduleTaskE_Work_4>=1)))||((D616.CreatedJobs_16>=1)&&(D111.ScheduleTaskE_Work_11>=1)))||((D616.CreatedJobs_16>=1)&&(D118.ScheduleTaskE_Work_18>=1)))||((D616.CreatedJobs_16>=1)&&(D125.ScheduleTaskE_Work_25>=1)))||((D616.CreatedJobs_16>=1)&&(D132.ScheduleTaskE_Work_32>=1)))||((D616.CreatedJobs_16>=1)&&(D139.ScheduleTaskE_Work_39>=1)))||((D616.CreatedJobs_16>=1)&&(D146.ScheduleTaskE_Work_46>=1)))||((D616.CreatedJobs_16>=1)&&(D153.ScheduleTaskE_Work_53>=1)))||((D620.CreatedJobs_20>=1)&&(D15.ScheduleTaskE_Work_5>=1)))||((D620.CreatedJobs_20>=1)&&(D112.ScheduleTaskE_Work_12>=1)))||((D620.CreatedJobs_20>=1)&&(D119.ScheduleTaskE_Work_19>=1)))||((D620.CreatedJobs_20>=1)&&(D126.ScheduleTaskE_Work_26>=1)))||((D620.CreatedJobs_20>=1)&&(D133.ScheduleTaskE_Work_33>=1)))||((D620.CreatedJobs_20>=1)&&(D140.ScheduleTaskE_Work_40>=1)))||((D620.CreatedJobs_20>=1)&&(D147.ScheduleTaskE_Work_47>=1)))||((D620.CreatedJobs_20>=1)&&(D154.ScheduleTaskE_Work_54>=1)))||((D624.CreatedJobs_24>=1)&&(D16.ScheduleTaskE_Work_6>=1)))||((D624.CreatedJobs_24>=1)&&(D113.ScheduleTaskE_Work_13>=1)))||((D624.CreatedJobs_24>=1)&&(D120.ScheduleTaskE_Work_20>=1)))||((D624.CreatedJobs_24>=1)&&(D127.ScheduleTaskE_Work_27>=1)))||((D624.CreatedJobs_24>=1)&&(D134.ScheduleTaskE_Work_34>=1)))||((D624.CreatedJobs_24>=1)&&(D141.ScheduleTaskE_Work_41>=1)))||((D624.CreatedJobs_24>=1)&&(D148.ScheduleTaskE_Work_48>=1)))||((D624.CreatedJobs_24>=1)&&(D155.ScheduleTaskE_Work_55>=1)))||((D61.CreatedJobs_1>=1)&&(D10.ScheduleTaskE_Work_0>=1)))||((D61.CreatedJobs_1>=1)&&(D17.ScheduleTaskE_Work_7>=1)))||((D61.CreatedJobs_1>=1)&&(D114.ScheduleTaskE_Work_14>=1)))||((D61.CreatedJobs_1>=1)&&(D121.ScheduleTaskE_Work_21>=1)))||((D61.CreatedJobs_1>=1)&&(D128.ScheduleTaskE_Work_28>=1)))||((D61.CreatedJobs_1>=1)&&(D135.ScheduleTaskE_Work_35>=1)))||((D61.CreatedJobs_1>=1)&&(D142.ScheduleTaskE_Work_42>=1)))||((D61.CreatedJobs_1>=1)&&(D149.ScheduleTaskE_Work_49>=1)))||((D65.CreatedJobs_5>=1)&&(D11.ScheduleTaskE_Work_1>=1)))||((D65.CreatedJobs_5>=1)&&(D18.ScheduleTaskE_Work_8>=1)))||((D65.CreatedJobs_5>=1)&&(D115.ScheduleTaskE_Work_15>=1)))||((D65.CreatedJobs_5>=1)&&(D122.ScheduleTaskE_Work_22>=1)))||((D65.CreatedJobs_5>=1)&&(D129.ScheduleTaskE_Work_29>=1)))||((D65.CreatedJobs_5>=1)&&(D136.ScheduleTaskE_Work_36>=1)))||((D65.CreatedJobs_5>=1)&&(D143.ScheduleTaskE_Work_43>=1)))||((D65.CreatedJobs_5>=1)&&(D150.ScheduleTaskE_Work_50>=1)))||((D69.CreatedJobs_9>=1)&&(D12.ScheduleTaskE_Work_2>=1)))||((D69.CreatedJobs_9>=1)&&(D19.ScheduleTaskE_Work_9>=1)))||((D69.CreatedJobs_9>=1)&&(D116.ScheduleTaskE_Work_16>=1)))||((D69.CreatedJobs_9>=1)&&(D123.ScheduleTaskE_Work_23>=1)))||((D69.CreatedJobs_9>=1)&&(D130.ScheduleTaskE_Work_30>=1)))||((D69.CreatedJobs_9>=1)&&(D137.ScheduleTaskE_Work_37>=1)))||((D69.CreatedJobs_9>=1)&&(D144.ScheduleTaskE_Work_44>=1)))||((D69.CreatedJobs_9>=1)&&(D151.ScheduleTaskE_Work_51>=1)))||((D613.CreatedJobs_13>=1)&&(D13.ScheduleTaskE_Work_3>=1)))||((D613.CreatedJobs_13>=1)&&(D110.ScheduleTaskE_Work_10>=1)))||((D613.CreatedJobs_13>=1)&&(D117.ScheduleTaskE_Work_17>=1)))||((D613.CreatedJobs_13>=1)&&(D124.ScheduleTaskE_Work_24>=1)))||((D613.CreatedJobs_13>=1)&&(D131.ScheduleTaskE_Work_31>=1)))||((D613.CreatedJobs_13>=1)&&(D138.ScheduleTaskE_Work_38>=1)))||((D613.CreatedJobs_13>=1)&&(D145.ScheduleTaskE_Work_45>=1)))||((D613.CreatedJobs_13>=1)&&(D152.ScheduleTaskE_Work_52>=1)))||((D617.CreatedJobs_17>=1)&&(D14.ScheduleTaskE_Work_4>=1)))||((D617.CreatedJobs_17>=1)&&(D111.ScheduleTaskE_Work_11>=1)))||((D617.CreatedJobs_17>=1)&&(D118.ScheduleTaskE_Work_18>=1)))||((D617.CreatedJobs_17>=1)&&(D125.ScheduleTaskE_Work_25>=1)))||((D617.CreatedJobs_17>=1)&&(D132.ScheduleTaskE_Work_32>=1)))||((D617.CreatedJobs_17>=1)&&(D139.ScheduleTaskE_Work_39>=1)))||((D617.CreatedJobs_17>=1)&&(D146.ScheduleTaskE_Work_46>=1)))||((D617.CreatedJobs_17>=1)&&(D153.ScheduleTaskE_Work_53>=1)))||((D621.CreatedJobs_21>=1)&&(D15.ScheduleTaskE_Work_5>=1)))||((D621.CreatedJobs_21>=1)&&(D112.ScheduleTaskE_Work_12>=1)))||((D621.CreatedJobs_21>=1)&&(D119.ScheduleTaskE_Work_19>=1)))||((D621.CreatedJobs_21>=1)&&(D126.ScheduleTaskE_Work_26>=1)))||((D621.CreatedJobs_21>=1)&&(D133.ScheduleTaskE_Work_33>=1)))||((D621.CreatedJobs_21>=1)&&(D140.ScheduleTaskE_Work_40>=1)))||((D621.CreatedJobs_21>=1)&&(D147.ScheduleTaskE_Work_47>=1)))||((D621.CreatedJobs_21>=1)&&(D154.ScheduleTaskE_Work_54>=1)))||((D625.CreatedJobs_25>=1)&&(D16.ScheduleTaskE_Work_6>=1)))||((D625.CreatedJobs_25>=1)&&(D113.ScheduleTaskE_Work_13>=1)))||((D625.CreatedJobs_25>=1)&&(D120.ScheduleTaskE_Work_20>=1)))||((D625.CreatedJobs_25>=1)&&(D127.ScheduleTaskE_Work_27>=1)))||((D625.CreatedJobs_25>=1)&&(D134.ScheduleTaskE_Work_34>=1)))||((D625.CreatedJobs_25>=1)&&(D141.ScheduleTaskE_Work_41>=1)))||((D625.CreatedJobs_25>=1)&&(D148.ScheduleTaskE_Work_48>=1)))||((D625.CreatedJobs_25>=1)&&(D155.ScheduleTaskE_Work_55>=1)))||((D62.CreatedJobs_2>=1)&&(D10.ScheduleTaskE_Work_0>=1)))||((D62.CreatedJobs_2>=1)&&(D17.ScheduleTaskE_Work_7>=1)))||((D62.CreatedJobs_2>=1)&&(D114.ScheduleTaskE_Work_14>=1)))||((D62.CreatedJobs_2>=1)&&(D121.ScheduleTaskE_Work_21>=1)))||((D62.CreatedJobs_2>=1)&&(D128.ScheduleTaskE_Work_28>=1)))||((D62.CreatedJobs_2>=1)&&(D135.ScheduleTaskE_Work_35>=1)))||((D62.CreatedJobs_2>=1)&&(D142.ScheduleTaskE_Work_42>=1)))||((D62.CreatedJobs_2>=1)&&(D149.ScheduleTaskE_Work_49>=1)))||((D66.CreatedJobs_6>=1)&&(D11.ScheduleTaskE_Work_1>=1)))||((D66.CreatedJobs_6>=1)&&(D18.ScheduleTaskE_Work_8>=1)))||((D66.CreatedJobs_6>=1)&&(D115.ScheduleTaskE_Work_15>=1)))||((D66.CreatedJobs_6>=1)&&(D122.ScheduleTaskE_Work_22>=1)))||((D66.CreatedJobs_6>=1)&&(D129.ScheduleTaskE_Work_29>=1)))||((D66.CreatedJobs_6>=1)&&(D136.ScheduleTaskE_Work_36>=1)))||((D66.CreatedJobs_6>=1)&&(D143.ScheduleTaskE_Work_43>=1)))||((D66.CreatedJobs_6>=1)&&(D150.ScheduleTaskE_Work_50>=1)))||((D610.CreatedJobs_10>=1)&&(D12.ScheduleTaskE_Work_2>=1)))||((D610.CreatedJobs_10>=1)&&(D19.ScheduleTaskE_Work_9>=1)))||((D610.CreatedJobs_10>=1)&&(D116.ScheduleTaskE_Work_16>=1)))||((D610.CreatedJobs_10>=1)&&(D123.ScheduleTaskE_Work_23>=1)))||((D610.CreatedJobs_10>=1)&&(D130.ScheduleTaskE_Work_30>=1)))||((D610.CreatedJobs_10>=1)&&(D137.ScheduleTaskE_Work_37>=1)))||((D610.CreatedJobs_10>=1)&&(D144.ScheduleTaskE_Work_44>=1)))||((D610.CreatedJobs_10>=1)&&(D151.ScheduleTaskE_Work_51>=1)))||((D614.CreatedJobs_14>=1)&&(D13.ScheduleTaskE_Work_3>=1)))||((D614.CreatedJobs_14>=1)&&(D110.ScheduleTaskE_Work_10>=1)))||((D614.CreatedJobs_14>=1)&&(D117.ScheduleTaskE_Work_17>=1)))||((D614.CreatedJobs_14>=1)&&(D124.ScheduleTaskE_Work_24>=1)))||((D614.CreatedJobs_14>=1)&&(D131.ScheduleTaskE_Work_31>=1)))||((D614.CreatedJobs_14>=1)&&(D138.ScheduleTaskE_Work_38>=1)))||((D614.CreatedJobs_14>=1)&&(D145.ScheduleTaskE_Work_45>=1)))||((D614.CreatedJobs_14>=1)&&(D152.ScheduleTaskE_Work_52>=1)))||((D618.CreatedJobs_18>=1)&&(D14.ScheduleTaskE_Work_4>=1)))||((D618.CreatedJobs_18>=1)&&(D111.ScheduleTaskE_Work_11>=1)))||((D618.CreatedJobs_18>=1)&&(D118.ScheduleTaskE_Work_18>=1)))||((D618.CreatedJobs_18>=1)&&(D125.ScheduleTaskE_Work_25>=1)))||((D618.CreatedJobs_18>=1)&&(D132.ScheduleTaskE_Work_32>=1)))||((D618.CreatedJobs_18>=1)&&(D139.ScheduleTaskE_Work_39>=1)))||((D618.CreatedJobs_18>=1)&&(D146.ScheduleTaskE_Work_46>=1)))||((D618.CreatedJobs_18>=1)&&(D153.ScheduleTaskE_Work_53>=1)))||((D622.CreatedJobs_22>=1)&&(D15.ScheduleTaskE_Work_5>=1)))||((D622.CreatedJobs_22>=1)&&(D112.ScheduleTaskE_Work_12>=1)))||((D622.CreatedJobs_22>=1)&&(D119.ScheduleTaskE_Work_19>=1)))||((D622.CreatedJobs_22>=1)&&(D126.ScheduleTaskE_Work_26>=1)))||((D622.CreatedJobs_22>=1)&&(D133.ScheduleTaskE_Work_33>=1)))||((D622.CreatedJobs_22>=1)&&(D140.ScheduleTaskE_Work_40>=1)))||((D622.CreatedJobs_22>=1)&&(D147.ScheduleTaskE_Work_47>=1)))||((D622.CreatedJobs_22>=1)&&(D154.ScheduleTaskE_Work_54>=1)))||((D626.CreatedJobs_26>=1)&&(D16.ScheduleTaskE_Work_6>=1)))||((D626.CreatedJobs_26>=1)&&(D113.ScheduleTaskE_Work_13>=1)))||((D626.CreatedJobs_26>=1)&&(D120.ScheduleTaskE_Work_20>=1)))||((D626.CreatedJobs_26>=1)&&(D127.ScheduleTaskE_Work_27>=1)))||((D626.CreatedJobs_26>=1)&&(D134.ScheduleTaskE_Work_34>=1)))||((D626.CreatedJobs_26>=1)&&(D141.ScheduleTaskE_Work_41>=1)))||((D626.CreatedJobs_26>=1)&&(D148.ScheduleTaskE_Work_48>=1)))||((D626.CreatedJobs_26>=1)&&(D155.ScheduleTaskE_Work_55>=1)))||((D63.CreatedJobs_3>=1)&&(D10.ScheduleTaskE_Work_0>=1)))||((D63.CreatedJobs_3>=1)&&(D17.ScheduleTaskE_Work_7>=1)))||((D63.CreatedJobs_3>=1)&&(D114.ScheduleTaskE_Work_14>=1)))||((D63.CreatedJobs_3>=1)&&(D121.ScheduleTaskE_Work_21>=1)))||((D63.CreatedJobs_3>=1)&&(D128.ScheduleTaskE_Work_28>=1)))||((D63.CreatedJobs_3>=1)&&(D135.ScheduleTaskE_Work_35>=1)))||((D63.CreatedJobs_3>=1)&&(D142.ScheduleTaskE_Work_42>=1)))||((D63.CreatedJobs_3>=1)&&(D149.ScheduleTaskE_Work_49>=1)))||((D67.CreatedJobs_7>=1)&&(D11.ScheduleTaskE_Work_1>=1)))||((D67.CreatedJobs_7>=1)&&(D18.ScheduleTaskE_Work_8>=1)))||((D67.CreatedJobs_7>=1)&&(D115.ScheduleTaskE_Work_15>=1)))||((D67.CreatedJobs_7>=1)&&(D122.ScheduleTaskE_Work_22>=1)))||((D67.CreatedJobs_7>=1)&&(D129.ScheduleTaskE_Work_29>=1)))||((D67.CreatedJobs_7>=1)&&(D136.ScheduleTaskE_Work_36>=1)))||((D67.CreatedJobs_7>=1)&&(D143.ScheduleTaskE_Work_43>=1)))||((D67.CreatedJobs_7>=1)&&(D150.ScheduleTaskE_Work_50>=1)))||((D611.CreatedJobs_11>=1)&&(D12.ScheduleTaskE_Work_2>=1)))||((D611.CreatedJobs_11>=1)&&(D19.ScheduleTaskE_Work_9>=1)))||((D611.CreatedJobs_11>=1)&&(D116.ScheduleTaskE_Work_16>=1)))||((D611.CreatedJobs_11>=1)&&(D123.ScheduleTaskE_Work_23>=1)))||((D611.CreatedJobs_11>=1)&&(D130.ScheduleTaskE_Work_30>=1)))||((D611.CreatedJobs_11>=1)&&(D137.ScheduleTaskE_Work_37>=1)))||((D611.CreatedJobs_11>=1)&&(D144.ScheduleTaskE_Work_44>=1)))||((D611.CreatedJobs_11>=1)&&(D151.ScheduleTaskE_Work_51>=1)))||((D615.CreatedJobs_15>=1)&&(D13.ScheduleTaskE_Work_3>=1)))||((D615.CreatedJobs_15>=1)&&(D110.ScheduleTaskE_Work_10>=1)))||((D615.CreatedJobs_15>=1)&&(D117.ScheduleTaskE_Work_17>=1)))||((D615.CreatedJobs_15>=1)&&(D124.ScheduleTaskE_Work_24>=1)))||((D615.CreatedJobs_15>=1)&&(D131.ScheduleTaskE_Work_31>=1)))||((D615.CreatedJobs_15>=1)&&(D138.ScheduleTaskE_Work_38>=1)))||((D615.CreatedJobs_15>=1)&&(D145.ScheduleTaskE_Work_45>=1)))||((D615.CreatedJobs_15>=1)&&(D152.ScheduleTaskE_Work_52>=1)))||((D619.CreatedJobs_19>=1)&&(D14.ScheduleTaskE_Work_4>=1)))||((D619.CreatedJobs_19>=1)&&(D111.ScheduleTaskE_Work_11>=1)))||((D619.CreatedJobs_19>=1)&&(D118.ScheduleTaskE_Work_18>=1)))||((D619.CreatedJobs_19>=1)&&(D125.ScheduleTaskE_Work_25>=1)))||((D619.CreatedJobs_19>=1)&&(D132.ScheduleTaskE_Work_32>=1)))||((D619.CreatedJobs_19>=1)&&(D139.ScheduleTaskE_Work_39>=1)))||((D619.CreatedJobs_19>=1)&&(D146.ScheduleTaskE_Work_46>=1)))||((D619.CreatedJobs_19>=1)&&(D153.ScheduleTaskE_Work_53>=1)))||((D623.CreatedJobs_23>=1)&&(D15.ScheduleTaskE_Work_5>=1)))||((D623.CreatedJobs_23>=1)&&(D112.ScheduleTaskE_Work_12>=1)))||((D623.CreatedJobs_23>=1)&&(D119.ScheduleTaskE_Work_19>=1)))||((D623.CreatedJobs_23>=1)&&(D126.ScheduleTaskE_Work_26>=1)))||((D623.CreatedJobs_23>=1)&&(D133.ScheduleTaskE_Work_33>=1)))||((D623.CreatedJobs_23>=1)&&(D140.ScheduleTaskE_Work_40>=1)))||((D623.CreatedJobs_23>=1)&&(D147.ScheduleTaskE_Work_47>=1)))||((D623.CreatedJobs_23>=1)&&(D154.ScheduleTaskE_Work_54>=1)))||((D627.CreatedJobs_27>=1)&&(D16.ScheduleTaskE_Work_6>=1)))||((D627.CreatedJobs_27>=1)&&(D113.ScheduleTaskE_Work_13>=1)))||((D627.CreatedJobs_27>=1)&&(D120.ScheduleTaskE_Work_20>=1)))||((D627.CreatedJobs_27>=1)&&(D127.ScheduleTaskE_Work_27>=1)))||((D627.CreatedJobs_27>=1)&&(D134.ScheduleTaskE_Work_34>=1)))||((D627.CreatedJobs_27>=1)&&(D141.ScheduleTaskE_Work_41>=1)))||((D627.CreatedJobs_27>=1)&&(D148.ScheduleTaskE_Work_48>=1)))||((D627.CreatedJobs_27>=1)&&(D155.ScheduleTaskE_Work_55>=1))))))||((!(((((((((cBlockedTasks.cBlockedTasks_0>=1)&&(Threads0.ScheduleTaskE_Check_0>=1))||((cBlockedTasks.cBlockedTasks_0>=1)&&(Threads1.ScheduleTaskE_Check_1>=1)))||((cBlockedTasks.cBlockedTasks_0>=1)&&(Threads2.ScheduleTaskE_Check_2>=1)))||((cBlockedTasks.cBlockedTasks_0>=1)&&(Threads3.ScheduleTaskE_Check_3>=1)))||((cBlockedTasks.cBlockedTasks_0>=1)&&(Threads4.ScheduleTaskE_Check_4>=1)))||((cBlockedTasks.cBlockedTasks_0>=1)&&(Threads5.ScheduleTaskE_Check_5>=1)))||((cBlockedTasks.cBlockedTasks_0>=1)&&(Threads6.ScheduleTaskE_Check_6>=1)))||((cBlockedTasks.cBlockedTasks_0>=1)&&(Threads7.ScheduleTaskE_Check_7>=1))))||(((((((((Threads0.P_1113_0>=1)&&(P_1099.P_1099_0>=1))||((Threads1.P_1113_1>=1)&&(P_1099.P_1099_0>=1)))||((Threads2.P_1113_2>=1)&&(P_1099.P_1099_0>=1)))||((Threads3.P_1113_3>=1)&&(P_1099.P_1099_0>=1)))||((Threads4.P_1113_4>=1)&&(P_1099.P_1099_0>=1)))||((Threads5.P_1113_5>=1)&&(P_1099.P_1099_0>=1)))||((Threads6.P_1113_6>=1)&&(P_1099.P_1099_0>=1)))||((Threads7.P_1113_7>=1)&&(P_1099.P_1099_0>=1)))))
Read [invariant] property : PolyORBLF-COL-S04J06T08-ReachabilityFireability-12 with value :(!((((((((Threads0.ScheduleTaskE_Idle_0>=1)||(Threads1.ScheduleTaskE_Idle_1>=1))||(Threads2.ScheduleTaskE_Idle_2>=1))||(Threads3.ScheduleTaskE_Idle_3>=1))||(Threads4.ScheduleTaskE_Idle_4>=1))||(Threads5.ScheduleTaskE_Idle_5>=1))||(Threads6.ScheduleTaskE_Idle_6>=1))||(Threads7.ScheduleTaskE_Idle_7>=1)))
Read [invariant] property : PolyORBLF-COL-S04J06T08-ReachabilityFireability-13 with value :(!(((((((((((Threads0.Abort_Check_Sources_B_0>=1)&&(NoSigAbort.NoSigAbort_0>=1))||((Threads1.Abort_Check_Sources_B_1>=1)&&(NoSigAbort.NoSigAbort_0>=1)))||((Threads2.Abort_Check_Sources_B_2>=1)&&(NoSigAbort.NoSigAbort_0>=1)))||((Threads3.Abort_Check_Sources_B_3>=1)&&(NoSigAbort.NoSigAbort_0>=1)))||((Threads4.Abort_Check_Sources_B_4>=1)&&(NoSigAbort.NoSigAbort_0>=1)))||((Threads5.Abort_Check_Sources_B_5>=1)&&(NoSigAbort.NoSigAbort_0>=1)))||((Threads6.Abort_Check_Sources_B_6>=1)&&(NoSigAbort.NoSigAbort_0>=1)))||((Threads7.Abort_Check_Sources_B_7>=1)&&(NoSigAbort.NoSigAbort_0>=1)))&&(((((((((((((((((((((((((((((((((((D40.DisablePollingB_0>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1))||(((D44.DisablePollingB_4>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D48.DisablePollingB_8>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D412.DisablePollingB_12>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D416.DisablePollingB_16>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D420.DisablePollingB_20>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D424.DisablePollingB_24>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D428.DisablePollingB_28>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D41.DisablePollingB_1>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D45.DisablePollingB_5>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D49.DisablePollingB_9>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D413.DisablePollingB_13>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D417.DisablePollingB_17>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D421.DisablePollingB_21>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D425.DisablePollingB_25>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D429.DisablePollingB_29>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D42.DisablePollingB_2>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D46.DisablePollingB_6>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D410.DisablePollingB_10>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D414.DisablePollingB_14>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D418.DisablePollingB_18>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D422.DisablePollingB_22>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D426.DisablePollingB_26>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D430.DisablePollingB_30>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D43.DisablePollingB_3>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D47.DisablePollingB_7>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D411.DisablePollingB_11>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D415.DisablePollingB_15>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D419.DisablePollingB_19>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D423.DisablePollingB_23>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D427.DisablePollingB_27>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D431.DisablePollingB_31>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))&&(((((((((Threads0.P_1113_0>=1)&&(P_1099.P_1099_0>=1))||((Threads1.P_1113_1>=1)&&(P_1099.P_1099_0>=1)))||((Threads2.P_1113_2>=1)&&(P_1099.P_1099_0>=1)))||((Threads3.P_1113_3>=1)&&(P_1099.P_1099_0>=1)))||((Threads4.P_1113_4>=1)&&(P_1099.P_1099_0>=1)))||((Threads5.P_1113_5>=1)&&(P_1099.P_1099_0>=1)))||((Threads6.P_1113_6>=1)&&(P_1099.P_1099_0>=1)))||((Threads7.P_1113_7>=1)&&(P_1099.P_1099_0>=1)))))||((!(((((((((((((((((((((((((((((((((((((((((((((((((((((((((D10.QueueJobB_0>=1)&&(P_1726.P_1726_0>=1))||((D17.QueueJobB_7>=1)&&(P_1726.P_1726_0>=1)))||((D114.QueueJobB_14>=1)&&(P_1726.P_1726_0>=1)))||((D121.QueueJobB_21>=1)&&(P_1726.P_1726_0>=1)))||((D128.QueueJobB_28>=1)&&(P_1726.P_1726_0>=1)))||((D135.QueueJobB_35>=1)&&(P_1726.P_1726_0>=1)))||((D142.QueueJobB_42>=1)&&(P_1726.P_1726_0>=1)))||((D149.QueueJobB_49>=1)&&(P_1726.P_1726_0>=1)))||((D11.QueueJobB_1>=1)&&(P_1726.P_1726_0>=1)))||((D18.QueueJobB_8>=1)&&(P_1726.P_1726_0>=1)))||((D115.QueueJobB_15>=1)&&(P_1726.P_1726_0>=1)))||((D122.QueueJobB_22>=1)&&(P_1726.P_1726_0>=1)))||((D129.QueueJobB_29>=1)&&(P_1726.P_1726_0>=1)))||((D136.QueueJobB_36>=1)&&(P_1726.P_1726_0>=1)))||((D143.QueueJobB_43>=1)&&(P_1726.P_1726_0>=1)))||((D150.QueueJobB_50>=1)&&(P_1726.P_1726_0>=1)))||((D12.QueueJobB_2>=1)&&(P_1726.P_1726_0>=1)))||((D19.QueueJobB_9>=1)&&(P_1726.P_1726_0>=1)))||((D116.QueueJobB_16>=1)&&(P_1726.P_1726_0>=1)))||((D123.QueueJobB_23>=1)&&(P_1726.P_1726_0>=1)))||((D130.QueueJobB_30>=1)&&(P_1726.P_1726_0>=1)))||((D137.QueueJobB_37>=1)&&(P_1726.P_1726_0>=1)))||((D144.QueueJobB_44>=1)&&(P_1726.P_1726_0>=1)))||((D151.QueueJobB_51>=1)&&(P_1726.P_1726_0>=1)))||((D13.QueueJobB_3>=1)&&(P_1726.P_1726_0>=1)))||((D110.QueueJobB_10>=1)&&(P_1726.P_1726_0>=1)))||((D117.QueueJobB_17>=1)&&(P_1726.P_1726_0>=1)))||((D124.QueueJobB_24>=1)&&(P_1726.P_1726_0>=1)))||((D131.QueueJobB_31>=1)&&(P_1726.P_1726_0>=1)))||((D138.QueueJobB_38>=1)&&(P_1726.P_1726_0>=1)))||((D145.QueueJobB_45>=1)&&(P_1726.P_1726_0>=1)))||((D152.QueueJobB_52>=1)&&(P_1726.P_1726_0>=1)))||((D14.QueueJobB_4>=1)&&(P_1726.P_1726_0>=1)))||((D111.QueueJobB_11>=1)&&(P_1726.P_1726_0>=1)))||((D118.QueueJobB_18>=1)&&(P_1726.P_1726_0>=1)))||((D125.QueueJobB_25>=1)&&(P_1726.P_1726_0>=1)))||((D132.QueueJobB_32>=1)&&(P_1726.P_1726_0>=1)))||((D139.QueueJobB_39>=1)&&(P_1726.P_1726_0>=1)))||((D146.QueueJobB_46>=1)&&(P_1726.P_1726_0>=1)))||((D153.QueueJobB_53>=1)&&(P_1726.P_1726_0>=1)))||((D15.QueueJobB_5>=1)&&(P_1726.P_1726_0>=1)))||((D112.QueueJobB_12>=1)&&(P_1726.P_1726_0>=1)))||((D119.QueueJobB_19>=1)&&(P_1726.P_1726_0>=1)))||((D126.QueueJobB_26>=1)&&(P_1726.P_1726_0>=1)))||((D133.QueueJobB_33>=1)&&(P_1726.P_1726_0>=1)))||((D140.QueueJobB_40>=1)&&(P_1726.P_1726_0>=1)))||((D147.QueueJobB_47>=1)&&(P_1726.P_1726_0>=1)))||((D154.QueueJobB_54>=1)&&(P_1726.P_1726_0>=1)))||((D16.QueueJobB_6>=1)&&(P_1726.P_1726_0>=1)))||((D113.QueueJobB_13>=1)&&(P_1726.P_1726_0>=1)))||((D120.QueueJobB_20>=1)&&(P_1726.P_1726_0>=1)))||((D127.QueueJobB_27>=1)&&(P_1726.P_1726_0>=1)))||((D134.QueueJobB_34>=1)&&(P_1726.P_1726_0>=1)))||((D141.QueueJobB_41>=1)&&(P_1726.P_1726_0>=1)))||((D148.QueueJobB_48>=1)&&(P_1726.P_1726_0>=1)))||((D155.QueueJobB_55>=1)&&(P_1726.P_1726_0>=1))))&&((((((((((Threads0.P_1113_0>=1)&&(P_1099.P_1099_0>=1))||((Threads1.P_1113_1>=1)&&(P_1099.P_1099_0>=1)))||((Threads2.P_1113_2>=1)&&(P_1099.P_1099_0>=1)))||((Threads3.P_1113_3>=1)&&(P_1099.P_1099_0>=1)))||((Threads4.P_1113_4>=1)&&(P_1099.P_1099_0>=1)))||((Threads5.P_1113_5>=1)&&(P_1099.P_1099_0>=1)))||((Threads6.P_1113_6>=1)&&(P_1099.P_1099_0>=1)))||((Threads7.P_1113_7>=1)&&(P_1099.P_1099_0>=1)))&&((((((((Threads0.RunB_0>=1)||(Threads1.RunB_1>=1))||(Threads2.RunB_2>=1))||(Threads3.RunB_3>=1))||(Threads4.RunB_4>=1))||(Threads5.RunB_5>=1))||(Threads6.RunB_6>=1))||(Threads7.RunB_7>=1))))))
Read [reachable] property : PolyORBLF-COL-S04J06T08-ReachabilityFireability-14 with value :(((((((((Threads0.TryAllocateOneTaskB_0>=1)&&(cIdleTasks.cIdleTasks_0>=2))||((Threads1.TryAllocateOneTaskB_1>=1)&&(cIdleTasks.cIdleTasks_0>=2)))||((Threads2.TryAllocateOneTaskB_2>=1)&&(cIdleTasks.cIdleTasks_0>=2)))||((Threads3.TryAllocateOneTaskB_3>=1)&&(cIdleTasks.cIdleTasks_0>=2)))||((Threads4.TryAllocateOneTaskB_4>=1)&&(cIdleTasks.cIdleTasks_0>=2)))||((Threads5.TryAllocateOneTaskB_5>=1)&&(cIdleTasks.cIdleTasks_0>=2)))||((Threads6.TryAllocateOneTaskB_6>=1)&&(cIdleTasks.cIdleTasks_0>=2)))||((Threads7.TryAllocateOneTaskB_7>=1)&&(cIdleTasks.cIdleTasks_0>=2)))
Read [invariant] property : PolyORBLF-COL-S04J06T08-ReachabilityFireability-15 with value :(!((((((((((((SigAbort.SigAbort_0>=1)&&(ORB_Lock.ORB_Lock_0>=1))&&(Threads0.Check_Sources_B_0>=1))||(((SigAbort.SigAbort_0>=1)&&(ORB_Lock.ORB_Lock_0>=1))&&(Threads1.Check_Sources_B_1>=1)))||(((SigAbort.SigAbort_0>=1)&&(ORB_Lock.ORB_Lock_0>=1))&&(Threads2.Check_Sources_B_2>=1)))||(((SigAbort.SigAbort_0>=1)&&(ORB_Lock.ORB_Lock_0>=1))&&(Threads3.Check_Sources_B_3>=1)))||(((SigAbort.SigAbort_0>=1)&&(ORB_Lock.ORB_Lock_0>=1))&&(Threads4.Check_Sources_B_4>=1)))||(((SigAbort.SigAbort_0>=1)&&(ORB_Lock.ORB_Lock_0>=1))&&(Threads5.Check_Sources_B_5>=1)))||(((SigAbort.SigAbort_0>=1)&&(ORB_Lock.ORB_Lock_0>=1))&&(Threads6.Check_Sources_B_6>=1)))||(((SigAbort.SigAbort_0>=1)&&(ORB_Lock.ORB_Lock_0>=1))&&(Threads7.Check_Sources_B_7>=1)))||((((((((((Threads0.Abort_Check_Sources_B_0>=1)&&(SigAbort.SigAbort_0>=1))||((Threads1.Abort_Check_Sources_B_1>=1)&&(SigAbort.SigAbort_0>=1)))||((Threads2.Abort_Check_Sources_B_2>=1)&&(SigAbort.SigAbort_0>=1)))||((Threads3.Abort_Check_Sources_B_3>=1)&&(SigAbort.SigAbort_0>=1)))||((Threads4.Abort_Check_Sources_B_4>=1)&&(SigAbort.SigAbort_0>=1)))||((Threads5.Abort_Check_Sources_B_5>=1)&&(SigAbort.SigAbort_0>=1)))||((Threads6.Abort_Check_Sources_B_6>=1)&&(SigAbort.SigAbort_0>=1)))||((Threads7.Abort_Check_Sources_B_7>=1)&&(SigAbort.SigAbort_0>=1)))||((((((((((((((((((((((((((((((((((D40.DisablePollingB_0>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1))||(((D44.DisablePollingB_4>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D48.DisablePollingB_8>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D412.DisablePollingB_12>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D416.DisablePollingB_16>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D420.DisablePollingB_20>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D424.DisablePollingB_24>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D428.DisablePollingB_28>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D41.DisablePollingB_1>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D45.DisablePollingB_5>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D49.DisablePollingB_9>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D413.DisablePollingB_13>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D417.DisablePollingB_17>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D421.DisablePollingB_21>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D425.DisablePollingB_25>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D429.DisablePollingB_29>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D42.DisablePollingB_2>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D46.DisablePollingB_6>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D410.DisablePollingB_10>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D414.DisablePollingB_14>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D418.DisablePollingB_18>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D422.DisablePollingB_22>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D426.DisablePollingB_26>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D430.DisablePollingB_30>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D43.DisablePollingB_3>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D47.DisablePollingB_7>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D411.DisablePollingB_11>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D415.DisablePollingB_15>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D419.DisablePollingB_19>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D423.DisablePollingB_23>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D427.DisablePollingB_27>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))||(((D431.DisablePollingB_31>=1)&&(cPollingAbort.cPollingAbort_0>=1))&&(BlockedTasks.BlockedTasks_0>=1)))))&&((((((((((((((((((((((((((((((((((((((((((((((((((((((((((Threads0.FetchJobB_0>=1)&&(Jobs0.P_1712_0>=1))&&(P_1716.P_1716_0>=1))||(((Threads1.FetchJobB_1>=1)&&(Jobs0.P_1712_0>=1))&&(P_1716.P_1716_0>=1)))||(((Threads2.FetchJobB_2>=1)&&(Jobs0.P_1712_0>=1))&&(P_1716.P_1716_0>=1)))||(((Threads3.FetchJobB_3>=1)&&(Jobs0.P_1712_0>=1))&&(P_1716.P_1716_0>=1)))||(((Threads4.FetchJobB_4>=1)&&(Jobs0.P_1712_0>=1))&&(P_1716.P_1716_0>=1)))||(((Threads5.FetchJobB_5>=1)&&(Jobs0.P_1712_0>=1))&&(P_1716.P_1716_0>=1)))||(((Threads6.FetchJobB_6>=1)&&(Jobs0.P_1712_0>=1))&&(P_1716.P_1716_0>=1)))||(((Threads7.FetchJobB_7>=1)&&(Jobs0.P_1712_0>=1))&&(P_1716.P_1716_0>=1)))||(((Threads0.FetchJobB_0>=1)&&(Jobs1.P_1712_1>=1))&&(P_1716.P_1716_0>=1)))||(((Threads1.FetchJobB_1>=1)&&(Jobs1.P_1712_1>=1))&&(P_1716.P_1716_0>=1)))||(((Threads2.FetchJobB_2>=1)&&(Jobs1.P_1712_1>=1))&&(P_1716.P_1716_0>=1)))||(((Threads3.FetchJobB_3>=1)&&(Jobs1.P_1712_1>=1))&&(P_1716.P_1716_0>=1)))||(((Threads4.FetchJobB_4>=1)&&(Jobs1.P_1712_1>=1))&&(P_1716.P_1716_0>=1)))||(((Threads5.FetchJobB_5>=1)&&(Jobs1.P_1712_1>=1))&&(P_1716.P_1716_0>=1)))||(((Threads6.FetchJobB_6>=1)&&(Jobs1.P_1712_1>=1))&&(P_1716.P_1716_0>=1)))||(((Threads7.FetchJobB_7>=1)&&(Jobs1.P_1712_1>=1))&&(P_1716.P_1716_0>=1)))||(((Threads0.FetchJobB_0>=1)&&(Jobs2.P_1712_2>=1))&&(P_1716.P_1716_0>=1)))||(((Threads1.FetchJobB_1>=1)&&(Jobs2.P_1712_2>=1))&&(P_1716.P_1716_0>=1)))||(((Threads2.FetchJobB_2>=1)&&(Jobs2.P_1712_2>=1))&&(P_1716.P_1716_0>=1)))||(((Threads3.FetchJobB_3>=1)&&(Jobs2.P_1712_2>=1))&&(P_1716.P_1716_0>=1)))||(((Threads4.FetchJobB_4>=1)&&(Jobs2.P_1712_2>=1))&&(P_1716.P_1716_0>=1)))||(((Threads5.FetchJobB_5>=1)&&(Jobs2.P_1712_2>=1))&&(P_1716.P_1716_0>=1)))||(((Threads6.FetchJobB_6>=1)&&(Jobs2.P_1712_2>=1))&&(P_1716.P_1716_0>=1)))||(((Threads7.FetchJobB_7>=1)&&(Jobs2.P_1712_2>=1))&&(P_1716.P_1716_0>=1)))||(((Threads0.FetchJobB_0>=1)&&(Jobs3.P_1712_3>=1))&&(P_1716.P_1716_0>=1)))||(((Threads1.FetchJobB_1>=1)&&(Jobs3.P_1712_3>=1))&&(P_1716.P_1716_0>=1)))||(((Threads2.FetchJobB_2>=1)&&(Jobs3.P_1712_3>=1))&&(P_1716.P_1716_0>=1)))||(((Threads3.FetchJobB_3>=1)&&(Jobs3.P_1712_3>=1))&&(P_1716.P_1716_0>=1)))||(((Threads4.FetchJobB_4>=1)&&(Jobs3.P_1712_3>=1))&&(P_1716.P_1716_0>=1)))||(((Threads5.FetchJobB_5>=1)&&(Jobs3.P_1712_3>=1))&&(P_1716.P_1716_0>=1)))||(((Threads6.FetchJobB_6>=1)&&(Jobs3.P_1712_3>=1))&&(P_1716.P_1716_0>=1)))||(((Threads7.FetchJobB_7>=1)&&(Jobs3.P_1712_3>=1))&&(P_1716.P_1716_0>=1)))||(((Threads0.FetchJobB_0>=1)&&(Jobs4.P_1712_4>=1))&&(P_1716.P_1716_0>=1)))||(((Threads1.FetchJobB_1>=1)&&(Jobs4.P_1712_4>=1))&&(P_1716.P_1716_0>=1)))||(((Threads2.FetchJobB_2>=1)&&(Jobs4.P_1712_4>=1))&&(P_1716.P_1716_0>=1)))||(((Threads3.FetchJobB_3>=1)&&(Jobs4.P_1712_4>=1))&&(P_1716.P_1716_0>=1)))||(((Threads4.FetchJobB_4>=1)&&(Jobs4.P_1712_4>=1))&&(P_1716.P_1716_0>=1)))||(((Threads5.FetchJobB_5>=1)&&(Jobs4.P_1712_4>=1))&&(P_1716.P_1716_0>=1)))||(((Threads6.FetchJobB_6>=1)&&(Jobs4.P_1712_4>=1))&&(P_1716.P_1716_0>=1)))||(((Threads7.FetchJobB_7>=1)&&(Jobs4.P_1712_4>=1))&&(P_1716.P_1716_0>=1)))||(((Threads0.FetchJobB_0>=1)&&(Jobs5.P_1712_5>=1))&&(P_1716.P_1716_0>=1)))||(((Threads1.FetchJobB_1>=1)&&(Jobs5.P_1712_5>=1))&&(P_1716.P_1716_0>=1)))||(((Threads2.FetchJobB_2>=1)&&(Jobs5.P_1712_5>=1))&&(P_1716.P_1716_0>=1)))||(((Threads3.FetchJobB_3>=1)&&(Jobs5.P_1712_5>=1))&&(P_1716.P_1716_0>=1)))||(((Threads4.FetchJobB_4>=1)&&(Jobs5.P_1712_5>=1))&&(P_1716.P_1716_0>=1)))||(((Threads5.FetchJobB_5>=1)&&(Jobs5.P_1712_5>=1))&&(P_1716.P_1716_0>=1)))||(((Threads6.FetchJobB_6>=1)&&(Jobs5.P_1712_5>=1))&&(P_1716.P_1716_0>=1)))||(((Threads7.FetchJobB_7>=1)&&(Jobs5.P_1712_5>=1))&&(P_1716.P_1716_0>=1)))||(((Threads0.FetchJobB_0>=1)&&(Jobs6.P_1712_6>=1))&&(P_1716.P_1716_0>=1)))||(((Threads1.FetchJobB_1>=1)&&(Jobs6.P_1712_6>=1))&&(P_1716.P_1716_0>=1)))||(((Threads2.FetchJobB_2>=1)&&(Jobs6.P_1712_6>=1))&&(P_1716.P_1716_0>=1)))||(((Threads3.FetchJobB_3>=1)&&(Jobs6.P_1712_6>=1))&&(P_1716.P_1716_0>=1)))||(((Threads4.FetchJobB_4>=1)&&(Jobs6.P_1712_6>=1))&&(P_1716.P_1716_0>=1)))||(((Threads5.FetchJobB_5>=1)&&(Jobs6.P_1712_6>=1))&&(P_1716.P_1716_0>=1)))||(((Threads6.FetchJobB_6>=1)&&(Jobs6.P_1712_6>=1))&&(P_1716.P_1716_0>=1)))||(((Threads7.FetchJobB_7>=1)&&(Jobs6.P_1712_6>=1))&&(P_1716.P_1716_0>=1)))))
built 1407 ordering constraints for composite.
Presburger conditions satisfied. Using coverability to approximate state space in K-Induction.
Normalized transition count is 2068
// Phase 1: matrix 2068 rows 792 cols
invariant :-1'JobCnt_0 + -1'NotifyEventJobQueuedB_0 + -1'NotifyEventJobQueuedB_1 + -1'NotifyEventJobQueuedB_2 + -1'NotifyEventJobQueuedB_3 + -1'NotifyEventJobQueuedB_4 + -1'NotifyEventJobQueuedB_5 + -1'NotifyEventJobQueuedB_6 + -1'NotifyEventJobQueuedB_7 + -1'NotifyEventJobQueuedB_8 + -1'NotifyEventJobQueuedB_9 + -1'NotifyEventJobQueuedB_10 + -1'NotifyEventJobQueuedB_11 + -1'NotifyEventJobQueuedB_12 + -1'NotifyEventJobQueuedB_13 + -1'NotifyEventJobQueuedB_14 + -1'NotifyEventJobQueuedB_15 + -1'NotifyEventJobQueuedB_16 + -1'NotifyEventJobQueuedB_17 + -1'NotifyEventJobQueuedB_18 + -1'NotifyEventJobQueuedB_19 + -1'NotifyEventJobQueuedB_20 + -1'NotifyEventJobQueuedB_21 + -1'NotifyEventJobQueuedB_22 + -1'NotifyEventJobQueuedB_23 + -1'NotifyEventJobQueuedB_24 + -1'NotifyEventJobQueuedB_25 + -1'NotifyEventJobQueuedB_26 + -1'NotifyEventJobQueuedB_27 + -1'NotifyEventJobQueuedB_28 + -1'NotifyEventJobQueuedB_29 + -1'NotifyEventJobQueuedB_30 + -1'NotifyEventJobQueuedB_31 + -1'NotifyEventJobQueuedB_32 + -1'NotifyEventJobQueuedB_33 + -1'NotifyEventJobQueuedB_34 + -1'NotifyEventJobQueuedB_35 + -1'NotifyEventJobQueuedB_36 + -1'NotifyEventJobQueuedB_37 + -1'NotifyEventJobQueuedB_38 + -1'NotifyEventJobQueuedB_39 + -1'NotifyEventJobQueuedB_40 + -1'NotifyEventJobQueuedB_41 + -1'NotifyEventJobQueuedB_42 + -1'NotifyEventJobQueuedB_43 + -1'NotifyEventJobQueuedB_44 + -1'NotifyEventJobQueuedB_45 + -1'NotifyEventJobQueuedB_46 + -1'NotifyEventJobQueuedB_47 + -1'NotifyEventJobQueuedB_48 + -1'NotifyEventJobQueuedB_49 + -1'NotifyEventJobQueuedB_50 + -1'NotifyEventJobQueuedB_51 + -1'NotifyEventJobQueuedB_52 + -1'NotifyEventJobQueuedB_53 + -1'NotifyEventJobQueuedB_54 + -1'NotifyEventJobQueuedB_55 + -1'FetchJobE_0 + -1'FetchJobE_1 + -1'FetchJobE_2 + -1'FetchJobE_3 + -1'FetchJobE_4 + -1'FetchJobE_5 + -1'FetchJobE_6 + -1'FetchJobE_7 + -1'FetchJobE_8 + -1'FetchJobE_9 + -1'FetchJobE_10 + -1'FetchJobE_11 + -1'FetchJobE_12 + -1'FetchJobE_13 + -1'FetchJobE_14 + -1'FetchJobE_15 + -1'FetchJobE_16 + -1'FetchJobE_17 + -1'FetchJobE_18 + -1'FetchJobE_19 + -1'FetchJobE_20 + -1'FetchJobE_21 + -1'FetchJobE_22 + -1'FetchJobE_23 + -1'FetchJobE_24 + -1'FetchJobE_25 + -1'FetchJobE_26 + -1'FetchJobE_27 + -1'FetchJobE_28 + -1'FetchJobE_29 + -1'FetchJobE_30 + -1'FetchJobE_31 + -1'FetchJobE_32 + -1'FetchJobE_33 + -1'FetchJobE_34 + -1'FetchJobE_35 + -1'FetchJobE_36 + -1'FetchJobE_37 + -1'FetchJobE_38 + -1'FetchJobE_39 + -1'FetchJobE_40 + -1'FetchJobE_41 + -1'FetchJobE_42 + -1'FetchJobE_43 + -1'FetchJobE_44 + -1'FetchJobE_45 + -1'FetchJobE_46 + -1'FetchJobE_47 + -1'FetchJobE_48 + -1'FetchJobE_49 + -1'FetchJobE_50 + -1'FetchJobE_51 + -1'FetchJobE_52 + -1'FetchJobE_53 + -1'FetchJobE_54 + -1'FetchJobE_55 + -1'FetchJobB_0 + -1'FetchJobB_1 + -1'FetchJobB_2 + -1'FetchJobB_3 + -1'FetchJobB_4 + -1'FetchJobB_5 + -1'FetchJobB_6 + -1'FetchJobB_7 + -1'AvailableJobId_1 + -1'AvailableJobId_3 + -1'AvailableJobId_4 + -1'AvailableJobId_5 + -1'AvailableJobId_6 + CreatedJobs_0 + CreatedJobs_1 + CreatedJobs_2 + CreatedJobs_3 + CreatedJobs_8 + CreatedJobs_9 + CreatedJobs_10 + CreatedJobs_11 + -1'ScheduleTaskE_Work_0 + -1'ScheduleTaskE_Work_1 + -1'ScheduleTaskE_Work_2 + -1'ScheduleTaskE_Work_3 + -1'ScheduleTaskE_Work_4 + -1'ScheduleTaskE_Work_5 + -1'ScheduleTaskE_Work_6 + -1'ScheduleTaskE_Work_7 + -1'ScheduleTaskE_Work_8 + -1'ScheduleTaskE_Work_9 + -1'ScheduleTaskE_Work_10 + -1'ScheduleTaskE_Work_11 + -1'ScheduleTaskE_Work_12 + -1'ScheduleTaskE_Work_13 + -1'ScheduleTaskE_Work_14 + -1'ScheduleTaskE_Work_15 + -1'ScheduleTaskE_Work_16 + -1'ScheduleTaskE_Work_17 + -1'ScheduleTaskE_Work_18 + -1'ScheduleTaskE_Work_19 + -1'ScheduleTaskE_Work_20 + -1'ScheduleTaskE_Work_21 + -1'ScheduleTaskE_Work_22 + -1'ScheduleTaskE_Work_23 + -1'ScheduleTaskE_Work_24 + -1'ScheduleTaskE_Work_25 + -1'ScheduleTaskE_Work_26 + -1'ScheduleTaskE_Work_27 + -1'ScheduleTaskE_Work_28 + -1'ScheduleTaskE_Work_29 + -1'ScheduleTaskE_Work_30 + -1'ScheduleTaskE_Work_31 + -1'ScheduleTaskE_Work_32 + -1'ScheduleTaskE_Work_33 + -1'ScheduleTaskE_Work_34 + -1'ScheduleTaskE_Work_35 + -1'ScheduleTaskE_Work_36 + -1'ScheduleTaskE_Work_37 + -1'ScheduleTaskE_Work_38 + -1'ScheduleTaskE_Work_39 + -1'ScheduleTaskE_Work_40 + -1'ScheduleTaskE_Work_41 + -1'ScheduleTaskE_Work_42 + -1'ScheduleTaskE_Work_43 + -1'ScheduleTaskE_Work_44 + -1'ScheduleTaskE_Work_45 + -1'ScheduleTaskE_Work_46 + -1'ScheduleTaskE_Work_47 + -1'ScheduleTaskE_Work_48 + -1'ScheduleTaskE_Work_49 + -1'ScheduleTaskE_Work_50 + -1'ScheduleTaskE_Work_51 + -1'ScheduleTaskE_Work_52 + -1'ScheduleTaskE_Work_53 + -1'ScheduleTaskE_Work_54 + -1'ScheduleTaskE_Work_55 = -5
invariant :IdleTasks_0 + IdleTasks_1 + IdleTasks_2 + IdleTasks_3 + IdleTasks_4 + IdleTasks_5 + IdleTasks_6 + IdleTasks_7 + cIdleTasks_0 + ScheduleTaskE_Idle_0 + ScheduleTaskE_Idle_1 + ScheduleTaskE_Idle_2 + ScheduleTaskE_Idle_3 + ScheduleTaskE_Idle_4 + ScheduleTaskE_Idle_5 + ScheduleTaskE_Idle_6 + ScheduleTaskE_Idle_7 = 8
invariant :AwakeTasks_1 + IdleTasks_1 + -1'Idle_1 = 0
invariant :-1'P_2321_1 + TryAllocateOneTaskE_1 + TryAllocateOneTaskB_1 + -1'P_2318_1 = 0
invariant :-1'P_2321_3 + TryAllocateOneTaskE_3 + TryAllocateOneTaskB_3 + -1'P_2318_3 = 0
invariant :-1'P_2321_5 + TryAllocateOneTaskE_5 + TryAllocateOneTaskB_5 + -1'P_2318_5 = 0
invariant :-1'P_2321_7 + TryAllocateOneTaskE_7 + TryAllocateOneTaskB_7 + -1'P_2318_7 = 0
invariant :-1'JobCnt_0 + -1'NotifyEventJobQueuedB_0 + -1'NotifyEventJobQueuedB_1 + -1'NotifyEventJobQueuedB_3 + -1'NotifyEventJobQueuedB_4 + -1'NotifyEventJobQueuedB_5 + -1'NotifyEventJobQueuedB_6 + -1'NotifyEventJobQueuedB_7 + -1'NotifyEventJobQueuedB_8 + -1'NotifyEventJobQueuedB_10 + -1'NotifyEventJobQueuedB_11 + -1'NotifyEventJobQueuedB_12 + -1'NotifyEventJobQueuedB_13 + -1'NotifyEventJobQueuedB_14 + -1'NotifyEventJobQueuedB_15 + -1'NotifyEventJobQueuedB_17 + -1'NotifyEventJobQueuedB_18 + -1'NotifyEventJobQueuedB_19 + -1'NotifyEventJobQueuedB_20 + -1'NotifyEventJobQueuedB_21 + -1'NotifyEventJobQueuedB_22 + -1'NotifyEventJobQueuedB_24 + -1'NotifyEventJobQueuedB_25 + -1'NotifyEventJobQueuedB_26 + -1'NotifyEventJobQueuedB_27 + -1'NotifyEventJobQueuedB_28 + -1'NotifyEventJobQueuedB_29 + -1'NotifyEventJobQueuedB_31 + -1'NotifyEventJobQueuedB_32 + -1'NotifyEventJobQueuedB_33 + -1'NotifyEventJobQueuedB_34 + -1'NotifyEventJobQueuedB_35 + -1'NotifyEventJobQueuedB_36 + -1'NotifyEventJobQueuedB_38 + -1'NotifyEventJobQueuedB_39 + -1'NotifyEventJobQueuedB_40 + -1'NotifyEventJobQueuedB_41 + -1'NotifyEventJobQueuedB_42 + -1'NotifyEventJobQueuedB_43 + -1'NotifyEventJobQueuedB_45 + -1'NotifyEventJobQueuedB_46 + -1'NotifyEventJobQueuedB_47 + -1'NotifyEventJobQueuedB_48 + -1'NotifyEventJobQueuedB_49 + -1'NotifyEventJobQueuedB_50 + -1'NotifyEventJobQueuedB_52 + -1'NotifyEventJobQueuedB_53 + -1'NotifyEventJobQueuedB_54 + -1'NotifyEventJobQueuedB_55 + QueueJobB_2 + QueueJobB_9 + QueueJobB_16 + QueueJobB_23 + QueueJobB_30 + QueueJobB_37 + QueueJobB_44 + QueueJobB_51 + P_1725_2 + P_1724_2 + -1'FetchJobE_0 + -1'FetchJobE_1 + -1'FetchJobE_3 + -1'FetchJobE_4 + -1'FetchJobE_5 + -1'FetchJobE_6 + -1'FetchJobE_7 + -1'FetchJobE_8 + -1'FetchJobE_10 + -1'FetchJobE_11 + -1'FetchJobE_12 + -1'FetchJobE_13 + -1'FetchJobE_14 + -1'FetchJobE_15 + -1'FetchJobE_17 + -1'FetchJobE_18 + -1'FetchJobE_19 + -1'FetchJobE_20 + -1'FetchJobE_21 + -1'FetchJobE_22 + -1'FetchJobE_24 + -1'FetchJobE_25 + -1'FetchJobE_26 + -1'FetchJobE_27 + -1'FetchJobE_28 + -1'FetchJobE_29 + -1'FetchJobE_31 + -1'FetchJobE_32 + -1'FetchJobE_33 + -1'FetchJobE_34 + -1'FetchJobE_35 + -1'FetchJobE_36 + -1'FetchJobE_38 + -1'FetchJobE_39 + -1'FetchJobE_40 + -1'FetchJobE_41 + -1'FetchJobE_42 + -1'FetchJobE_43 + -1'FetchJobE_45 + -1'FetchJobE_46 + -1'FetchJobE_47 + -1'FetchJobE_48 + -1'FetchJobE_49 + -1'FetchJobE_50 + -1'FetchJobE_52 + -1'FetchJobE_53 + -1'FetchJobE_54 + -1'FetchJobE_55 + -1'FetchJobB_0 + -1'FetchJobB_1 + -1'FetchJobB_2 + -1'FetchJobB_3 + -1'FetchJobB_4 + -1'FetchJobB_5 + -1'FetchJobB_6 + -1'FetchJobB_7 + P_1712_2 + -1'AvailableJobId_1 + -1'AvailableJobId_3 + -1'AvailableJobId_4 + -1'AvailableJobId_5 + -1'AvailableJobId_6 + CreatedJobs_0 + CreatedJobs_1 + CreatedJobs_2 + CreatedJobs_3 + -1'ScheduleTaskE_Work_0 + -1'ScheduleTaskE_Work_1 + -1'ScheduleTaskE_Work_3 + -1'ScheduleTaskE_Work_4 + -1'ScheduleTaskE_Work_5 + -1'ScheduleTaskE_Work_6 + -1'ScheduleTaskE_Work_7 + -1'ScheduleTaskE_Work_8 + -1'ScheduleTaskE_Work_10 + -1'ScheduleTaskE_Work_11 + -1'ScheduleTaskE_Work_12 + -1'ScheduleTaskE_Work_13 + -1'ScheduleTaskE_Work_14 + -1'ScheduleTaskE_Work_15 + -1'ScheduleTaskE_Work_17 + -1'ScheduleTaskE_Work_18 + -1'ScheduleTaskE_Work_19 + -1'ScheduleTaskE_Work_20 + -1'ScheduleTaskE_Work_21 + -1'ScheduleTaskE_Work_22 + -1'ScheduleTaskE_Work_24 + -1'ScheduleTaskE_Work_25 + -1'ScheduleTaskE_Work_26 + -1'ScheduleTaskE_Work_27 + -1'ScheduleTaskE_Work_28 + -1'ScheduleTaskE_Work_29 + -1'ScheduleTaskE_Work_31 + -1'ScheduleTaskE_Work_32 + -1'ScheduleTaskE_Work_33 + -1'ScheduleTaskE_Work_34 + -1'ScheduleTaskE_Work_35 + -1'ScheduleTaskE_Work_36 + -1'ScheduleTaskE_Work_38 + -1'ScheduleTaskE_Work_39 + -1'ScheduleTaskE_Work_40 + -1'ScheduleTaskE_Work_41 + -1'ScheduleTaskE_Work_42 + -1'ScheduleTaskE_Work_43 + -1'ScheduleTaskE_Work_45 + -1'ScheduleTaskE_Work_46 + -1'ScheduleTaskE_Work_47 + -1'ScheduleTaskE_Work_48 + -1'ScheduleTaskE_Work_49 + -1'ScheduleTaskE_Work_50 + -1'ScheduleTaskE_Work_52 + -1'ScheduleTaskE_Work_53 + -1'ScheduleTaskE_Work_54 + -1'ScheduleTaskE_Work_55 = -5
invariant :DisablePollingE_1 + DisablePollingE_5 + DisablePollingE_9 + DisablePollingE_13 + DisablePollingE_17 + DisablePollingE_21 + DisablePollingE_25 + DisablePollingE_29 + DisablePollingB_1 + DisablePollingB_5 + DisablePollingB_9 + DisablePollingB_13 + DisablePollingB_17 + DisablePollingB_21 + DisablePollingB_25 + DisablePollingB_29 + Abort_Check_Sources_B_0 + Abort_Check_Sources_B_1 + Abort_Check_Sources_B_2 + Abort_Check_Sources_B_3 + Abort_Check_Sources_B_4 + Abort_Check_Sources_B_5 + Abort_Check_Sources_B_6 + Abort_Check_Sources_B_7 + PlaceSources_1 + Insert_Source_B_1 + Insert_Source_B_5 + Insert_Source_B_9 + Insert_Source_B_13 + Insert_Source_B_17 + Insert_Source_B_21 + Insert_Source_B_25 + Insert_Source_B_29 + -1'AvailableJobId_3 + P_1119_1 + P_1119_5 + P_1119_9 + P_1119_13 + P_1119_17 + P_1119_21 + P_1119_25 + P_1119_29 + CreatedJobs_1 + CreatedJobs_5 + CreatedJobs_9 + -1'CreatedJobs_12 + -1'CreatedJobs_14 + -1'CreatedJobs_15 + CreatedJobs_17 + CreatedJobs_21 + CreatedJobs_25 + -1'Check_Sources_B_0 + -1'Check_Sources_B_1 + -1'Check_Sources_B_2 + -1'Check_Sources_B_3 + -1'Check_Sources_B_4 + -1'Check_Sources_B_5 + -1'Check_Sources_B_6 + -1'Check_Sources_B_7 + -1'Check_Sources_E_0 + -1'Check_Sources_E_1 + -1'Check_Sources_E_2 + -1'Check_Sources_E_3 + -1'Check_Sources_E_4 + -1'Check_Sources_E_5 + -1'Check_Sources_E_6 + -1'Check_Sources_E_7 + ModifiedSrc_1 + -1'Idle_0 + -1'Idle_1 + -1'Idle_2 + -1'Idle_3 + -1'Idle_4 + -1'Idle_5 + -1'Idle_6 + -1'Idle_7 + -1'RunE_0 + -1'RunE_1 + -1'RunE_2 + -1'RunE_3 + -1'RunE_4 + -1'RunE_5 + -1'RunE_6 + -1'RunE_7 + -1'RunB_0 + -1'RunB_1 + -1'RunB_2 + -1'RunB_3 + -1'RunB_4 + -1'RunB_5 + -1'RunB_6 + -1'RunB_7 + ORB_Lock_0 + -1'AbourtToModifySrc_0 + -1'AbourtToModifySrc_2 + -1'AbourtToModifySrc_3 + -1'P_2579_0 + -1'P_2579_2 + -1'P_2579_3 + -1'P_2579_4 + -1'P_2579_6 + -1'P_2579_7 + -1'P_2579_8 + -1'P_2579_10 + -1'P_2579_11 + -1'P_2579_12 + -1'P_2579_14 + -1'P_2579_15 + -1'P_2579_16 + -1'P_2579_18 + -1'P_2579_19 + -1'P_2579_20 + -1'P_2579_22 + -1'P_2579_23 + -1'P_2579_24 + -1'P_2579_26 + -1'P_2579_27 + -1'P_2579_28 + -1'P_2579_30 + -1'P_2579_31 = -7
invariant :NotifyEventJobQueuedB_0 + -1'NotifyEventJobQueuedB_3 + NotifyEventJobQueuedB_4 + -1'NotifyEventJobQueuedB_5 + -1'NotifyEventJobQueuedB_6 + NotifyEventJobQueuedB_7 + -1'NotifyEventJobQueuedB_10 + NotifyEventJobQueuedB_11 + -1'NotifyEventJobQueuedB_12 + -1'NotifyEventJobQueuedB_13 + NotifyEventJobQueuedB_14 + -1'NotifyEventJobQueuedB_17 + NotifyEventJobQueuedB_18 + -1'NotifyEventJobQueuedB_19 + -1'NotifyEventJobQueuedB_20 + NotifyEventJobQueuedB_21 + -1'NotifyEventJobQueuedB_24 + NotifyEventJobQueuedB_25 + -1'NotifyEventJobQueuedB_26 + -1'NotifyEventJobQueuedB_27 + NotifyEventJobQueuedB_28 + -1'NotifyEventJobQueuedB_31 + NotifyEventJobQueuedB_32 + -1'NotifyEventJobQueuedB_33 + -1'NotifyEventJobQueuedB_34 + NotifyEventJobQueuedB_35 + -1'NotifyEventJobQueuedB_38 + NotifyEventJobQueuedB_39 + -1'NotifyEventJobQueuedB_40 + -1'NotifyEventJobQueuedB_41 + NotifyEventJobQueuedB_42 + -1'NotifyEventJobQueuedB_45 + NotifyEventJobQueuedB_46 + -1'NotifyEventJobQueuedB_47 + -1'NotifyEventJobQueuedB_48 + NotifyEventJobQueuedB_49 + -1'NotifyEventJobQueuedB_52 + NotifyEventJobQueuedB_53 + -1'NotifyEventJobQueuedB_54 + -1'NotifyEventJobQueuedB_55 + QueueJobB_0 + -1'QueueJobB_3 + QueueJobB_4 + -1'QueueJobB_5 + -1'QueueJobB_6 + QueueJobB_7 + -1'QueueJobB_10 + QueueJobB_11 + -1'QueueJobB_12 + -1'QueueJobB_13 + QueueJobB_14 + -1'QueueJobB_17 + QueueJobB_18 + -1'QueueJobB_19 + -1'QueueJobB_20 + QueueJobB_21 + -1'QueueJobB_24 + QueueJobB_25 + -1'QueueJobB_26 + -1'QueueJobB_27 + QueueJobB_28 + -1'QueueJobB_31 + QueueJobB_32 + -1'QueueJobB_33 + -1'QueueJobB_34 + QueueJobB_35 + -1'QueueJobB_38 + QueueJobB_39 + -1'QueueJobB_40 + -1'QueueJobB_41 + QueueJobB_42 + -1'QueueJobB_45 + QueueJobB_46 + -1'QueueJobB_47 + -1'QueueJobB_48 + QueueJobB_49 + -1'QueueJobB_52 + QueueJobB_53 + -1'QueueJobB_54 + -1'QueueJobB_55 + P_1726_0 + P_1725_0 + -1'P_1725_3 + P_1725_4 + -1'P_1725_5 + -1'P_1725_6 + -1'P_1724_1 + -1'P_1724_2 + -2'P_1724_3 + -2'P_1724_5 + -2'P_1724_6 + FetchJobE_0 + -1'FetchJobE_3 + FetchJobE_4 + -1'FetchJobE_5 + -1'FetchJobE_6 + FetchJobE_7 + -1'FetchJobE_10 + FetchJobE_11 + -1'FetchJobE_12 + -1'FetchJobE_13 + FetchJobE_14 + -1'FetchJobE_17 + FetchJobE_18 + -1'FetchJobE_19 + -1'FetchJobE_20 + FetchJobE_21 + -1'FetchJobE_24 + FetchJobE_25 + -1'FetchJobE_26 + -1'FetchJobE_27 + FetchJobE_28 + -1'FetchJobE_31 + FetchJobE_32 + -1'FetchJobE_33 + -1'FetchJobE_34 + FetchJobE_35 + -1'FetchJobE_38 + FetchJobE_39 + -1'FetchJobE_40 + -1'FetchJobE_41 + FetchJobE_42 + -1'FetchJobE_45 + FetchJobE_46 + -1'FetchJobE_47 + -1'FetchJobE_48 + FetchJobE_49 + -1'FetchJobE_52 + FetchJobE_53 + -1'FetchJobE_54 + -1'FetchJobE_55 + -1'P_1716_0 + 2'P_1712_0 + P_1712_1 + P_1712_2 + 2'P_1712_4 + -1'AvailableJobId_3 + AvailableJobId_4 + -1'AvailableJobId_5 + -1'AvailableJobId_6 + -1'CreatedJobs_0 + -1'CreatedJobs_1 + -1'CreatedJobs_2 + -1'CreatedJobs_3 + ScheduleTaskE_Work_0 + -1'ScheduleTaskE_Work_3 + ScheduleTaskE_Work_4 + -1'ScheduleTaskE_Work_5 + -1'ScheduleTaskE_Work_6 + ScheduleTaskE_Work_7 + -1'ScheduleTaskE_Work_10 + ScheduleTaskE_Work_11 + -1'ScheduleTaskE_Work_12 + -1'ScheduleTaskE_Work_13 + ScheduleTaskE_Work_14 + -1'ScheduleTaskE_Work_17 + ScheduleTaskE_Work_18 + -1'ScheduleTaskE_Work_19 + -1'ScheduleTaskE_Work_20 + ScheduleTaskE_Work_21 + -1'ScheduleTaskE_Work_24 + ScheduleTaskE_Work_25 + -1'ScheduleTaskE_Work_26 + -1'ScheduleTaskE_Work_27 + ScheduleTaskE_Work_28 + -1'ScheduleTaskE_Work_31 + ScheduleTaskE_Work_32 + -1'ScheduleTaskE_Work_33 + -1'ScheduleTaskE_Work_34 + ScheduleTaskE_Work_35 + -1'ScheduleTaskE_Work_38 + ScheduleTaskE_Work_39 + -1'ScheduleTaskE_Work_40 + -1'ScheduleTaskE_Work_41 + ScheduleTaskE_Work_42 + -1'ScheduleTaskE_Work_45 + ScheduleTaskE_Work_46 + -1'ScheduleTaskE_Work_47 + -1'ScheduleTaskE_Work_48 + ScheduleTaskE_Work_49 + -1'ScheduleTaskE_Work_52 + ScheduleTaskE_Work_53 + -1'ScheduleTaskE_Work_54 + -1'ScheduleTaskE_Work_55 = -2
invariant :NotifyEventJobQueuedB_6 + NotifyEventJobQueuedB_13 + NotifyEventJobQueuedB_20 + NotifyEventJobQueuedB_27 + NotifyEventJobQueuedB_34 + NotifyEventJobQueuedB_41 + NotifyEventJobQueuedB_48 + NotifyEventJobQueuedB_55 + QueueJobB_6 + QueueJobB_13 + QueueJobB_20 + QueueJobB_27 + QueueJobB_34 + QueueJobB_41 + QueueJobB_48 + QueueJobB_55 + P_1725_6 + P_1724_6 + FetchJobE_6 + FetchJobE_13 + FetchJobE_20 + FetchJobE_27 + FetchJobE_34 + FetchJobE_41 + FetchJobE_48 + FetchJobE_55 + P_1712_6 + AvailableJobId_6 + ScheduleTaskE_Work_6 + ScheduleTaskE_Work_13 + ScheduleTaskE_Work_20 + ScheduleTaskE_Work_27 + ScheduleTaskE_Work_34 + ScheduleTaskE_Work_41 + ScheduleTaskE_Work_48 + ScheduleTaskE_Work_55 = 1
invariant :BlockedTasks_0 + ScheduleTaskE_Check_0 + ScheduleTaskE_Check_1 + ScheduleTaskE_Check_2 + ScheduleTaskE_Check_3 + ScheduleTaskE_Check_4 + ScheduleTaskE_Check_5 + ScheduleTaskE_Check_6 + ScheduleTaskE_Check_7 + -1'IsMonitoring_0 = 0
invariant :cJobCnt_0 + JobCnt_0 = 10
invariant :AvailableJobId_5 + CreatedJobs_20 + CreatedJobs_21 + CreatedJobs_22 + CreatedJobs_23 = 1
invariant :-3'JobCnt_0 + 3'NotifyEventJobQueuedE_0 + 3'NotifyEventJobQueuedE_1 + 3'NotifyEventJobQueuedE_2 + 3'NotifyEventJobQueuedE_3 + 3'NotifyEventJobQueuedE_4 + 3'NotifyEventJobQueuedE_5 + 3'NotifyEventJobQueuedE_6 + 3'NotifyEventJobQueuedE_7 + 3'QueueJobE_0 + 3'QueueJobE_1 + 3'QueueJobE_2 + 3'QueueJobE_3 + 3'QueueJobE_4 + 3'QueueJobE_5 + 3'QueueJobE_6 + 3'QueueJobE_7 + 3'QueueJobB_0 + 3'QueueJobB_1 + 3'QueueJobB_2 + 3'QueueJobB_3 + 3'QueueJobB_4 + 3'QueueJobB_5 + 3'QueueJobB_6 + 3'QueueJobB_7 + 3'QueueJobB_8 + 3'QueueJobB_9 + 3'QueueJobB_10 + 3'QueueJobB_11 + 3'QueueJobB_12 + 3'QueueJobB_13 + 3'QueueJobB_14 + 3'QueueJobB_15 + 3'QueueJobB_16 + 3'QueueJobB_17 + 3'QueueJobB_18 + 3'QueueJobB_19 + 3'QueueJobB_20 + 3'QueueJobB_21 + 3'QueueJobB_22 + 3'QueueJobB_23 + 3'QueueJobB_24 + 3'QueueJobB_25 + 3'QueueJobB_26 + 3'QueueJobB_27 + 3'QueueJobB_28 + 3'QueueJobB_29 + 3'QueueJobB_30 + 3'QueueJobB_31 + 3'QueueJobB_32 + 3'QueueJobB_33 + 3'QueueJobB_34 + 3'QueueJobB_35 + 3'QueueJobB_36 + 3'QueueJobB_37 + 3'QueueJobB_38 + 3'QueueJobB_39 + 3'QueueJobB_40 + 3'QueueJobB_41 + 3'QueueJobB_42 + 3'QueueJobB_43 + 3'QueueJobB_44 + 3'QueueJobB_45 + 3'QueueJobB_46 + 3'QueueJobB_47 + 3'QueueJobB_48 + 3'QueueJobB_49 + 3'QueueJobB_50 + 3'QueueJobB_51 + 3'QueueJobB_52 + 3'QueueJobB_53 + 3'QueueJobB_54 + 3'QueueJobB_55 + 3'P_2321_0 + 3'P_2321_1 + 3'P_2321_2 + 3'P_2321_3 + 3'P_2321_4 + 3'P_2321_5 + 3'P_2321_6 + 3'P_2321_7 + 3'P_2318_0 + 3'P_2318_1 + 3'P_2318_2 + 3'P_2318_3 + 3'P_2318_4 + 3'P_2318_5 + 3'P_2318_6 + 3'P_2318_7 + 3'EnablePollingE_0 + 3'EnablePollingE_1 + 3'EnablePollingE_2 + 3'EnablePollingE_3 + 3'EnablePollingE_4 + 3'EnablePollingE_5 + 3'EnablePollingE_6 + 3'EnablePollingE_7 + 3'EnablePollingB_0 + 3'EnablePollingB_1 + 3'EnablePollingB_2 + 3'EnablePollingB_3 + 3'EnablePollingB_4 + 3'EnablePollingB_5 + 3'EnablePollingB_6 + 3'EnablePollingB_7 + -18'ScheduleTaskE_Check_0 + -18'ScheduleTaskE_Check_1 + -18'ScheduleTaskE_Check_2 + -18'ScheduleTaskE_Check_3 + -18'ScheduleTaskE_Check_4 + -18'ScheduleTaskE_Check_5 + -18'ScheduleTaskE_Check_6 + -18'ScheduleTaskE_Check_7 + 3'ScheduleTaskB_0 + 3'ScheduleTaskB_1 + 3'ScheduleTaskB_2 + 3'ScheduleTaskB_3 + 3'ScheduleTaskB_4 + 3'ScheduleTaskB_5 + 3'ScheduleTaskB_6 + 3'ScheduleTaskB_7 + -3'PlaceSources_0 + -3'PlaceSources_1 + -3'PlaceSources_2 + -3'PlaceSources_3 + 3'NotifyEventSourceAddedB_0 + 3'NotifyEventSourceAddedB_1 + 3'NotifyEventSourceAddedB_2 + 3'NotifyEventSourceAddedB_3 + 3'NotifyEventSourceAddedB_4 + 3'NotifyEventSourceAddedB_5 + 3'NotifyEventSourceAddedB_6 + 3'NotifyEventSourceAddedB_7 + 3'Insert_Source_E_0 + 3'Insert_Source_E_1 + 3'Insert_Source_E_2 + 3'Insert_Source_E_3 + 3'Insert_Source_E_4 + 3'Insert_Source_E_5 + 3'Insert_Source_E_6 + 3'Insert_Source_E_7 + 3'NotifyEventSourceAddedE_0 + 3'NotifyEventSourceAddedE_1 + 3'NotifyEventSourceAddedE_2 + 3'NotifyEventSourceAddedE_3 + 3'NotifyEventSourceAddedE_4 + 3'NotifyEventSourceAddedE_5 + 3'NotifyEventSourceAddedE_6 + 3'NotifyEventSourceAddedE_7 + 9'P_1093_0 + 3'P_1095_0 + P_1097_0 + 3'P_1113_0 + 3'P_1113_1 + 3'P_1113_2 + 3'P_1113_3 + 3'P_1113_4 + 3'P_1113_5 + 3'P_1113_6 + 3'P_1113_7 + 3'cSources_0 + -18'ProcessingEvt_0 + -18'ProcessingEvt_1 + -18'ProcessingEvt_2 + -18'ProcessingEvt_3 + -18'ProcessingEvt_4 + -18'ProcessingEvt_5 + -18'ProcessingEvt_6 + -18'ProcessingEvt_7 + -18'P_1155_0 + -18'P_1155_1 + -18'P_1155_2 + -18'P_1155_3 + -18'P_1155_4 + -18'P_1155_5 + -18'P_1155_6 + -18'P_1155_7 + 12'nyo_0 + 12'nyo_1 + 12'nyo_2 + 12'nyo_3 + 12'nyo_4 + 12'nyo_5 + 12'nyo_6 + 12'nyo_7 + 6'P_1158_0 + 6'P_1158_1 + 6'P_1158_2 + 6'P_1158_3 + 6'P_1158_4 + 6'P_1158_5 + 6'P_1158_6 + 6'P_1158_7 + 4'P_1159_0 + 4'P_1159_1 + 4'P_1159_2 + 4'P_1159_3 + 4'P_1159_4 + 4'P_1159_5 + 4'P_1159_6 + 4'P_1159_7 + 3'P_1160_0 + 3'P_1160_1 + 3'P_1160_2 + 3'P_1160_3 + 3'P_1160_4 + 3'P_1160_5 + 3'P_1160_6 + 3'P_1160_7 + -18'NotifyEventEndOfCheckSourcesB_0 + -18'NotifyEventEndOfCheckSourcesB_1 + -18'NotifyEventEndOfCheckSourcesB_2 + -18'NotifyEventEndOfCheckSourcesB_3 + -18'NotifyEventEndOfCheckSourcesB_4 + -18'NotifyEventEndOfCheckSourcesB_5 + -18'NotifyEventEndOfCheckSourcesB_6 + -18'NotifyEventEndOfCheckSourcesB_7 + 3'NotifyEventEndOfCheckSourcesE_0 + 3'NotifyEventEndOfCheckSourcesE_1 + 3'NotifyEventEndOfCheckSourcesE_2 + 3'NotifyEventEndOfCheckSourcesE_3 + 3'NotifyEventEndOfCheckSourcesE_4 + 3'NotifyEventEndOfCheckSourcesE_5 + 3'NotifyEventEndOfCheckSourcesE_6 + 3'NotifyEventEndOfCheckSourcesE_7 + -18'Check_Sources_B_0 + -18'Check_Sources_B_1 + -18'Check_Sources_B_2 + -18'Check_Sources_B_3 + -18'Check_Sources_B_4 + -18'Check_Sources_B_5 + -18'Check_Sources_B_6 + -18'Check_Sources_B_7 + -18'Check_Sources_E_0 + -18'Check_Sources_E_1 + -18'Check_Sources_E_2 + -18'Check_Sources_E_3 + -18'Check_Sources_E_4 + -18'Check_Sources_E_5 + -18'Check_Sources_E_6 + -18'Check_Sources_E_7 + 3'Idle_0 + 3'Idle_1 + 3'Idle_2 + 3'Idle_3 + 3'Idle_4 + 3'Idle_5 + 3'Idle_6 + 3'Idle_7 + 3'NotifyEventJobCompletedE_0 + 3'NotifyEventJobCompletedE_1 + 3'NotifyEventJobCompletedE_2 + 3'NotifyEventJobCompletedE_3 + 3'NotifyEventJobCompletedE_4 + 3'NotifyEventJobCompletedE_5 + 3'NotifyEventJobCompletedE_6 + 3'NotifyEventJobCompletedE_7 + 3'NotifyEventJobCompletedB_0 + 3'NotifyEventJobCompletedB_1 + 3'NotifyEventJobCompletedB_2 + 3'NotifyEventJobCompletedB_3 + 3'NotifyEventJobCompletedB_4 + 3'NotifyEventJobCompletedB_5 + 3'NotifyEventJobCompletedB_6 + 3'NotifyEventJobCompletedB_7 + 3'RunE_0 + 3'RunE_1 + 3'RunE_2 + 3'RunE_3 + 3'RunE_4 + 3'RunE_5 + 3'RunE_6 + 3'RunE_7 + 3'RunB_0 + 3'RunB_1 + 3'RunB_2 + 3'RunB_3 + 3'RunB_4 + 3'RunB_5 + 3'RunB_6 + 3'RunB_7 + 3'Perform_Work_E_0 + 3'Perform_Work_E_1 + 3'Perform_Work_E_2 + 3'Perform_Work_E_3 + 3'Perform_Work_E_4 + 3'Perform_Work_E_5 + 3'Perform_Work_E_6 + 3'Perform_Work_E_7 + -18'Try_Check_Sources_B_0 + -18'Try_Check_Sources_B_1 + -18'Try_Check_Sources_B_2 + -18'Try_Check_Sources_B_3 + -18'Try_Check_Sources_B_4 + -18'Try_Check_Sources_B_5 + -18'Try_Check_Sources_B_6 + -18'Try_Check_Sources_B_7 + 3'Try_Check_Sources_E_0 + 3'Try_Check_Sources_E_1 + 3'Try_Check_Sources_E_2 + 3'Try_Check_Sources_E_3 + 3'Try_Check_Sources_E_4 + 3'Try_Check_Sources_E_5 + 3'Try_Check_Sources_E_6 + 3'Try_Check_Sources_E_7 + 21'IsMonitoring_0 + 3'P_1617_0 + 3'P_1617_1 + 3'P_1617_2 + 3'P_1617_3 + 3'P_1617_4 + 3'P_1617_5 + 3'P_1617_6 + 3'P_1617_7 + 3'ScheduleTaskE_Idle_0 + 3'ScheduleTaskE_Idle_1 + 3'ScheduleTaskE_Idle_2 + 3'ScheduleTaskE_Idle_3 + 3'ScheduleTaskE_Idle_4 + 3'ScheduleTaskE_Idle_5 + 3'ScheduleTaskE_Idle_6 + 3'ScheduleTaskE_Idle_7 = 42
invariant :-1'JobCnt_0 + -2'NotifyEventJobQueuedB_0 + -1'NotifyEventJobQueuedB_3 + -2'NotifyEventJobQueuedB_4 + -1'NotifyEventJobQueuedB_5 + -1'NotifyEventJobQueuedB_6 + -2'NotifyEventJobQueuedB_7 + -1'NotifyEventJobQueuedB_10 + -2'NotifyEventJobQueuedB_11 + -1'NotifyEventJobQueuedB_12 + -1'NotifyEventJobQueuedB_13 + -2'NotifyEventJobQueuedB_14 + -1'NotifyEventJobQueuedB_17 + -2'NotifyEventJobQueuedB_18 + -1'NotifyEventJobQueuedB_19 + -1'NotifyEventJobQueuedB_20 + -2'NotifyEventJobQueuedB_21 + -1'NotifyEventJobQueuedB_24 + -2'NotifyEventJobQueuedB_25 + -1'NotifyEventJobQueuedB_26 + -1'NotifyEventJobQueuedB_27 + -2'NotifyEventJobQueuedB_28 + -1'NotifyEventJobQueuedB_31 + -2'NotifyEventJobQueuedB_32 + -1'NotifyEventJobQueuedB_33 + -1'NotifyEventJobQueuedB_34 + -2'NotifyEventJobQueuedB_35 + -1'NotifyEventJobQueuedB_38 + -2'NotifyEventJobQueuedB_39 + -1'NotifyEventJobQueuedB_40 + -1'NotifyEventJobQueuedB_41 + -2'NotifyEventJobQueuedB_42 + -1'NotifyEventJobQueuedB_45 + -2'NotifyEventJobQueuedB_46 + -1'NotifyEventJobQueuedB_47 + -1'NotifyEventJobQueuedB_48 + -2'NotifyEventJobQueuedB_49 + -1'NotifyEventJobQueuedB_52 + -2'NotifyEventJobQueuedB_53 + -1'NotifyEventJobQueuedB_54 + -1'NotifyEventJobQueuedB_55 + -1'QueueJobB_0 + QueueJobB_1 + QueueJobB_2 + -1'QueueJobB_4 + -1'QueueJobB_7 + QueueJobB_8 + QueueJobB_9 + -1'QueueJobB_11 + -1'QueueJobB_14 + QueueJobB_15 + QueueJobB_16 + -1'QueueJobB_18 + -1'QueueJobB_21 + QueueJobB_22 + QueueJobB_23 + -1'QueueJobB_25 + -1'QueueJobB_28 + QueueJobB_29 + QueueJobB_30 + -1'QueueJobB_32 + -1'QueueJobB_35 + QueueJobB_36 + QueueJobB_37 + -1'QueueJobB_39 + -1'QueueJobB_42 + QueueJobB_43 + QueueJobB_44 + -1'QueueJobB_46 + -1'QueueJobB_49 + QueueJobB_50 + QueueJobB_51 + -1'QueueJobB_53 + P_1727_0 + -2'P_1725_0 + -1'P_1725_3 + -2'P_1725_4 + -1'P_1725_5 + -1'P_1725_6 + 2'P_1724_1 + 2'P_1724_2 + P_1724_3 + P_1724_5 + P_1724_6 + -2'FetchJobE_0 + -1'FetchJobE_3 + -2'FetchJobE_4 + -1'FetchJobE_5 + -1'FetchJobE_6 + -2'FetchJobE_7 + -1'FetchJobE_10 + -2'FetchJobE_11 + -1'FetchJobE_12 + -1'FetchJobE_13 + -2'FetchJobE_14 + -1'FetchJobE_17 + -2'FetchJobE_18 + -1'FetchJobE_19 + -1'FetchJobE_20 + -2'FetchJobE_21 + -1'FetchJobE_24 + -2'FetchJobE_25 + -1'FetchJobE_26 + -1'FetchJobE_27 + -2'FetchJobE_28 + -1'FetchJobE_31 + -2'FetchJobE_32 + -1'FetchJobE_33 + -1'FetchJobE_34 + -2'FetchJobE_35 + -1'FetchJobE_38 + -2'FetchJobE_39 + -1'FetchJobE_40 + -1'FetchJobE_41 + -2'FetchJobE_42 + -1'FetchJobE_45 + -2'FetchJobE_46 + -1'FetchJobE_47 + -1'FetchJobE_48 + -2'FetchJobE_49 + -1'FetchJobE_52 + -2'FetchJobE_53 + -1'FetchJobE_54 + -1'FetchJobE_55 + -1'P_1717_0 + -1'FetchJobB_0 + -1'FetchJobB_1 + -1'FetchJobB_2 + -1'FetchJobB_3 + -1'FetchJobB_4 + -1'FetchJobB_5 + -1'FetchJobB_6 + -1'FetchJobB_7 + -1'P_1712_0 + P_1712_1 + P_1712_2 + -1'P_1712_4 + -1'AvailableJobId_3 + -2'AvailableJobId_4 + -1'AvailableJobId_5 + -1'AvailableJobId_6 + 2'CreatedJobs_0 + 2'CreatedJobs_1 + 2'CreatedJobs_2 + 2'CreatedJobs_3 + -2'ScheduleTaskE_Work_0 + -1'ScheduleTaskE_Work_3 + -2'ScheduleTaskE_Work_4 + -1'ScheduleTaskE_Work_5 + -1'ScheduleTaskE_Work_6 + -2'ScheduleTaskE_Work_7 + -1'ScheduleTaskE_Work_10 + -2'ScheduleTaskE_Work_11 + -1'ScheduleTaskE_Work_12 + -1'ScheduleTaskE_Work_13 + -2'ScheduleTaskE_Work_14 + -1'ScheduleTaskE_Work_17 + -2'ScheduleTaskE_Work_18 + -1'ScheduleTaskE_Work_19 + -1'ScheduleTaskE_Work_20 + -2'ScheduleTaskE_Work_21 + -1'ScheduleTaskE_Work_24 + -2'ScheduleTaskE_Work_25 + -1'ScheduleTaskE_Work_26 + -1'ScheduleTaskE_Work_27 + -2'ScheduleTaskE_Work_28 + -1'ScheduleTaskE_Work_31 + -2'ScheduleTaskE_Work_32 + -1'ScheduleTaskE_Work_33 + -1'ScheduleTaskE_Work_34 + -2'ScheduleTaskE_Work_35 + -1'ScheduleTaskE_Work_38 + -2'ScheduleTaskE_Work_39 + -1'ScheduleTaskE_Work_40 + -1'ScheduleTaskE_Work_41 + -2'ScheduleTaskE_Work_42 + -1'ScheduleTaskE_Work_45 + -2'ScheduleTaskE_Work_46 + -1'ScheduleTaskE_Work_47 + -1'ScheduleTaskE_Work_48 + -2'ScheduleTaskE_Work_49 + -1'ScheduleTaskE_Work_52 + -2'ScheduleTaskE_Work_53 + -1'ScheduleTaskE_Work_54 + -1'ScheduleTaskE_Work_55 = -5
invariant :DataOnSrc_3 + -1'PlaceSources_3 = 0
invariant :cIsMonitoring_0 + IsMonitoring_0 = 1
invariant :JobCnt_0 + NotifyEventJobQueuedB_0 + NotifyEventJobQueuedB_1 + NotifyEventJobQueuedB_2 + NotifyEventJobQueuedB_3 + NotifyEventJobQueuedB_4 + NotifyEventJobQueuedB_5 + NotifyEventJobQueuedB_6 + NotifyEventJobQueuedB_7 + NotifyEventJobQueuedB_8 + NotifyEventJobQueuedB_9 + NotifyEventJobQueuedB_10 + NotifyEventJobQueuedB_11 + NotifyEventJobQueuedB_12 + NotifyEventJobQueuedB_13 + NotifyEventJobQueuedB_14 + NotifyEventJobQueuedB_15 + NotifyEventJobQueuedB_16 + NotifyEventJobQueuedB_17 + NotifyEventJobQueuedB_18 + NotifyEventJobQueuedB_19 + NotifyEventJobQueuedB_20 + NotifyEventJobQueuedB_21 + NotifyEventJobQueuedB_22 + NotifyEventJobQueuedB_23 + NotifyEventJobQueuedB_24 + NotifyEventJobQueuedB_25 + NotifyEventJobQueuedB_26 + NotifyEventJobQueuedB_27 + NotifyEventJobQueuedB_28 + NotifyEventJobQueuedB_29 + NotifyEventJobQueuedB_30 + NotifyEventJobQueuedB_31 + NotifyEventJobQueuedB_32 + NotifyEventJobQueuedB_33 + NotifyEventJobQueuedB_34 + NotifyEventJobQueuedB_35 + NotifyEventJobQueuedB_36 + NotifyEventJobQueuedB_37 + NotifyEventJobQueuedB_38 + NotifyEventJobQueuedB_39 + NotifyEventJobQueuedB_40 + NotifyEventJobQueuedB_41 + NotifyEventJobQueuedB_42 + NotifyEventJobQueuedB_43 + NotifyEventJobQueuedB_44 + NotifyEventJobQueuedB_45 + NotifyEventJobQueuedB_46 + NotifyEventJobQueuedB_47 + NotifyEventJobQueuedB_48 + NotifyEventJobQueuedB_49 + NotifyEventJobQueuedB_50 + NotifyEventJobQueuedB_51 + NotifyEventJobQueuedB_52 + NotifyEventJobQueuedB_53 + NotifyEventJobQueuedB_54 + NotifyEventJobQueuedB_55 + DisablePollingE_0 + DisablePollingE_1 + DisablePollingE_3 + DisablePollingE_4 + DisablePollingE_5 + DisablePollingE_7 + DisablePollingE_8 + DisablePollingE_9 + DisablePollingE_11 + DisablePollingE_12 + DisablePollingE_13 + DisablePollingE_15 + DisablePollingE_16 + DisablePollingE_17 + DisablePollingE_19 + DisablePollingE_20 + DisablePollingE_21 + DisablePollingE_23 + DisablePollingE_24 + DisablePollingE_25 + DisablePollingE_27 + DisablePollingE_28 + DisablePollingE_29 + DisablePollingE_31 + DisablePollingB_0 + DisablePollingB_1 + DisablePollingB_3 + DisablePollingB_4 + DisablePollingB_5 + DisablePollingB_7 + DisablePollingB_8 + DisablePollingB_9 + DisablePollingB_11 + DisablePollingB_12 + DisablePollingB_13 + DisablePollingB_15 + DisablePollingB_16 + DisablePollingB_17 + DisablePollingB_19 + DisablePollingB_20 + DisablePollingB_21 + DisablePollingB_23 + DisablePollingB_24 + DisablePollingB_25 + DisablePollingB_27 + DisablePollingB_28 + DisablePollingB_29 + DisablePollingB_31 + FetchJobE_0 + FetchJobE_1 + FetchJobE_2 + FetchJobE_3 + FetchJobE_4 + FetchJobE_5 + FetchJobE_6 + FetchJobE_7 + FetchJobE_8 + FetchJobE_9 + FetchJobE_10 + FetchJobE_11 + FetchJobE_12 + FetchJobE_13 + FetchJobE_14 + FetchJobE_15 + FetchJobE_16 + FetchJobE_17 + FetchJobE_18 + FetchJobE_19 + FetchJobE_20 + FetchJobE_21 + FetchJobE_22 + FetchJobE_23 + FetchJobE_24 + FetchJobE_25 + FetchJobE_26 + FetchJobE_27 + FetchJobE_28 + FetchJobE_29 + FetchJobE_30 + FetchJobE_31 + FetchJobE_32 + FetchJobE_33 + FetchJobE_34 + FetchJobE_35 + FetchJobE_36 + FetchJobE_37 + FetchJobE_38 + FetchJobE_39 + FetchJobE_40 + FetchJobE_41 + FetchJobE_42 + FetchJobE_43 + FetchJobE_44 + FetchJobE_45 + FetchJobE_46 + FetchJobE_47 + FetchJobE_48 + FetchJobE_49 + FetchJobE_50 + FetchJobE_51 + FetchJobE_52 + FetchJobE_53 + FetchJobE_54 + FetchJobE_55 + FetchJobB_0 + FetchJobB_1 + FetchJobB_2 + FetchJobB_3 + FetchJobB_4 + FetchJobB_5 + FetchJobB_6 + FetchJobB_7 + 10'ScheduleTaskE_Check_0 + 10'ScheduleTaskE_Check_1 + 10'ScheduleTaskE_Check_2 + 10'ScheduleTaskE_Check_3 + 10'ScheduleTaskE_Check_4 + 10'ScheduleTaskE_Check_5 + 10'ScheduleTaskE_Check_6 + 10'ScheduleTaskE_Check_7 + Abort_Check_Sources_B_0 + Abort_Check_Sources_B_1 + Abort_Check_Sources_B_2 + Abort_Check_Sources_B_3 + Abort_Check_Sources_B_4 + Abort_Check_Sources_B_5 + Abort_Check_Sources_B_6 + Abort_Check_Sources_B_7 + PlaceSources_0 + PlaceSources_1 + PlaceSources_3 + Insert_Source_B_0 + Insert_Source_B_1 + Insert_Source_B_3 + Insert_Source_B_4 + Insert_Source_B_5 + Insert_Source_B_7 + Insert_Source_B_8 + Insert_Source_B_9 + Insert_Source_B_11 + Insert_Source_B_12 + Insert_Source_B_13 + Insert_Source_B_15 + Insert_Source_B_16 + Insert_Source_B_17 + Insert_Source_B_19 + Insert_Source_B_20 + Insert_Source_B_21 + Insert_Source_B_23 + Insert_Source_B_24 + Insert_Source_B_25 + Insert_Source_B_27 + Insert_Source_B_28 + Insert_Source_B_29 + Insert_Source_B_31 + AvailableJobId_4 + P_1119_0 + P_1119_1 + P_1119_3 + P_1119_4 + P_1119_5 + P_1119_7 + P_1119_8 + P_1119_9 + P_1119_11 + P_1119_12 + P_1119_13 + P_1119_15 + P_1119_16 + P_1119_17 + P_1119_19 + P_1119_20 + P_1119_21 + P_1119_23 + P_1119_24 + P_1119_25 + P_1119_27 + P_1119_28 + P_1119_29 + P_1119_31 + -1'CreatedJobs_2 + -1'CreatedJobs_6 + -1'CreatedJobs_10 + -1'CreatedJobs_14 + CreatedJobs_16 + CreatedJobs_17 + CreatedJobs_19 + -1'CreatedJobs_22 + -1'CreatedJobs_26 + -1'cSources_0 + 10'ProcessingEvt_0 + 10'ProcessingEvt_1 + 10'ProcessingEvt_2 + 10'ProcessingEvt_3 + 10'ProcessingEvt_4 + 10'ProcessingEvt_5 + 10'ProcessingEvt_6 + 10'ProcessingEvt_7 + 10'P_1155_0 + 10'P_1155_1 + 10'P_1155_2 + 10'P_1155_3 + 10'P_1155_4 + 10'P_1155_5 + 10'P_1155_6 + 10'P_1155_7 + 10'NotifyEventEndOfCheckSourcesB_0 + 10'NotifyEventEndOfCheckSourcesB_1 + 10'NotifyEventEndOfCheckSourcesB_2 + 10'NotifyEventEndOfCheckSourcesB_3 + 10'NotifyEventEndOfCheckSourcesB_4 + 10'NotifyEventEndOfCheckSourcesB_5 + 10'NotifyEventEndOfCheckSourcesB_6 + 10'NotifyEventEndOfCheckSourcesB_7 + 9'Check_Sources_B_0 + 9'Check_Sources_B_1 + 9'Check_Sources_B_2 + 9'Check_Sources_B_3 + 9'Check_Sources_B_4 + 9'Check_Sources_B_5 + 9'Check_Sources_B_6 + 9'Check_Sources_B_7 + 9'Check_Sources_E_0 + 9'Check_Sources_E_1 + 9'Check_Sources_E_2 + 9'Check_Sources_E_3 + 9'Check_Sources_E_4 + 9'Check_Sources_E_5 + 9'Check_Sources_E_6 + 9'Check_Sources_E_7 + -1'ModifiedSrc_2 + -1'Idle_0 + -1'Idle_1 + -1'Idle_2 + -1'Idle_3 + -1'Idle_4 + -1'Idle_5 + -1'Idle_6 + -1'Idle_7 + -1'RunE_0 + -1'RunE_1 + -1'RunE_2 + -1'RunE_3 + -1'RunE_4 + -1'RunE_5 + -1'RunE_6 + -1'RunE_7 + -1'RunB_0 + -1'RunB_1 + -1'RunB_2 + -1'RunB_3 + -1'RunB_4 + -1'RunB_5 + -1'RunB_6 + -1'RunB_7 + 10'Try_Check_Sources_B_0 + 10'Try_Check_Sources_B_1 + 10'Try_Check_Sources_B_2 + 10'Try_Check_Sources_B_3 + 10'Try_Check_Sources_B_4 + 10'Try_Check_Sources_B_5 + 10'Try_Check_Sources_B_6 + 10'Try_Check_Sources_B_7 + -10'IsMonitoring_0 + ORB_Lock_0 + -1'AbourtToModifySrc_2 + -1'P_2579_2 + -1'P_2579_6 + -1'P_2579_10 + -1'P_2579_14 + -1'P_2579_18 + -1'P_2579_22 + -1'P_2579_26 + -1'P_2579_30 + ScheduleTaskE_Work_0 + ScheduleTaskE_Work_1 + ScheduleTaskE_Work_2 + ScheduleTaskE_Work_3 + ScheduleTaskE_Work_4 + ScheduleTaskE_Work_5 + ScheduleTaskE_Work_6 + ScheduleTaskE_Work_7 + ScheduleTaskE_Work_8 + ScheduleTaskE_Work_9 + ScheduleTaskE_Work_10 + ScheduleTaskE_Work_11 + ScheduleTaskE_Work_12 + ScheduleTaskE_Work_13 + ScheduleTaskE_Work_14 + ScheduleTaskE_Work_15 + ScheduleTaskE_Work_16 + ScheduleTaskE_Work_17 + ScheduleTaskE_Work_18 + ScheduleTaskE_Work_19 + ScheduleTaskE_Work_20 + ScheduleTaskE_Work_21 + ScheduleTaskE_Work_22 + ScheduleTaskE_Work_23 + ScheduleTaskE_Work_24 + ScheduleTaskE_Work_25 + ScheduleTaskE_Work_26 + ScheduleTaskE_Work_27 + ScheduleTaskE_Work_28 + ScheduleTaskE_Work_29 + ScheduleTaskE_Work_30 + ScheduleTaskE_Work_31 + ScheduleTaskE_Work_32 + ScheduleTaskE_Work_33 + ScheduleTaskE_Work_34 + ScheduleTaskE_Work_35 + ScheduleTaskE_Work_36 + ScheduleTaskE_Work_37 + ScheduleTaskE_Work_38 + ScheduleTaskE_Work_39 + ScheduleTaskE_Work_40 + ScheduleTaskE_Work_41 + ScheduleTaskE_Work_42 + ScheduleTaskE_Work_43 + ScheduleTaskE_Work_44 + ScheduleTaskE_Work_45 + ScheduleTaskE_Work_46 + ScheduleTaskE_Work_47 + ScheduleTaskE_Work_48 + ScheduleTaskE_Work_49 + ScheduleTaskE_Work_50 + ScheduleTaskE_Work_51 + ScheduleTaskE_Work_52 + ScheduleTaskE_Work_53 + ScheduleTaskE_Work_54 + ScheduleTaskE_Work_55 = -13
invariant :NotifyEventJobQueuedB_3 + NotifyEventJobQueuedB_10 + NotifyEventJobQueuedB_17 + NotifyEventJobQueuedB_24 + NotifyEventJobQueuedB_31 + NotifyEventJobQueuedB_38 + NotifyEventJobQueuedB_45 + NotifyEventJobQueuedB_52 + QueueJobB_3 + QueueJobB_10 + QueueJobB_17 + QueueJobB_24 + QueueJobB_31 + QueueJobB_38 + QueueJobB_45 + QueueJobB_52 + P_1725_3 + P_1724_3 + FetchJobE_3 + FetchJobE_10 + FetchJobE_17 + FetchJobE_24 + FetchJobE_31 + FetchJobE_38 + FetchJobE_45 + FetchJobE_52 + P_1712_3 + AvailableJobId_3 + ScheduleTaskE_Work_3 + ScheduleTaskE_Work_10 + ScheduleTaskE_Work_17 + ScheduleTaskE_Work_24 + ScheduleTaskE_Work_31 + ScheduleTaskE_Work_38 + ScheduleTaskE_Work_45 + ScheduleTaskE_Work_52 = 1
invariant :AwakeTasks_4 + IdleTasks_4 + -1'Idle_4 = 0
invariant :AwakeTasks_2 + IdleTasks_2 + -1'Idle_2 = 0
invariant :NotifyEventJobQueuedB_1 + NotifyEventJobQueuedB_8 + NotifyEventJobQueuedB_15 + NotifyEventJobQueuedB_22 + NotifyEventJobQueuedB_29 + NotifyEventJobQueuedB_36 + NotifyEventJobQueuedB_43 + NotifyEventJobQueuedB_50 + QueueJobB_1 + QueueJobB_8 + QueueJobB_15 + QueueJobB_22 + QueueJobB_29 + QueueJobB_36 + QueueJobB_43 + QueueJobB_50 + P_1725_1 + P_1724_1 + FetchJobE_1 + FetchJobE_8 + FetchJobE_15 + FetchJobE_22 + FetchJobE_29 + FetchJobE_36 + FetchJobE_43 + FetchJobE_50 + P_1712_1 + AvailableJobId_1 + ScheduleTaskE_Work_1 + ScheduleTaskE_Work_8 + ScheduleTaskE_Work_15 + ScheduleTaskE_Work_22 + ScheduleTaskE_Work_29 + ScheduleTaskE_Work_36 + ScheduleTaskE_Work_43 + ScheduleTaskE_Work_50 = 1
invariant :NotifyEventJobQueuedB_4 + NotifyEventJobQueuedB_11 + NotifyEventJobQueuedB_18 + NotifyEventJobQueuedB_25 + NotifyEventJobQueuedB_32 + NotifyEventJobQueuedB_39 + NotifyEventJobQueuedB_46 + NotifyEventJobQueuedB_53 + QueueJobB_4 + QueueJobB_11 + QueueJobB_18 + QueueJobB_25 + QueueJobB_32 + QueueJobB_39 + QueueJobB_46 + QueueJobB_53 + P_1725_4 + P_1724_4 + FetchJobE_4 + FetchJobE_11 + FetchJobE_18 + FetchJobE_25 + FetchJobE_32 + FetchJobE_39 + FetchJobE_46 + FetchJobE_53 + P_1712_4 + AvailableJobId_4 + ScheduleTaskE_Work_4 + ScheduleTaskE_Work_11 + ScheduleTaskE_Work_18 + ScheduleTaskE_Work_25 + ScheduleTaskE_Work_32 + ScheduleTaskE_Work_39 + ScheduleTaskE_Work_46 + ScheduleTaskE_Work_53 = 1
invariant :AwakeTasks_6 + IdleTasks_6 + -1'Idle_6 = 0
invariant :DataOnSrc_2 + -1'PlaceSources_2 = 0
invariant :AwakeTasks_5 + IdleTasks_5 + -1'Idle_5 = 0
invariant :-1'Abort_Check_Sources_B_0 + -1'Abort_Check_Sources_B_1 + -1'Abort_Check_Sources_B_2 + -1'Abort_Check_Sources_B_3 + -1'Abort_Check_Sources_B_4 + -1'Abort_Check_Sources_B_5 + -1'Abort_Check_Sources_B_6 + -1'Abort_Check_Sources_B_7 + Check_Sources_B_0 + Check_Sources_B_1 + Check_Sources_B_2 + Check_Sources_B_3 + Check_Sources_B_4 + Check_Sources_B_5 + Check_Sources_B_6 + Check_Sources_B_7 + Check_Sources_E_0 + Check_Sources_E_1 + Check_Sources_E_2 + Check_Sources_E_3 + Check_Sources_E_4 + Check_Sources_E_5 + Check_Sources_E_6 + Check_Sources_E_7 + Idle_0 + Idle_1 + Idle_2 + Idle_3 + Idle_4 + Idle_5 + Idle_6 + Idle_7 + RunE_0 + RunE_1 + RunE_2 + RunE_3 + RunE_4 + RunE_5 + RunE_6 + RunE_7 + RunB_0 + RunB_1 + RunB_2 + RunB_3 + RunB_4 + RunB_5 + RunB_6 + RunB_7 + -1'ORB_Lock_0 + AbourtToModifySrc_0 + AbourtToModifySrc_1 + AbourtToModifySrc_2 + AbourtToModifySrc_3 + P_2579_0 + P_2579_1 + P_2579_2 + P_2579_3 + P_2579_4 + P_2579_5 + P_2579_6 + P_2579_7 + P_2579_8 + P_2579_9 + P_2579_10 + P_2579_11 + P_2579_12 + P_2579_13 + P_2579_14 + P_2579_15 + P_2579_16 + P_2579_17 + P_2579_18 + P_2579_19 + P_2579_20 + P_2579_21 + P_2579_22 + P_2579_23 + P_2579_24 + P_2579_25 + P_2579_26 + P_2579_27 + P_2579_28 + P_2579_29 + P_2579_30 + P_2579_31 = 7
invariant :-1'DisablePollingE_1 + -1'DisablePollingE_3 + -1'DisablePollingE_5 + -1'DisablePollingE_7 + -1'DisablePollingE_9 + -1'DisablePollingE_11 + -1'DisablePollingE_13 + -1'DisablePollingE_15 + -1'DisablePollingE_17 + -1'DisablePollingE_19 + -1'DisablePollingE_21 + -1'DisablePollingE_23 + -1'DisablePollingE_25 + -1'DisablePollingE_27 + -1'DisablePollingE_29 + -1'DisablePollingE_31 + -1'DisablePollingB_1 + -1'DisablePollingB_3 + -1'DisablePollingB_5 + -1'DisablePollingB_7 + -1'DisablePollingB_9 + -1'DisablePollingB_11 + -1'DisablePollingB_13 + -1'DisablePollingB_15 + -1'DisablePollingB_17 + -1'DisablePollingB_19 + -1'DisablePollingB_21 + -1'DisablePollingB_23 + -1'DisablePollingB_25 + -1'DisablePollingB_27 + -1'DisablePollingB_29 + -1'DisablePollingB_31 + -10'ScheduleTaskE_Check_0 + -10'ScheduleTaskE_Check_1 + -10'ScheduleTaskE_Check_2 + -10'ScheduleTaskE_Check_3 + -10'ScheduleTaskE_Check_4 + -10'ScheduleTaskE_Check_5 + -10'ScheduleTaskE_Check_6 + -10'ScheduleTaskE_Check_7 + -1'Abort_Check_Sources_B_0 + -1'Abort_Check_Sources_B_1 + -1'Abort_Check_Sources_B_2 + -1'Abort_Check_Sources_B_3 + -1'Abort_Check_Sources_B_4 + -1'Abort_Check_Sources_B_5 + -1'Abort_Check_Sources_B_6 + -1'Abort_Check_Sources_B_7 + -1'PlaceSources_1 + -1'PlaceSources_3 + -1'Insert_Source_B_1 + -1'Insert_Source_B_3 + -1'Insert_Source_B_5 + -1'Insert_Source_B_7 + -1'Insert_Source_B_9 + -1'Insert_Source_B_11 + -1'Insert_Source_B_13 + -1'Insert_Source_B_15 + -1'Insert_Source_B_17 + -1'Insert_Source_B_19 + -1'Insert_Source_B_21 + -1'Insert_Source_B_23 + -1'Insert_Source_B_25 + -1'Insert_Source_B_27 + -1'Insert_Source_B_29 + -1'Insert_Source_B_31 + AvailableJobId_3 + -1'P_1119_1 + -1'P_1119_3 + -1'P_1119_5 + -1'P_1119_7 + -1'P_1119_9 + -1'P_1119_11 + -1'P_1119_13 + -1'P_1119_15 + -1'P_1119_17 + -1'P_1119_19 + -1'P_1119_21 + -1'P_1119_23 + -1'P_1119_25 + -1'P_1119_27 + -1'P_1119_29 + -1'P_1119_31 + -1'CreatedJobs_1 + -1'CreatedJobs_3 + -1'CreatedJobs_5 + -1'CreatedJobs_7 + -1'CreatedJobs_9 + -1'CreatedJobs_11 + CreatedJobs_12 + CreatedJobs_14 + -1'CreatedJobs_17 + -1'CreatedJobs_19 + -1'CreatedJobs_21 + -1'CreatedJobs_23 + -1'CreatedJobs_25 + -1'CreatedJobs_27 + cSources_0 + -10'ProcessingEvt_0 + -10'ProcessingEvt_1 + -10'ProcessingEvt_2 + -10'ProcessingEvt_3 + -10'ProcessingEvt_4 + -10'ProcessingEvt_5 + -10'ProcessingEvt_6 + -10'ProcessingEvt_7 + -10'P_1155_0 + -10'P_1155_1 + -10'P_1155_2 + -10'P_1155_3 + -10'P_1155_4 + -10'P_1155_5 + -10'P_1155_6 + -10'P_1155_7 + -10'NotifyEventEndOfCheckSourcesB_0 + -10'NotifyEventEndOfCheckSourcesB_1 + -10'NotifyEventEndOfCheckSourcesB_2 + -10'NotifyEventEndOfCheckSourcesB_3 + -10'NotifyEventEndOfCheckSourcesB_4 + -10'NotifyEventEndOfCheckSourcesB_5 + -10'NotifyEventEndOfCheckSourcesB_6 + -10'NotifyEventEndOfCheckSourcesB_7 + -9'Check_Sources_B_0 + -9'Check_Sources_B_1 + -9'Check_Sources_B_2 + -9'Check_Sources_B_3 + -9'Check_Sources_B_4 + -9'Check_Sources_B_5 + -9'Check_Sources_B_6 + -9'Check_Sources_B_7 + -9'Check_Sources_E_0 + -9'Check_Sources_E_1 + -9'Check_Sources_E_2 + -9'Check_Sources_E_3 + -9'Check_Sources_E_4 + -9'Check_Sources_E_5 + -9'Check_Sources_E_6 + -9'Check_Sources_E_7 + ModifiedSrc_0 + ModifiedSrc_2 + Idle_0 + Idle_1 + Idle_2 + Idle_3 + Idle_4 + Idle_5 + Idle_6 + Idle_7 + RunE_0 + RunE_1 + RunE_2 + RunE_3 + RunE_4 + RunE_5 + RunE_6 + RunE_7 + RunB_0 + RunB_1 + RunB_2 + RunB_3 + RunB_4 + RunB_5 + RunB_6 + RunB_7 + -10'Try_Check_Sources_B_0 + -10'Try_Check_Sources_B_1 + -10'Try_Check_Sources_B_2 + -10'Try_Check_Sources_B_3 + -10'Try_Check_Sources_B_4 + -10'Try_Check_Sources_B_5 + -10'Try_Check_Sources_B_6 + -10'Try_Check_Sources_B_7 + 10'IsMonitoring_0 + -1'ORB_Lock_0 + AbourtToModifySrc_0 + AbourtToModifySrc_2 + P_2579_0 + P_2579_2 + P_2579_4 + P_2579_6 + P_2579_8 + P_2579_10 + P_2579_12 + P_2579_14 + P_2579_16 + P_2579_18 + P_2579_20 + P_2579_22 + P_2579_24 + P_2579_26 + P_2579_28 + P_2579_30 = 16
invariant :AwakeTasks_7 + IdleTasks_7 + -1'Idle_7 = 0
invariant :SigAbort_0 + NoSigAbort_0 = 1
invariant :AvailableJobId_3 + CreatedJobs_12 + CreatedJobs_13 + CreatedJobs_14 + CreatedJobs_15 = 1
invariant :AvailableJobId_6 + CreatedJobs_24 + CreatedJobs_25 + CreatedJobs_26 + CreatedJobs_27 = 1
invariant :6'JobCnt_0 + -6'NotifyEventJobQueuedE_0 + -6'NotifyEventJobQueuedE_1 + -6'NotifyEventJobQueuedE_2 + -6'NotifyEventJobQueuedE_3 + -6'NotifyEventJobQueuedE_4 + -6'NotifyEventJobQueuedE_5 + -6'NotifyEventJobQueuedE_6 + -6'NotifyEventJobQueuedE_7 + -6'QueueJobE_0 + -6'QueueJobE_1 + -6'QueueJobE_2 + -6'QueueJobE_3 + -6'QueueJobE_4 + -6'QueueJobE_5 + -6'QueueJobE_6 + -6'QueueJobE_7 + -6'QueueJobB_0 + -6'QueueJobB_1 + -6'QueueJobB_2 + -6'QueueJobB_3 + -6'QueueJobB_4 + -6'QueueJobB_5 + -6'QueueJobB_6 + -6'QueueJobB_7 + -6'QueueJobB_8 + -6'QueueJobB_9 + -6'QueueJobB_10 + -6'QueueJobB_11 + -6'QueueJobB_12 + -6'QueueJobB_13 + -6'QueueJobB_14 + -6'QueueJobB_15 + -6'QueueJobB_16 + -6'QueueJobB_17 + -6'QueueJobB_18 + -6'QueueJobB_19 + -6'QueueJobB_20 + -6'QueueJobB_21 + -6'QueueJobB_22 + -6'QueueJobB_23 + -6'QueueJobB_24 + -6'QueueJobB_25 + -6'QueueJobB_26 + -6'QueueJobB_27 + -6'QueueJobB_28 + -6'QueueJobB_29 + -6'QueueJobB_30 + -6'QueueJobB_31 + -6'QueueJobB_32 + -6'QueueJobB_33 + -6'QueueJobB_34 + -6'QueueJobB_35 + -6'QueueJobB_36 + -6'QueueJobB_37 + -6'QueueJobB_38 + -6'QueueJobB_39 + -6'QueueJobB_40 + -6'QueueJobB_41 + -6'QueueJobB_42 + -6'QueueJobB_43 + -6'QueueJobB_44 + -6'QueueJobB_45 + -6'QueueJobB_46 + -6'QueueJobB_47 + -6'QueueJobB_48 + -6'QueueJobB_49 + -6'QueueJobB_50 + -6'QueueJobB_51 + -6'QueueJobB_52 + -6'QueueJobB_53 + -6'QueueJobB_54 + -6'QueueJobB_55 + -6'P_2321_0 + -6'P_2321_1 + -6'P_2321_2 + -6'P_2321_3 + -6'P_2321_4 + -6'P_2321_5 + -6'P_2321_6 + -6'P_2321_7 + -6'P_2318_0 + -6'P_2318_1 + -6'P_2318_2 + -6'P_2318_3 + -6'P_2318_4 + -6'P_2318_5 + -6'P_2318_6 + -6'P_2318_7 + -6'EnablePollingE_0 + -6'EnablePollingE_1 + -6'EnablePollingE_2 + -6'EnablePollingE_3 + -6'EnablePollingE_4 + -6'EnablePollingE_5 + -6'EnablePollingE_6 + -6'EnablePollingE_7 + -6'EnablePollingB_0 + -6'EnablePollingB_1 + -6'EnablePollingB_2 + -6'EnablePollingB_3 + -6'EnablePollingB_4 + -6'EnablePollingB_5 + -6'EnablePollingB_6 + -6'EnablePollingB_7 + 48'ScheduleTaskE_Check_0 + 48'ScheduleTaskE_Check_1 + 48'ScheduleTaskE_Check_2 + 48'ScheduleTaskE_Check_3 + 48'ScheduleTaskE_Check_4 + 48'ScheduleTaskE_Check_5 + 48'ScheduleTaskE_Check_6 + 48'ScheduleTaskE_Check_7 + -6'ScheduleTaskB_0 + -6'ScheduleTaskB_1 + -6'ScheduleTaskB_2 + -6'ScheduleTaskB_3 + -6'ScheduleTaskB_4 + -6'ScheduleTaskB_5 + -6'ScheduleTaskB_6 + -6'ScheduleTaskB_7 + 6'PlaceSources_0 + 6'PlaceSources_1 + 6'PlaceSources_2 + 6'PlaceSources_3 + -6'NotifyEventSourceAddedB_0 + -6'NotifyEventSourceAddedB_1 + -6'NotifyEventSourceAddedB_2 + -6'NotifyEventSourceAddedB_3 + -6'NotifyEventSourceAddedB_4 + -6'NotifyEventSourceAddedB_5 + -6'NotifyEventSourceAddedB_6 + -6'NotifyEventSourceAddedB_7 + -6'Insert_Source_E_0 + -6'Insert_Source_E_1 + -6'Insert_Source_E_2 + -6'Insert_Source_E_3 + -6'Insert_Source_E_4 + -6'Insert_Source_E_5 + -6'Insert_Source_E_6 + -6'Insert_Source_E_7 + -6'NotifyEventSourceAddedE_0 + -6'NotifyEventSourceAddedE_1 + -6'NotifyEventSourceAddedE_2 + -6'NotifyEventSourceAddedE_3 + -6'NotifyEventSourceAddedE_4 + -6'NotifyEventSourceAddedE_5 + -6'NotifyEventSourceAddedE_6 + -6'NotifyEventSourceAddedE_7 + -6'P_1093_0 + 2'P_1097_0 + 3'P_1099_0 + -6'P_1113_0 + -6'P_1113_1 + -6'P_1113_2 + -6'P_1113_3 + -6'P_1113_4 + -6'P_1113_5 + -6'P_1113_6 + -6'P_1113_7 + -6'cSources_0 + 48'ProcessingEvt_0 + 48'ProcessingEvt_1 + 48'ProcessingEvt_2 + 48'ProcessingEvt_3 + 48'ProcessingEvt_4 + 48'ProcessingEvt_5 + 48'ProcessingEvt_6 + 48'ProcessingEvt_7 + 48'P_1155_0 + 48'P_1155_1 + 48'P_1155_2 + 48'P_1155_3 + 48'P_1155_4 + 48'P_1155_5 + 48'P_1155_6 + 48'P_1155_7 + -12'nyo_0 + -12'nyo_1 + -12'nyo_2 + -12'nyo_3 + -12'nyo_4 + -12'nyo_5 + -12'nyo_6 + -12'nyo_7 + -6'P_1158_0 + -6'P_1158_1 + -6'P_1158_2 + -6'P_1158_3 + -6'P_1158_4 + -6'P_1158_5 + -6'P_1158_6 + -6'P_1158_7 + -4'P_1159_0 + -4'P_1159_1 + -4'P_1159_2 + -4'P_1159_3 + -4'P_1159_4 + -4'P_1159_5 + -4'P_1159_6 + -4'P_1159_7 + -3'P_1160_0 + -3'P_1160_1 + -3'P_1160_2 + -3'P_1160_3 + -3'P_1160_4 + -3'P_1160_5 + -3'P_1160_6 + -3'P_1160_7 + 48'NotifyEventEndOfCheckSourcesB_0 + 48'NotifyEventEndOfCheckSourcesB_1 + 48'NotifyEventEndOfCheckSourcesB_2 + 48'NotifyEventEndOfCheckSourcesB_3 + 48'NotifyEventEndOfCheckSourcesB_4 + 48'NotifyEventEndOfCheckSourcesB_5 + 48'NotifyEventEndOfCheckSourcesB_6 + 48'NotifyEventEndOfCheckSourcesB_7 + -6'NotifyEventEndOfCheckSourcesE_0 + -6'NotifyEventEndOfCheckSourcesE_1 + -6'NotifyEventEndOfCheckSourcesE_2 + -6'NotifyEventEndOfCheckSourcesE_3 + -6'NotifyEventEndOfCheckSourcesE_4 + -6'NotifyEventEndOfCheckSourcesE_5 + -6'NotifyEventEndOfCheckSourcesE_6 + -6'NotifyEventEndOfCheckSourcesE_7 + 48'Check_Sources_B_0 + 48'Check_Sources_B_1 + 48'Check_Sources_B_2 + 48'Check_Sources_B_3 + 48'Check_Sources_B_4 + 48'Check_Sources_B_5 + 48'Check_Sources_B_6 + 48'Check_Sources_B_7 + 48'Check_Sources_E_0 + 48'Check_Sources_E_1 + 48'Check_Sources_E_2 + 48'Check_Sources_E_3 + 48'Check_Sources_E_4 + 48'Check_Sources_E_5 + 48'Check_Sources_E_6 + 48'Check_Sources_E_7 + -6'Idle_0 + -6'Idle_1 + -6'Idle_2 + -6'Idle_3 + -6'Idle_4 + -6'Idle_5 + -6'Idle_6 + -6'Idle_7 + -6'NotifyEventJobCompletedE_0 + -6'NotifyEventJobCompletedE_1 + -6'NotifyEventJobCompletedE_2 + -6'NotifyEventJobCompletedE_3 + -6'NotifyEventJobCompletedE_4 + -6'NotifyEventJobCompletedE_5 + -6'NotifyEventJobCompletedE_6 + -6'NotifyEventJobCompletedE_7 + -6'NotifyEventJobCompletedB_0 + -6'NotifyEventJobCompletedB_1 + -6'NotifyEventJobCompletedB_2 + -6'NotifyEventJobCompletedB_3 + -6'NotifyEventJobCompletedB_4 + -6'NotifyEventJobCompletedB_5 + -6'NotifyEventJobCompletedB_6 + -6'NotifyEventJobCompletedB_7 + -6'RunE_0 + -6'RunE_1 + -6'RunE_2 + -6'RunE_3 + -6'RunE_4 + -6'RunE_5 + -6'RunE_6 + -6'RunE_7 + -6'RunB_0 + -6'RunB_1 + -6'RunB_2 + -6'RunB_3 + -6'RunB_4 + -6'RunB_5 + -6'RunB_6 + -6'RunB_7 + -6'Perform_Work_E_0 + -6'Perform_Work_E_1 + -6'Perform_Work_E_2 + -6'Perform_Work_E_3 + -6'Perform_Work_E_4 + -6'Perform_Work_E_5 + -6'Perform_Work_E_6 + -6'Perform_Work_E_7 + 48'Try_Check_Sources_B_0 + 48'Try_Check_Sources_B_1 + 48'Try_Check_Sources_B_2 + 48'Try_Check_Sources_B_3 + 48'Try_Check_Sources_B_4 + 48'Try_Check_Sources_B_5 + 48'Try_Check_Sources_B_6 + 48'Try_Check_Sources_B_7 + -6'Try_Check_Sources_E_0 + -6'Try_Check_Sources_E_1 + -6'Try_Check_Sources_E_2 + -6'Try_Check_Sources_E_3 + -6'Try_Check_Sources_E_4 + -6'Try_Check_Sources_E_5 + -6'Try_Check_Sources_E_6 + -6'Try_Check_Sources_E_7 + -54'IsMonitoring_0 + -6'P_1617_0 + -6'P_1617_1 + -6'P_1617_2 + -6'P_1617_3 + -6'P_1617_4 + -6'P_1617_5 + -6'P_1617_6 + -6'P_1617_7 + -6'ScheduleTaskE_Idle_0 + -6'ScheduleTaskE_Idle_1 + -6'ScheduleTaskE_Idle_2 + -6'ScheduleTaskE_Idle_3 + -6'ScheduleTaskE_Idle_4 + -6'ScheduleTaskE_Idle_5 + -6'ScheduleTaskE_Idle_6 + -6'ScheduleTaskE_Idle_7 = -84
invariant :DataOnSrc_0 + -1'PlaceSources_0 = 0
invariant :AvailableJobId_0 + CreatedJobs_0 + CreatedJobs_1 + CreatedJobs_2 + CreatedJobs_3 = 1
invariant :NotifyEventJobQueuedB_5 + NotifyEventJobQueuedB_12 + NotifyEventJobQueuedB_19 + NotifyEventJobQueuedB_26 + NotifyEventJobQueuedB_33 + NotifyEventJobQueuedB_40 + NotifyEventJobQueuedB_47 + NotifyEventJobQueuedB_54 + QueueJobB_5 + QueueJobB_12 + QueueJobB_19 + QueueJobB_26 + QueueJobB_33 + QueueJobB_40 + QueueJobB_47 + QueueJobB_54 + P_1725_5 + P_1724_5 + FetchJobE_5 + FetchJobE_12 + FetchJobE_19 + FetchJobE_26 + FetchJobE_33 + FetchJobE_40 + FetchJobE_47 + FetchJobE_54 + P_1712_5 + AvailableJobId_5 + ScheduleTaskE_Work_5 + ScheduleTaskE_Work_12 + ScheduleTaskE_Work_19 + ScheduleTaskE_Work_26 + ScheduleTaskE_Work_33 + ScheduleTaskE_Work_40 + ScheduleTaskE_Work_47 + ScheduleTaskE_Work_54 = 1
invariant :P_2321_0 + P_2321_1 + P_2321_2 + P_2321_3 + P_2321_4 + P_2321_5 + P_2321_6 + P_2321_7 + EnablePollingE_0 + EnablePollingE_1 + EnablePollingE_2 + EnablePollingE_3 + EnablePollingE_4 + EnablePollingE_5 + EnablePollingE_6 + EnablePollingE_7 + EnablePollingB_0 + EnablePollingB_1 + EnablePollingB_2 + EnablePollingB_3 + EnablePollingB_4 + EnablePollingB_5 + EnablePollingB_6 + EnablePollingB_7 + DisablePollingE_0 + DisablePollingE_1 + DisablePollingE_2 + DisablePollingE_3 + DisablePollingE_4 + DisablePollingE_5 + DisablePollingE_6 + DisablePollingE_7 + DisablePollingE_8 + DisablePollingE_9 + DisablePollingE_10 + DisablePollingE_11 + DisablePollingE_12 + DisablePollingE_13 + DisablePollingE_14 + DisablePollingE_15 + DisablePollingE_16 + DisablePollingE_17 + DisablePollingE_18 + DisablePollingE_19 + DisablePollingE_20 + DisablePollingE_21 + DisablePollingE_22 + DisablePollingE_23 + DisablePollingE_24 + DisablePollingE_25 + DisablePollingE_26 + DisablePollingE_27 + DisablePollingE_28 + DisablePollingE_29 + DisablePollingE_30 + DisablePollingE_31 + DisablePollingB_0 + DisablePollingB_1 + DisablePollingB_2 + DisablePollingB_3 + DisablePollingB_4 + DisablePollingB_5 + DisablePollingB_6 + DisablePollingB_7 + DisablePollingB_8 + DisablePollingB_9 + DisablePollingB_10 + DisablePollingB_11 + DisablePollingB_12 + DisablePollingB_13 + DisablePollingB_14 + DisablePollingB_15 + DisablePollingB_16 + DisablePollingB_17 + DisablePollingB_18 + DisablePollingB_19 + DisablePollingB_20 + DisablePollingB_21 + DisablePollingB_22 + DisablePollingB_23 + DisablePollingB_24 + DisablePollingB_25 + DisablePollingB_26 + DisablePollingB_27 + DisablePollingB_28 + DisablePollingB_29 + DisablePollingB_30 + DisablePollingB_31 + FetchJobE_0 + FetchJobE_1 + FetchJobE_2 + FetchJobE_3 + FetchJobE_4 + FetchJobE_5 + FetchJobE_6 + FetchJobE_7 + FetchJobE_8 + FetchJobE_9 + FetchJobE_10 + FetchJobE_11 + FetchJobE_12 + FetchJobE_13 + FetchJobE_14 + FetchJobE_15 + FetchJobE_16 + FetchJobE_17 + FetchJobE_18 + FetchJobE_19 + FetchJobE_20 + FetchJobE_21 + FetchJobE_22 + FetchJobE_23 + FetchJobE_24 + FetchJobE_25 + FetchJobE_26 + FetchJobE_27 + FetchJobE_28 + FetchJobE_29 + FetchJobE_30 + FetchJobE_31 + FetchJobE_32 + FetchJobE_33 + FetchJobE_34 + FetchJobE_35 + FetchJobE_36 + FetchJobE_37 + FetchJobE_38 + FetchJobE_39 + FetchJobE_40 + FetchJobE_41 + FetchJobE_42 + FetchJobE_43 + FetchJobE_44 + FetchJobE_45 + FetchJobE_46 + FetchJobE_47 + FetchJobE_48 + FetchJobE_49 + FetchJobE_50 + FetchJobE_51 + FetchJobE_52 + FetchJobE_53 + FetchJobE_54 + FetchJobE_55 + FetchJobB_0 + FetchJobB_1 + FetchJobB_2 + FetchJobB_3 + FetchJobB_4 + FetchJobB_5 + FetchJobB_6 + FetchJobB_7 + ScheduleTaskB_0 + ScheduleTaskB_1 + ScheduleTaskB_2 + ScheduleTaskB_3 + ScheduleTaskB_4 + ScheduleTaskB_5 + ScheduleTaskB_6 + ScheduleTaskB_7 + Abort_Check_Sources_B_0 + Abort_Check_Sources_B_1 + Abort_Check_Sources_B_2 + Abort_Check_Sources_B_3 + Abort_Check_Sources_B_4 + Abort_Check_Sources_B_5 + Abort_Check_Sources_B_6 + Abort_Check_Sources_B_7 + NotifyEventSourceAddedB_0 + NotifyEventSourceAddedB_1 + NotifyEventSourceAddedB_2 + NotifyEventSourceAddedB_3 + NotifyEventSourceAddedB_4 + NotifyEventSourceAddedB_5 + NotifyEventSourceAddedB_6 + NotifyEventSourceAddedB_7 + Insert_Source_B_0 + Insert_Source_B_1 + Insert_Source_B_2 + Insert_Source_B_3 + Insert_Source_B_4 + Insert_Source_B_5 + Insert_Source_B_6 + Insert_Source_B_7 + Insert_Source_B_8 + Insert_Source_B_9 + Insert_Source_B_10 + Insert_Source_B_11 + Insert_Source_B_12 + Insert_Source_B_13 + Insert_Source_B_14 + Insert_Source_B_15 + Insert_Source_B_16 + Insert_Source_B_17 + Insert_Source_B_18 + Insert_Source_B_19 + Insert_Source_B_20 + Insert_Source_B_21 + Insert_Source_B_22 + Insert_Source_B_23 + Insert_Source_B_24 + Insert_Source_B_25 + Insert_Source_B_26 + Insert_Source_B_27 + Insert_Source_B_28 + Insert_Source_B_29 + Insert_Source_B_30 + Insert_Source_B_31 + Insert_Source_E_0 + Insert_Source_E_1 + Insert_Source_E_2 + Insert_Source_E_3 + Insert_Source_E_4 + Insert_Source_E_5 + Insert_Source_E_6 + Insert_Source_E_7 + NotifyEventSourceAddedE_0 + NotifyEventSourceAddedE_1 + NotifyEventSourceAddedE_2 + NotifyEventSourceAddedE_3 + NotifyEventSourceAddedE_4 + NotifyEventSourceAddedE_5 + NotifyEventSourceAddedE_6 + NotifyEventSourceAddedE_7 + NotifyEventEndOfCheckSourcesE_0 + NotifyEventEndOfCheckSourcesE_1 + NotifyEventEndOfCheckSourcesE_2 + NotifyEventEndOfCheckSourcesE_3 + NotifyEventEndOfCheckSourcesE_4 + NotifyEventEndOfCheckSourcesE_5 + NotifyEventEndOfCheckSourcesE_6 + NotifyEventEndOfCheckSourcesE_7 + -1'Check_Sources_B_0 + -1'Check_Sources_B_1 + -1'Check_Sources_B_2 + -1'Check_Sources_B_3 + -1'Check_Sources_B_4 + -1'Check_Sources_B_5 + -1'Check_Sources_B_6 + -1'Check_Sources_B_7 + -1'Check_Sources_E_0 + -1'Check_Sources_E_1 + -1'Check_Sources_E_2 + -1'Check_Sources_E_3 + -1'Check_Sources_E_4 + -1'Check_Sources_E_5 + -1'Check_Sources_E_6 + -1'Check_Sources_E_7 + NotifyEventJobCompletedE_0 + NotifyEventJobCompletedE_1 + NotifyEventJobCompletedE_2 + NotifyEventJobCompletedE_3 + NotifyEventJobCompletedE_4 + NotifyEventJobCompletedE_5 + NotifyEventJobCompletedE_6 + NotifyEventJobCompletedE_7 + NotifyEventJobCompletedB_0 + NotifyEventJobCompletedB_1 + NotifyEventJobCompletedB_2 + NotifyEventJobCompletedB_3 + NotifyEventJobCompletedB_4 + NotifyEventJobCompletedB_5 + NotifyEventJobCompletedB_6 + NotifyEventJobCompletedB_7 + Perform_Work_E_0 + Perform_Work_E_1 + Perform_Work_E_2 + Perform_Work_E_3 + Perform_Work_E_4 + Perform_Work_E_5 + Perform_Work_E_6 + Perform_Work_E_7 + Try_Check_Sources_E_0 + Try_Check_Sources_E_1 + Try_Check_Sources_E_2 + Try_Check_Sources_E_3 + Try_Check_Sources_E_4 + Try_Check_Sources_E_5 + Try_Check_Sources_E_6 + Try_Check_Sources_E_7 + IsMonitoring_0 + P_1617_0 + P_1617_1 + P_1617_2 + P_1617_3 + P_1617_4 + P_1617_5 + P_1617_6 + P_1617_7 + ORB_Lock_0 + ScheduleTaskE_Idle_0 + ScheduleTaskE_Idle_1 + ScheduleTaskE_Idle_2 + ScheduleTaskE_Idle_3 + ScheduleTaskE_Idle_4 + ScheduleTaskE_Idle_5 + ScheduleTaskE_Idle_6 + ScheduleTaskE_Idle_7 + ScheduleTaskE_Work_0 + ScheduleTaskE_Work_1 + ScheduleTaskE_Work_2 + ScheduleTaskE_Work_3 + ScheduleTaskE_Work_4 + ScheduleTaskE_Work_5 + ScheduleTaskE_Work_6 + ScheduleTaskE_Work_7 + ScheduleTaskE_Work_8 + ScheduleTaskE_Work_9 + ScheduleTaskE_Work_10 + ScheduleTaskE_Work_11 + ScheduleTaskE_Work_12 + ScheduleTaskE_Work_13 + ScheduleTaskE_Work_14 + ScheduleTaskE_Work_15 + ScheduleTaskE_Work_16 + ScheduleTaskE_Work_17 + ScheduleTaskE_Work_18 + ScheduleTaskE_Work_19 + ScheduleTaskE_Work_20 + ScheduleTaskE_Work_21 + ScheduleTaskE_Work_22 + ScheduleTaskE_Work_23 + ScheduleTaskE_Work_24 + ScheduleTaskE_Work_25 + ScheduleTaskE_Work_26 + ScheduleTaskE_Work_27 + ScheduleTaskE_Work_28 + ScheduleTaskE_Work_29 + ScheduleTaskE_Work_30 + ScheduleTaskE_Work_31 + ScheduleTaskE_Work_32 + ScheduleTaskE_Work_33 + ScheduleTaskE_Work_34 + ScheduleTaskE_Work_35 + ScheduleTaskE_Work_36 + ScheduleTaskE_Work_37 + ScheduleTaskE_Work_38 + ScheduleTaskE_Work_39 + ScheduleTaskE_Work_40 + ScheduleTaskE_Work_41 + ScheduleTaskE_Work_42 + ScheduleTaskE_Work_43 + ScheduleTaskE_Work_44 + ScheduleTaskE_Work_45 + ScheduleTaskE_Work_46 + ScheduleTaskE_Work_47 + ScheduleTaskE_Work_48 + ScheduleTaskE_Work_49 + ScheduleTaskE_Work_50 + ScheduleTaskE_Work_51 + ScheduleTaskE_Work_52 + ScheduleTaskE_Work_53 + ScheduleTaskE_Work_54 + ScheduleTaskE_Work_55 = 1
invariant :DataOnSrc_1 + -1'PlaceSources_1 = 0
invariant :-1'P_2321_0 + TryAllocateOneTaskE_0 + TryAllocateOneTaskB_0 + -1'P_2318_0 = 0
invariant :-1'P_2321_2 + TryAllocateOneTaskE_2 + TryAllocateOneTaskB_2 + -1'P_2318_2 = 0
invariant :-1'P_2321_4 + TryAllocateOneTaskE_4 + TryAllocateOneTaskB_4 + -1'P_2318_4 = 0
invariant :-1'P_2321_6 + TryAllocateOneTaskE_6 + TryAllocateOneTaskB_6 + -1'P_2318_6 = 0
invariant :-1'ScheduleTaskE_Check_0 + -1'ScheduleTaskE_Check_1 + -1'ScheduleTaskE_Check_2 + -1'ScheduleTaskE_Check_3 + -1'ScheduleTaskE_Check_4 + -1'ScheduleTaskE_Check_5 + -1'ScheduleTaskE_Check_6 + -1'ScheduleTaskE_Check_7 + CanInjectEvent_0 + -1'NotifyEventEndOfCheckSourcesB_0 + -1'NotifyEventEndOfCheckSourcesB_1 + -1'NotifyEventEndOfCheckSourcesB_2 + -1'NotifyEventEndOfCheckSourcesB_3 + -1'NotifyEventEndOfCheckSourcesB_4 + -1'NotifyEventEndOfCheckSourcesB_5 + -1'NotifyEventEndOfCheckSourcesB_6 + -1'NotifyEventEndOfCheckSourcesB_7 + -1'Check_Sources_B_0 + -1'Check_Sources_B_1 + -1'Check_Sources_B_2 + -1'Check_Sources_B_3 + -1'Check_Sources_B_4 + -1'Check_Sources_B_5 + -1'Check_Sources_B_6 + -1'Check_Sources_B_7 + -1'Try_Check_Sources_B_0 + -1'Try_Check_Sources_B_1 + -1'Try_Check_Sources_B_2 + -1'Try_Check_Sources_B_3 + -1'Try_Check_Sources_B_4 + -1'Try_Check_Sources_B_5 + -1'Try_Check_Sources_B_6 + -1'Try_Check_Sources_B_7 + IsMonitoring_0 = 1
invariant :PollingAbort_0 + -1'Abort_Check_Sources_B_0 + -1'Abort_Check_Sources_B_1 + -1'Abort_Check_Sources_B_2 + -1'Abort_Check_Sources_B_3 + -1'Abort_Check_Sources_B_4 + -1'Abort_Check_Sources_B_5 + -1'Abort_Check_Sources_B_6 + -1'Abort_Check_Sources_B_7 + Check_Sources_B_0 + Check_Sources_B_1 + Check_Sources_B_2 + Check_Sources_B_3 + Check_Sources_B_4 + Check_Sources_B_5 + Check_Sources_B_6 + Check_Sources_B_7 + Check_Sources_E_0 + Check_Sources_E_1 + Check_Sources_E_2 + Check_Sources_E_3 + Check_Sources_E_4 + Check_Sources_E_5 + Check_Sources_E_6 + Check_Sources_E_7 + Idle_0 + Idle_1 + Idle_2 + Idle_3 + Idle_4 + Idle_5 + Idle_6 + Idle_7 + RunE_0 + RunE_1 + RunE_2 + RunE_3 + RunE_4 + RunE_5 + RunE_6 + RunE_7 + RunB_0 + RunB_1 + RunB_2 + RunB_3 + RunB_4 + RunB_5 + RunB_6 + RunB_7 + -1'ORB_Lock_0 + P_2579_0 + P_2579_1 + P_2579_2 + P_2579_3 + P_2579_4 + P_2579_5 + P_2579_6 + P_2579_7 + P_2579_8 + P_2579_9 + P_2579_10 + P_2579_11 + P_2579_12 + P_2579_13 + P_2579_14 + P_2579_15 + P_2579_16 + P_2579_17 + P_2579_18 + P_2579_19 + P_2579_20 + P_2579_21 + P_2579_22 + P_2579_23 + P_2579_24 + P_2579_25 + P_2579_26 + P_2579_27 + P_2579_28 + P_2579_29 + P_2579_30 + P_2579_31 = 7
invariant :NotifyEventJobQueuedB_0 + NotifyEventJobQueuedB_7 + NotifyEventJobQueuedB_14 + NotifyEventJobQueuedB_21 + NotifyEventJobQueuedB_28 + NotifyEventJobQueuedB_35 + NotifyEventJobQueuedB_42 + NotifyEventJobQueuedB_49 + QueueJobB_0 + QueueJobB_7 + QueueJobB_14 + QueueJobB_21 + QueueJobB_28 + QueueJobB_35 + QueueJobB_42 + QueueJobB_49 + P_1725_0 + P_1724_0 + FetchJobE_0 + FetchJobE_7 + FetchJobE_14 + FetchJobE_21 + FetchJobE_28 + FetchJobE_35 + FetchJobE_42 + FetchJobE_49 + P_1712_0 + -1'CreatedJobs_0 + -1'CreatedJobs_1 + -1'CreatedJobs_2 + -1'CreatedJobs_3 + ScheduleTaskE_Work_0 + ScheduleTaskE_Work_7 + ScheduleTaskE_Work_14 + ScheduleTaskE_Work_21 + ScheduleTaskE_Work_28 + ScheduleTaskE_Work_35 + ScheduleTaskE_Work_42 + ScheduleTaskE_Work_49 = 0
invariant :cPollingAbort_0 + Abort_Check_Sources_B_0 + Abort_Check_Sources_B_1 + Abort_Check_Sources_B_2 + Abort_Check_Sources_B_3 + Abort_Check_Sources_B_4 + Abort_Check_Sources_B_5 + Abort_Check_Sources_B_6 + Abort_Check_Sources_B_7 + -1'Check_Sources_B_0 + -1'Check_Sources_B_1 + -1'Check_Sources_B_2 + -1'Check_Sources_B_3 + -1'Check_Sources_B_4 + -1'Check_Sources_B_5 + -1'Check_Sources_B_6 + -1'Check_Sources_B_7 + -1'Check_Sources_E_0 + -1'Check_Sources_E_1 + -1'Check_Sources_E_2 + -1'Check_Sources_E_3 + -1'Check_Sources_E_4 + -1'Check_Sources_E_5 + -1'Check_Sources_E_6 + -1'Check_Sources_E_7 + -1'Idle_0 + -1'Idle_1 + -1'Idle_2 + -1'Idle_3 + -1'Idle_4 + -1'Idle_5 + -1'Idle_6 + -1'Idle_7 + -1'RunE_0 + -1'RunE_1 + -1'RunE_2 + -1'RunE_3 + -1'RunE_4 + -1'RunE_5 + -1'RunE_6 + -1'RunE_7 + -1'RunB_0 + -1'RunB_1 + -1'RunB_2 + -1'RunB_3 + -1'RunB_4 + -1'RunB_5 + -1'RunB_6 + -1'RunB_7 + ORB_Lock_0 + -1'P_2579_0 + -1'P_2579_1 + -1'P_2579_2 + -1'P_2579_3 + -1'P_2579_4 + -1'P_2579_5 + -1'P_2579_6 + -1'P_2579_7 + -1'P_2579_8 + -1'P_2579_9 + -1'P_2579_10 + -1'P_2579_11 + -1'P_2579_12 + -1'P_2579_13 + -1'P_2579_14 + -1'P_2579_15 + -1'P_2579_16 + -1'P_2579_17 + -1'P_2579_18 + -1'P_2579_19 + -1'P_2579_20 + -1'P_2579_21 + -1'P_2579_22 + -1'P_2579_23 + -1'P_2579_24 + -1'P_2579_25 + -1'P_2579_26 + -1'P_2579_27 + -1'P_2579_28 + -1'P_2579_29 + -1'P_2579_30 + -1'P_2579_31 = -6
invariant :JobCnt_0 + NotifyEventJobQueuedB_0 + NotifyEventJobQueuedB_1 + NotifyEventJobQueuedB_2 + NotifyEventJobQueuedB_3 + NotifyEventJobQueuedB_4 + NotifyEventJobQueuedB_5 + NotifyEventJobQueuedB_6 + NotifyEventJobQueuedB_7 + NotifyEventJobQueuedB_8 + NotifyEventJobQueuedB_9 + NotifyEventJobQueuedB_10 + NotifyEventJobQueuedB_11 + NotifyEventJobQueuedB_12 + NotifyEventJobQueuedB_13 + NotifyEventJobQueuedB_14 + NotifyEventJobQueuedB_15 + NotifyEventJobQueuedB_16 + NotifyEventJobQueuedB_17 + NotifyEventJobQueuedB_18 + NotifyEventJobQueuedB_19 + NotifyEventJobQueuedB_20 + NotifyEventJobQueuedB_21 + NotifyEventJobQueuedB_22 + NotifyEventJobQueuedB_23 + NotifyEventJobQueuedB_24 + NotifyEventJobQueuedB_25 + NotifyEventJobQueuedB_26 + NotifyEventJobQueuedB_27 + NotifyEventJobQueuedB_28 + NotifyEventJobQueuedB_29 + NotifyEventJobQueuedB_30 + NotifyEventJobQueuedB_31 + NotifyEventJobQueuedB_32 + NotifyEventJobQueuedB_33 + NotifyEventJobQueuedB_34 + NotifyEventJobQueuedB_35 + NotifyEventJobQueuedB_36 + NotifyEventJobQueuedB_37 + NotifyEventJobQueuedB_38 + NotifyEventJobQueuedB_39 + NotifyEventJobQueuedB_40 + NotifyEventJobQueuedB_41 + NotifyEventJobQueuedB_42 + NotifyEventJobQueuedB_43 + NotifyEventJobQueuedB_44 + NotifyEventJobQueuedB_45 + NotifyEventJobQueuedB_46 + NotifyEventJobQueuedB_47 + NotifyEventJobQueuedB_48 + NotifyEventJobQueuedB_49 + NotifyEventJobQueuedB_50 + NotifyEventJobQueuedB_51 + NotifyEventJobQueuedB_52 + NotifyEventJobQueuedB_53 + NotifyEventJobQueuedB_54 + NotifyEventJobQueuedB_55 + FetchJobE_0 + FetchJobE_1 + FetchJobE_2 + FetchJobE_3 + FetchJobE_4 + FetchJobE_5 + FetchJobE_6 + FetchJobE_7 + FetchJobE_8 + FetchJobE_9 + FetchJobE_10 + FetchJobE_11 + FetchJobE_12 + FetchJobE_13 + FetchJobE_14 + FetchJobE_15 + FetchJobE_16 + FetchJobE_17 + FetchJobE_18 + FetchJobE_19 + FetchJobE_20 + FetchJobE_21 + FetchJobE_22 + FetchJobE_23 + FetchJobE_24 + FetchJobE_25 + FetchJobE_26 + FetchJobE_27 + FetchJobE_28 + FetchJobE_29 + FetchJobE_30 + FetchJobE_31 + FetchJobE_32 + FetchJobE_33 + FetchJobE_34 + FetchJobE_35 + FetchJobE_36 + FetchJobE_37 + FetchJobE_38 + FetchJobE_39 + FetchJobE_40 + FetchJobE_41 + FetchJobE_42 + FetchJobE_43 + FetchJobE_44 + FetchJobE_45 + FetchJobE_46 + FetchJobE_47 + FetchJobE_48 + FetchJobE_49 + FetchJobE_50 + FetchJobE_51 + FetchJobE_52 + FetchJobE_53 + FetchJobE_54 + FetchJobE_55 + FetchJobB_0 + FetchJobB_1 + FetchJobB_2 + FetchJobB_3 + FetchJobB_4 + FetchJobB_5 + FetchJobB_6 + FetchJobB_7 + AvailableJobId_1 + AvailableJobId_2 + AvailableJobId_3 + AvailableJobId_4 + AvailableJobId_5 + AvailableJobId_6 + -1'CreatedJobs_0 + -1'CreatedJobs_1 + -1'CreatedJobs_2 + -1'CreatedJobs_3 + ScheduleTaskE_Work_0 + ScheduleTaskE_Work_1 + ScheduleTaskE_Work_2 + ScheduleTaskE_Work_3 + ScheduleTaskE_Work_4 + ScheduleTaskE_Work_5 + ScheduleTaskE_Work_6 + ScheduleTaskE_Work_7 + ScheduleTaskE_Work_8 + ScheduleTaskE_Work_9 + ScheduleTaskE_Work_10 + ScheduleTaskE_Work_11 + ScheduleTaskE_Work_12 + ScheduleTaskE_Work_13 + ScheduleTaskE_Work_14 + ScheduleTaskE_Work_15 + ScheduleTaskE_Work_16 + ScheduleTaskE_Work_17 + ScheduleTaskE_Work_18 + ScheduleTaskE_Work_19 + ScheduleTaskE_Work_20 + ScheduleTaskE_Work_21 + ScheduleTaskE_Work_22 + ScheduleTaskE_Work_23 + ScheduleTaskE_Work_24 + ScheduleTaskE_Work_25 + ScheduleTaskE_Work_26 + ScheduleTaskE_Work_27 + ScheduleTaskE_Work_28 + ScheduleTaskE_Work_29 + ScheduleTaskE_Work_30 + ScheduleTaskE_Work_31 + ScheduleTaskE_Work_32 + ScheduleTaskE_Work_33 + ScheduleTaskE_Work_34 + ScheduleTaskE_Work_35 + ScheduleTaskE_Work_36 + ScheduleTaskE_Work_37 + ScheduleTaskE_Work_38 + ScheduleTaskE_Work_39 + ScheduleTaskE_Work_40 + ScheduleTaskE_Work_41 + ScheduleTaskE_Work_42 + ScheduleTaskE_Work_43 + ScheduleTaskE_Work_44 + ScheduleTaskE_Work_45 + ScheduleTaskE_Work_46 + ScheduleTaskE_Work_47 + ScheduleTaskE_Work_48 + ScheduleTaskE_Work_49 + ScheduleTaskE_Work_50 + ScheduleTaskE_Work_51 + ScheduleTaskE_Work_52 + ScheduleTaskE_Work_53 + ScheduleTaskE_Work_54 + ScheduleTaskE_Work_55 = 6
invariant :AvailableJobId_1 + CreatedJobs_4 + CreatedJobs_5 + CreatedJobs_6 + CreatedJobs_7 = 1
invariant :-1'P_2321_0 + -1'P_2321_1 + -1'P_2321_2 + -1'P_2321_3 + -1'P_2321_4 + -1'P_2321_5 + -1'P_2321_6 + -1'P_2321_7 + -1'EnablePollingE_0 + -1'EnablePollingE_1 + -1'EnablePollingE_2 + -1'EnablePollingE_3 + -1'EnablePollingE_4 + -1'EnablePollingE_5 + -1'EnablePollingE_6 + -1'EnablePollingE_7 + -1'EnablePollingB_0 + -1'EnablePollingB_1 + -1'EnablePollingB_2 + -1'EnablePollingB_3 + -1'EnablePollingB_4 + -1'EnablePollingB_5 + -1'EnablePollingB_6 + -1'EnablePollingB_7 + -1'DisablePollingE_0 + -1'DisablePollingE_1 + -1'DisablePollingE_3 + -1'DisablePollingE_4 + -1'DisablePollingE_5 + -1'DisablePollingE_7 + -1'DisablePollingE_8 + -1'DisablePollingE_9 + -1'DisablePollingE_11 + -1'DisablePollingE_12 + -1'DisablePollingE_13 + -1'DisablePollingE_15 + -1'DisablePollingE_16 + -1'DisablePollingE_17 + -1'DisablePollingE_19 + -1'DisablePollingE_20 + -1'DisablePollingE_21 + -1'DisablePollingE_23 + -1'DisablePollingE_24 + -1'DisablePollingE_25 + -1'DisablePollingE_27 + -1'DisablePollingE_28 + -1'DisablePollingE_29 + -1'DisablePollingE_31 + -1'DisablePollingB_0 + -1'DisablePollingB_1 + -1'DisablePollingB_3 + -1'DisablePollingB_4 + -1'DisablePollingB_5 + -1'DisablePollingB_7 + -1'DisablePollingB_8 + -1'DisablePollingB_9 + -1'DisablePollingB_11 + -1'DisablePollingB_12 + -1'DisablePollingB_13 + -1'DisablePollingB_15 + -1'DisablePollingB_16 + -1'DisablePollingB_17 + -1'DisablePollingB_19 + -1'DisablePollingB_20 + -1'DisablePollingB_21 + -1'DisablePollingB_23 + -1'DisablePollingB_24 + -1'DisablePollingB_25 + -1'DisablePollingB_27 + -1'DisablePollingB_28 + -1'DisablePollingB_29 + -1'DisablePollingB_31 + -1'FetchJobE_0 + -1'FetchJobE_1 + -1'FetchJobE_2 + -1'FetchJobE_3 + -1'FetchJobE_4 + -1'FetchJobE_5 + -1'FetchJobE_6 + -1'FetchJobE_7 + -1'FetchJobE_8 + -1'FetchJobE_9 + -1'FetchJobE_10 + -1'FetchJobE_11 + -1'FetchJobE_12 + -1'FetchJobE_13 + -1'FetchJobE_14 + -1'FetchJobE_15 + -1'FetchJobE_16 + -1'FetchJobE_17 + -1'FetchJobE_18 + -1'FetchJobE_19 + -1'FetchJobE_20 + -1'FetchJobE_21 + -1'FetchJobE_22 + -1'FetchJobE_23 + -1'FetchJobE_24 + -1'FetchJobE_25 + -1'FetchJobE_26 + -1'FetchJobE_27 + -1'FetchJobE_28 + -1'FetchJobE_29 + -1'FetchJobE_30 + -1'FetchJobE_31 + -1'FetchJobE_32 + -1'FetchJobE_33 + -1'FetchJobE_34 + -1'FetchJobE_35 + -1'FetchJobE_36 + -1'FetchJobE_37 + -1'FetchJobE_38 + -1'FetchJobE_39 + -1'FetchJobE_40 + -1'FetchJobE_41 + -1'FetchJobE_42 + -1'FetchJobE_43 + -1'FetchJobE_44 + -1'FetchJobE_45 + -1'FetchJobE_46 + -1'FetchJobE_47 + -1'FetchJobE_48 + -1'FetchJobE_49 + -1'FetchJobE_50 + -1'FetchJobE_51 + -1'FetchJobE_52 + -1'FetchJobE_53 + -1'FetchJobE_54 + -1'FetchJobE_55 + -1'FetchJobB_0 + -1'FetchJobB_1 + -1'FetchJobB_2 + -1'FetchJobB_3 + -1'FetchJobB_4 + -1'FetchJobB_5 + -1'FetchJobB_6 + -1'FetchJobB_7 + -1'ScheduleTaskB_0 + -1'ScheduleTaskB_1 + -1'ScheduleTaskB_2 + -1'ScheduleTaskB_3 + -1'ScheduleTaskB_4 + -1'ScheduleTaskB_5 + -1'ScheduleTaskB_6 + -1'ScheduleTaskB_7 + -1'Abort_Check_Sources_B_0 + -1'Abort_Check_Sources_B_1 + -1'Abort_Check_Sources_B_2 + -1'Abort_Check_Sources_B_3 + -1'Abort_Check_Sources_B_4 + -1'Abort_Check_Sources_B_5 + -1'Abort_Check_Sources_B_6 + -1'Abort_Check_Sources_B_7 + PlaceSources_2 + -1'NotifyEventSourceAddedB_0 + -1'NotifyEventSourceAddedB_1 + -1'NotifyEventSourceAddedB_2 + -1'NotifyEventSourceAddedB_3 + -1'NotifyEventSourceAddedB_4 + -1'NotifyEventSourceAddedB_5 + -1'NotifyEventSourceAddedB_6 + -1'NotifyEventSourceAddedB_7 + -1'Insert_Source_B_0 + -1'Insert_Source_B_1 + -1'Insert_Source_B_3 + -1'Insert_Source_B_4 + -1'Insert_Source_B_5 + -1'Insert_Source_B_7 + -1'Insert_Source_B_8 + -1'Insert_Source_B_9 + -1'Insert_Source_B_11 + -1'Insert_Source_B_12 + -1'Insert_Source_B_13 + -1'Insert_Source_B_15 + -1'Insert_Source_B_16 + -1'Insert_Source_B_17 + -1'Insert_Source_B_19 + -1'Insert_Source_B_20 + -1'Insert_Source_B_21 + -1'Insert_Source_B_23 + -1'Insert_Source_B_24 + -1'Insert_Source_B_25 + -1'Insert_Source_B_27 + -1'Insert_Source_B_28 + -1'Insert_Source_B_29 + -1'Insert_Source_B_31 + -1'Insert_Source_E_0 + -1'Insert_Source_E_1 + -1'Insert_Source_E_2 + -1'Insert_Source_E_3 + -1'Insert_Source_E_4 + -1'Insert_Source_E_5 + -1'Insert_Source_E_6 + -1'Insert_Source_E_7 + -1'NotifyEventSourceAddedE_0 + -1'NotifyEventSourceAddedE_1 + -1'NotifyEventSourceAddedE_2 + -1'NotifyEventSourceAddedE_3 + -1'NotifyEventSourceAddedE_4 + -1'NotifyEventSourceAddedE_5 + -1'NotifyEventSourceAddedE_6 + -1'NotifyEventSourceAddedE_7 + -1'AvailableJobId_4 + P_1119_2 + P_1119_6 + P_1119_10 + P_1119_14 + P_1119_18 + P_1119_22 + P_1119_26 + P_1119_30 + CreatedJobs_2 + CreatedJobs_6 + CreatedJobs_10 + CreatedJobs_14 + -1'CreatedJobs_16 + -1'CreatedJobs_17 + -1'CreatedJobs_19 + CreatedJobs_22 + CreatedJobs_26 + -1'NotifyEventEndOfCheckSourcesE_0 + -1'NotifyEventEndOfCheckSourcesE_1 + -1'NotifyEventEndOfCheckSourcesE_2 + -1'NotifyEventEndOfCheckSourcesE_3 + -1'NotifyEventEndOfCheckSourcesE_4 + -1'NotifyEventEndOfCheckSourcesE_5 + -1'NotifyEventEndOfCheckSourcesE_6 + -1'NotifyEventEndOfCheckSourcesE_7 + Check_Sources_B_0 + Check_Sources_B_1 + Check_Sources_B_2 + Check_Sources_B_3 + Check_Sources_B_4 + Check_Sources_B_5 + Check_Sources_B_6 + Check_Sources_B_7 + Check_Sources_E_0 + Check_Sources_E_1 + Check_Sources_E_2 + Check_Sources_E_3 + Check_Sources_E_4 + Check_Sources_E_5 + Check_Sources_E_6 + Check_Sources_E_7 + ModifiedSrc_2 + -1'NotifyEventJobCompletedE_0 + -1'NotifyEventJobCompletedE_1 + -1'NotifyEventJobCompletedE_2 + -1'NotifyEventJobCompletedE_3 + -1'NotifyEventJobCompletedE_4 + -1'NotifyEventJobCompletedE_5 + -1'NotifyEventJobCompletedE_6 + -1'NotifyEventJobCompletedE_7 + -1'NotifyEventJobCompletedB_0 + -1'NotifyEventJobCompletedB_1 + -1'NotifyEventJobCompletedB_2 + -1'NotifyEventJobCompletedB_3 + -1'NotifyEventJobCompletedB_4 + -1'NotifyEventJobCompletedB_5 + -1'NotifyEventJobCompletedB_6 + -1'NotifyEventJobCompletedB_7 + -1'Perform_Work_E_0 + -1'Perform_Work_E_1 + -1'Perform_Work_E_2 + -1'Perform_Work_E_3 + -1'Perform_Work_E_4 + -1'Perform_Work_E_5 + -1'Perform_Work_E_6 + -1'Perform_Work_E_7 + -1'Try_Check_Sources_E_0 + -1'Try_Check_Sources_E_1 + -1'Try_Check_Sources_E_2 + -1'Try_Check_Sources_E_3 + -1'Try_Check_Sources_E_4 + -1'Try_Check_Sources_E_5 + -1'Try_Check_Sources_E_6 + -1'Try_Check_Sources_E_7 + -1'IsMonitoring_0 + -1'P_1617_0 + -1'P_1617_1 + -1'P_1617_2 + -1'P_1617_3 + -1'P_1617_4 + -1'P_1617_5 + -1'P_1617_6 + -1'P_1617_7 + -1'ORB_Lock_0 + AbourtToModifySrc_2 + P_2579_2 + P_2579_6 + P_2579_10 + P_2579_14 + P_2579_18 + P_2579_22 + P_2579_26 + P_2579_30 + -1'ScheduleTaskE_Idle_0 + -1'ScheduleTaskE_Idle_1 + -1'ScheduleTaskE_Idle_2 + -1'ScheduleTaskE_Idle_3 + -1'ScheduleTaskE_Idle_4 + -1'ScheduleTaskE_Idle_5 + -1'ScheduleTaskE_Idle_6 + -1'ScheduleTaskE_Idle_7 + -1'ScheduleTaskE_Work_0 + -1'ScheduleTaskE_Work_1 + -1'ScheduleTaskE_Work_2 + -1'ScheduleTaskE_Work_3 + -1'ScheduleTaskE_Work_4 + -1'ScheduleTaskE_Work_5 + -1'ScheduleTaskE_Work_6 + -1'ScheduleTaskE_Work_7 + -1'ScheduleTaskE_Work_8 + -1'ScheduleTaskE_Work_9 + -1'ScheduleTaskE_Work_10 + -1'ScheduleTaskE_Work_11 + -1'ScheduleTaskE_Work_12 + -1'ScheduleTaskE_Work_13 + -1'ScheduleTaskE_Work_14 + -1'ScheduleTaskE_Work_15 + -1'ScheduleTaskE_Work_16 + -1'ScheduleTaskE_Work_17 + -1'ScheduleTaskE_Work_18 + -1'ScheduleTaskE_Work_19 + -1'ScheduleTaskE_Work_20 + -1'ScheduleTaskE_Work_21 + -1'ScheduleTaskE_Work_22 + -1'ScheduleTaskE_Work_23 + -1'ScheduleTaskE_Work_24 + -1'ScheduleTaskE_Work_25 + -1'ScheduleTaskE_Work_26 + -1'ScheduleTaskE_Work_27 + -1'ScheduleTaskE_Work_28 + -1'ScheduleTaskE_Work_29 + -1'ScheduleTaskE_Work_30 + -1'ScheduleTaskE_Work_31 + -1'ScheduleTaskE_Work_32 + -1'ScheduleTaskE_Work_33 + -1'ScheduleTaskE_Work_34 + -1'ScheduleTaskE_Work_35 + -1'ScheduleTaskE_Work_36 + -1'ScheduleTaskE_Work_37 + -1'ScheduleTaskE_Work_38 + -1'ScheduleTaskE_Work_39 + -1'ScheduleTaskE_Work_40 + -1'ScheduleTaskE_Work_41 + -1'ScheduleTaskE_Work_42 + -1'ScheduleTaskE_Work_43 + -1'ScheduleTaskE_Work_44 + -1'ScheduleTaskE_Work_45 + -1'ScheduleTaskE_Work_46 + -1'ScheduleTaskE_Work_47 + -1'ScheduleTaskE_Work_48 + -1'ScheduleTaskE_Work_49 + -1'ScheduleTaskE_Work_50 + -1'ScheduleTaskE_Work_51 + -1'ScheduleTaskE_Work_52 + -1'ScheduleTaskE_Work_53 + -1'ScheduleTaskE_Work_54 + -1'ScheduleTaskE_Work_55 = -1
invariant :AvailableJobId_4 + CreatedJobs_16 + CreatedJobs_17 + CreatedJobs_18 + CreatedJobs_19 = 1
invariant :JobCnt_0 + NotifyEventJobQueuedB_0 + 2'NotifyEventJobQueuedB_3 + NotifyEventJobQueuedB_4 + 2'NotifyEventJobQueuedB_5 + 2'NotifyEventJobQueuedB_6 + NotifyEventJobQueuedB_7 + 2'NotifyEventJobQueuedB_10 + NotifyEventJobQueuedB_11 + 2'NotifyEventJobQueuedB_12 + 2'NotifyEventJobQueuedB_13 + NotifyEventJobQueuedB_14 + 2'NotifyEventJobQueuedB_17 + NotifyEventJobQueuedB_18 + 2'NotifyEventJobQueuedB_19 + 2'NotifyEventJobQueuedB_20 + NotifyEventJobQueuedB_21 + 2'NotifyEventJobQueuedB_24 + NotifyEventJobQueuedB_25 + 2'NotifyEventJobQueuedB_26 + 2'NotifyEventJobQueuedB_27 + NotifyEventJobQueuedB_28 + 2'NotifyEventJobQueuedB_31 + NotifyEventJobQueuedB_32 + 2'NotifyEventJobQueuedB_33 + 2'NotifyEventJobQueuedB_34 + NotifyEventJobQueuedB_35 + 2'NotifyEventJobQueuedB_38 + NotifyEventJobQueuedB_39 + 2'NotifyEventJobQueuedB_40 + 2'NotifyEventJobQueuedB_41 + NotifyEventJobQueuedB_42 + 2'NotifyEventJobQueuedB_45 + NotifyEventJobQueuedB_46 + 2'NotifyEventJobQueuedB_47 + 2'NotifyEventJobQueuedB_48 + NotifyEventJobQueuedB_49 + 2'NotifyEventJobQueuedB_52 + NotifyEventJobQueuedB_53 + 2'NotifyEventJobQueuedB_54 + 2'NotifyEventJobQueuedB_55 + -1'QueueJobB_1 + -1'QueueJobB_2 + QueueJobB_3 + QueueJobB_5 + QueueJobB_6 + -1'QueueJobB_8 + -1'QueueJobB_9 + QueueJobB_10 + QueueJobB_12 + QueueJobB_13 + -1'QueueJobB_15 + -1'QueueJobB_16 + QueueJobB_17 + QueueJobB_19 + QueueJobB_20 + -1'QueueJobB_22 + -1'QueueJobB_23 + QueueJobB_24 + QueueJobB_26 + QueueJobB_27 + -1'QueueJobB_29 + -1'QueueJobB_30 + QueueJobB_31 + QueueJobB_33 + QueueJobB_34 + -1'QueueJobB_36 + -1'QueueJobB_37 + QueueJobB_38 + QueueJobB_40 + QueueJobB_41 + -1'QueueJobB_43 + -1'QueueJobB_44 + QueueJobB_45 + QueueJobB_47 + QueueJobB_48 + -1'QueueJobB_50 + -1'QueueJobB_51 + QueueJobB_52 + QueueJobB_54 + QueueJobB_55 + MarkerWrite_0 + P_1725_0 + 2'P_1725_3 + P_1725_4 + 2'P_1725_5 + 2'P_1725_6 + -1'P_1724_1 + -1'P_1724_2 + P_1724_3 + P_1724_5 + P_1724_6 + FetchJobE_0 + 2'FetchJobE_3 + FetchJobE_4 + 2'FetchJobE_5 + 2'FetchJobE_6 + FetchJobE_7 + 2'FetchJobE_10 + FetchJobE_11 + 2'FetchJobE_12 + 2'FetchJobE_13 + FetchJobE_14 + 2'FetchJobE_17 + FetchJobE_18 + 2'FetchJobE_19 + 2'FetchJobE_20 + FetchJobE_21 + 2'FetchJobE_24 + FetchJobE_25 + 2'FetchJobE_26 + 2'FetchJobE_27 + FetchJobE_28 + 2'FetchJobE_31 + FetchJobE_32 + 2'FetchJobE_33 + 2'FetchJobE_34 + FetchJobE_35 + 2'FetchJobE_38 + FetchJobE_39 + 2'FetchJobE_40 + 2'FetchJobE_41 + FetchJobE_42 + 2'FetchJobE_45 + FetchJobE_46 + 2'FetchJobE_47 + 2'FetchJobE_48 + FetchJobE_49 + 2'FetchJobE_52 + FetchJobE_53 + 2'FetchJobE_54 + 2'FetchJobE_55 + P_1717_0 + P_1716_0 + FetchJobB_0 + FetchJobB_1 + FetchJobB_2 + FetchJobB_3 + FetchJobB_4 + FetchJobB_5 + FetchJobB_6 + FetchJobB_7 + -1'P_1712_0 + -2'P_1712_1 + -2'P_1712_2 + -1'P_1712_4 + 2'AvailableJobId_3 + AvailableJobId_4 + 2'AvailableJobId_5 + 2'AvailableJobId_6 + -1'CreatedJobs_0 + -1'CreatedJobs_1 + -1'CreatedJobs_2 + -1'CreatedJobs_3 + ScheduleTaskE_Work_0 + 2'ScheduleTaskE_Work_3 + ScheduleTaskE_Work_4 + 2'ScheduleTaskE_Work_5 + 2'ScheduleTaskE_Work_6 + ScheduleTaskE_Work_7 + 2'ScheduleTaskE_Work_10 + ScheduleTaskE_Work_11 + 2'ScheduleTaskE_Work_12 + 2'ScheduleTaskE_Work_13 + ScheduleTaskE_Work_14 + 2'ScheduleTaskE_Work_17 + ScheduleTaskE_Work_18 + 2'ScheduleTaskE_Work_19 + 2'ScheduleTaskE_Work_20 + ScheduleTaskE_Work_21 + 2'ScheduleTaskE_Work_24 + ScheduleTaskE_Work_25 + 2'ScheduleTaskE_Work_26 + 2'ScheduleTaskE_Work_27 + ScheduleTaskE_Work_28 + 2'ScheduleTaskE_Work_31 + ScheduleTaskE_Work_32 + 2'ScheduleTaskE_Work_33 + 2'ScheduleTaskE_Work_34 + ScheduleTaskE_Work_35 + 2'ScheduleTaskE_Work_38 + ScheduleTaskE_Work_39 + 2'ScheduleTaskE_Work_40 + 2'ScheduleTaskE_Work_41 + ScheduleTaskE_Work_42 + 2'ScheduleTaskE_Work_45 + ScheduleTaskE_Work_46 + 2'ScheduleTaskE_Work_47 + 2'ScheduleTaskE_Work_48 + ScheduleTaskE_Work_49 + 2'ScheduleTaskE_Work_52 + ScheduleTaskE_Work_53 + 2'ScheduleTaskE_Work_54 + 2'ScheduleTaskE_Work_55 = 8
invariant :AwakeTasks_3 + IdleTasks_3 + -1'Idle_3 = 0
invariant :cBlockedTasks_0 + -1'ScheduleTaskE_Check_0 + -1'ScheduleTaskE_Check_1 + -1'ScheduleTaskE_Check_2 + -1'ScheduleTaskE_Check_3 + -1'ScheduleTaskE_Check_4 + -1'ScheduleTaskE_Check_5 + -1'ScheduleTaskE_Check_6 + -1'ScheduleTaskE_Check_7 + IsMonitoring_0 = 10
invariant :DisablePollingE_3 + DisablePollingE_7 + DisablePollingE_11 + DisablePollingE_15 + DisablePollingE_19 + DisablePollingE_23 + DisablePollingE_27 + DisablePollingE_31 + DisablePollingB_3 + DisablePollingB_7 + DisablePollingB_11 + DisablePollingB_15 + DisablePollingB_19 + DisablePollingB_23 + DisablePollingB_27 + DisablePollingB_31 + PlaceSources_3 + Insert_Source_B_3 + Insert_Source_B_7 + Insert_Source_B_11 + Insert_Source_B_15 + Insert_Source_B_19 + Insert_Source_B_23 + Insert_Source_B_27 + Insert_Source_B_31 + P_1119_3 + P_1119_7 + P_1119_11 + P_1119_15 + P_1119_19 + P_1119_23 + P_1119_27 + P_1119_31 + CreatedJobs_3 + CreatedJobs_7 + CreatedJobs_11 + CreatedJobs_15 + CreatedJobs_19 + CreatedJobs_23 + CreatedJobs_27 + ModifiedSrc_3 + AbourtToModifySrc_3 + P_2579_3 + P_2579_7 + P_2579_11 + P_2579_15 + P_2579_19 + P_2579_23 + P_2579_27 + P_2579_31 = 1
invariant :MarkerRead_0 + P_1717_0 + P_1716_0 = 1
invariant :Abort_Check_Sources_E_0 + Abort_Check_Sources_E_1 + Abort_Check_Sources_E_2 + Abort_Check_Sources_E_3 + Abort_Check_Sources_E_4 + Abort_Check_Sources_E_5 + Abort_Check_Sources_E_6 + Abort_Check_Sources_E_7 + Check_Sources_B_0 + Check_Sources_B_1 + Check_Sources_B_2 + Check_Sources_B_3 + Check_Sources_B_4 + Check_Sources_B_5 + Check_Sources_B_6 + Check_Sources_B_7 + Check_Sources_E_0 + Check_Sources_E_1 + Check_Sources_E_2 + Check_Sources_E_3 + Check_Sources_E_4 + Check_Sources_E_5 + Check_Sources_E_6 + Check_Sources_E_7 + Idle_0 + Idle_1 + Idle_2 + Idle_3 + Idle_4 + Idle_5 + Idle_6 + Idle_7 + RunE_0 + RunE_1 + RunE_2 + RunE_3 + RunE_4 + RunE_5 + RunE_6 + RunE_7 + RunB_0 + RunB_1 + RunB_2 + RunB_3 + RunB_4 + RunB_5 + RunB_6 + RunB_7 + -1'ORB_Lock_0 + P_2579_0 + P_2579_1 + P_2579_2 + P_2579_3 + P_2579_4 + P_2579_5 + P_2579_6 + P_2579_7 + P_2579_8 + P_2579_9 + P_2579_10 + P_2579_11 + P_2579_12 + P_2579_13 + P_2579_14 + P_2579_15 + P_2579_16 + P_2579_17 + P_2579_18 + P_2579_19 + P_2579_20 + P_2579_21 + P_2579_22 + P_2579_23 + P_2579_24 + P_2579_25 + P_2579_26 + P_2579_27 + P_2579_28 + P_2579_29 + P_2579_30 + P_2579_31 = 7
invariant :AwakeTasks_0 + -1'IdleTasks_1 + -1'IdleTasks_2 + -1'IdleTasks_3 + -1'IdleTasks_4 + -1'IdleTasks_5 + -1'IdleTasks_6 + -1'IdleTasks_7 + -1'Idle_0 + -1'cIdleTasks_0 + -1'ScheduleTaskE_Idle_0 + -1'ScheduleTaskE_Idle_1 + -1'ScheduleTaskE_Idle_2 + -1'ScheduleTaskE_Idle_3 + -1'ScheduleTaskE_Idle_4 + -1'ScheduleTaskE_Idle_5 + -1'ScheduleTaskE_Idle_6 + -1'ScheduleTaskE_Idle_7 = -8
Compilation finished in 39449 ms.
Running link step : CommandLine [args=[gcc, -shared, -o, gal.so, model.o], workingDir=/home/mcc/execution]
Link finished in 66 ms.
Running LTSmin : CommandLine [args=[/home/mcc/BenchKit//lts_install_dir//bin/pins2lts-mc, ./gal.so, --threads=1, -p, --pins-guards, --when, -i, PolyORBLFCOLS04J06T08ReachabilityFireability00==true], workingDir=/home/mcc/execution]
WARNING : LTSmin timed out (>225 s) on command CommandLine [args=[/home/mcc/BenchKit//lts_install_dir//bin/pins2lts-mc, ./gal.so, --threads=1, -p, --pins-guards, --when, -i, PolyORBLFCOLS04J06T08ReachabilityFireability00==true], workingDir=/home/mcc/execution]
Running LTSmin : CommandLine [args=[/home/mcc/BenchKit//lts_install_dir//bin/pins2lts-mc, ./gal.so, --threads=1, -p, --pins-guards, --when, -i, PolyORBLFCOLS04J06T08ReachabilityFireability01==true], workingDir=/home/mcc/execution]
WARNING : LTSmin timed out (>225 s) on command CommandLine [args=[/home/mcc/BenchKit//lts_install_dir//bin/pins2lts-mc, ./gal.so, --threads=1, -p, --pins-guards, --when, -i, PolyORBLFCOLS04J06T08ReachabilityFireability01==true], workingDir=/home/mcc/execution]
Running LTSmin : CommandLine [args=[/home/mcc/BenchKit//lts_install_dir//bin/pins2lts-mc, ./gal.so, --threads=1, -p, --pins-guards, --when, -i, PolyORBLFCOLS04J06T08ReachabilityFireability02==true], workingDir=/home/mcc/execution]
WARNING : LTS min runner thread failed on error :java.lang.RuntimeException: Unexpected exception when executing ltsmin :CommandLine [args=[/home/mcc/BenchKit//lts_install_dir//bin/pins2lts-mc, ./gal.so, --threads=1, -p, --pins-guards, --when, -i, PolyORBLFCOLS04J06T08ReachabilityFireability02==true], workingDir=/home/mcc/execution]
255
FORMULA PolyORBLF-COL-S04J06T08-ReachabilityFireability-04 FALSE TECHNIQUES SAT_SMT K_INDUCTION(0)
FORMULA PolyORBLF-COL-S04J06T08-ReachabilityFireability-09 FALSE TECHNIQUES SAT_SMT K_INDUCTION(0)
FORMULA PolyORBLF-COL-S04J06T08-ReachabilityFireability-11 TRUE TECHNIQUES SAT_SMT K_INDUCTION(0)
FORMULA PolyORBLF-COL-S04J06T08-ReachabilityFireability-15 TRUE TECHNIQUES SAT_SMT K_INDUCTION(0)

BK_TIME_CONFINEMENT_REACHED

--------------------
content from stderr:

+ export BINDIR=/home/mcc/BenchKit/
+ BINDIR=/home/mcc/BenchKit/
++ pwd
+ export MODEL=/home/mcc/execution
+ MODEL=/home/mcc/execution
+ /home/mcc/BenchKit//runeclipse.sh /home/mcc/execution ReachabilityFireability -its -ltsminpath /home/mcc/BenchKit//lts_install_dir/ -smt
+ ulimit -s 65536
+ [[ -z '' ]]
+ export LTSMIN_MEM_SIZE=8589934592
+ LTSMIN_MEM_SIZE=8589934592
+ /home/mcc/BenchKit//itstools/its-tools -consoleLog -data /home/mcc/execution/workspace -pnfolder /home/mcc/execution -examination ReachabilityFireability -z3path /home/mcc/BenchKit//z3/bin/z3 -yices2path /home/mcc/BenchKit//yices/bin/yices -its -ltsminpath /home/mcc/BenchKit//lts_install_dir/ -smt -vmargs -Dosgi.locking=none -Declipse.stateSaveDelayInterval=-1 -Dosgi.configuration.area=/tmp/.eclipse -Xss8m -Xms40m -Xmx8192m -Dfile.encoding=UTF-8 -Dosgi.requiredJavaVersion=1.6
May 21, 2018 2:00:42 AM fr.lip6.move.gal.application.Application start
INFO: Running its-tools with arguments : [-pnfolder, /home/mcc/execution, -examination, ReachabilityFireability, -z3path, /home/mcc/BenchKit//z3/bin/z3, -yices2path, /home/mcc/BenchKit//yices/bin/yices, -its, -ltsminpath, /home/mcc/BenchKit//lts_install_dir/, -smt]
May 21, 2018 2:00:42 AM fr.lip6.move.gal.application.MccTranslator transformPNML
INFO: Parsing pnml file : /home/mcc/execution/model.pnml
May 21, 2018 2:00:42 AM fr.lip6.move.gal.pnml.togal.PnmlToGalTransformer transform
INFO: Detected file is not PT type :http://www.pnml.org/version-2009/grammar/symmetricnet
May 21, 2018 2:00:44 AM fr.lip6.move.gal.pnml.togal.PnmlToGalTransformer transform
INFO: Load time of PNML (colored model parsed with PNMLFW) : 1462 ms
May 21, 2018 2:00:44 AM fr.lip6.move.gal.pnml.togal.HLGALTransformer handlePage
INFO: Transformed 81 places.
May 21, 2018 2:00:44 AM fr.lip6.move.gal.pnml.togal.HLGALTransformer handlePage
INFO: Computed order using colors.
May 21, 2018 2:00:44 AM fr.lip6.move.gal.pnml.togal.HLGALTransformer handlePage
INFO: sort/places :Threads->AwakeTasks,IdleTasks,NotifyEventJobQueuedE,QueueJobE,P_2321,TryAllocateOneTaskE,TryAllocateOneTaskB,P_2318,EnablePollingE,EnablePollingB,FetchJobB,ScheduleTaskE_Check,ScheduleTaskB,Abort_Check_Sources_E,Abort_Check_Sources_B,NotifyEventSourceAddedB,Insert_Source_E,NotifyEventSourceAddedE,P_1113,ProcessingEvt,P_1155,nyo,P_1158,P_1159,P_1160,NotifyEventEndOfCheckSourcesB,NotifyEventEndOfCheckSourcesE,Check_Sources_B,Check_Sources_E,Idle,NotifyEventJobCompletedE,NotifyEventJobCompletedB,RunE,RunB,Perform_Work_E,Try_Check_Sources_B,Try_Check_Sources_E,P_1617,ScheduleTaskE_Idle,
D4->DisablePollingE,DisablePollingB,Insert_Source_B,P_1119,P_2579,
D6->CreatedJobs,
Jobs->P_1725,P_1724,P_1712,AvailableJobId,
Dot->cJobCnt,JobCnt,cBlockedTasks,PollingAbort,cPollingAbort,BlockedTasks,MarkerWrite,P_1727,P_1726,MarkerRead,P_1717,P_1716,CanInjectEvent,SigAbort,NoSigAbort,P_1093,P_1095,P_1097,P_1099,cSources,cIsMonitoring,IsMonitoring,cIdleTasks,ORB_Lock,
D1->NotifyEventJobQueuedB,QueueJobB,FetchJobE,ScheduleTaskE_Work,
Sources->DataOnSrc,PlaceSources,ModifiedSrc,AbourtToModifySrc,

May 21, 2018 2:00:44 AM fr.lip6.move.gal.pnml.togal.HLGALTransformer detectBindingSymmetry
INFO: ms2 symmetric to ms1 in transition T_1124
May 21, 2018 2:00:44 AM fr.lip6.move.gal.pnml.togal.HLGALTransformer detectBindingSymmetry
INFO: ms2 symmetric to ms1 in transition T_1125
May 21, 2018 2:00:44 AM fr.lip6.move.gal.pnml.togal.HLGALTransformer detectBindingSymmetry
INFO: ms1 symmetric to ms3 in transition T_1125
May 21, 2018 2:00:44 AM fr.lip6.move.gal.pnml.togal.HLGALTransformer detectBindingSymmetry
INFO: ms4 symmetric to ms2 in transition T_1126
May 21, 2018 2:00:44 AM fr.lip6.move.gal.pnml.togal.HLGALTransformer detectBindingSymmetry
INFO: ms2 symmetric to ms1 in transition T_1126
May 21, 2018 2:00:44 AM fr.lip6.move.gal.pnml.togal.HLGALTransformer detectBindingSymmetry
INFO: ms1 symmetric to ms3 in transition T_1126
May 21, 2018 2:00:44 AM fr.lip6.move.gal.pnml.togal.HLGALTransformer handlePage
INFO: Transformed 65 transitions.
May 21, 2018 2:00:44 AM fr.lip6.move.gal.pnml.togal.PnmlToGalTransformer transform
INFO: Computed order based on color domains.
May 21, 2018 2:00:44 AM fr.lip6.move.serialization.SerializationUtil systemToFile
INFO: Time to serialize gal into /home/mcc/execution/model.pnml.img.gal : 21 ms
May 21, 2018 2:00:44 AM fr.lip6.move.gal.instantiate.Instantiator fuseEqualParameters
INFO: Fused parameters : $s and $s2 of transition T_0376
May 21, 2018 2:00:44 AM fr.lip6.move.gal.instantiate.Instantiator fuseEqualParameters
INFO: Fused parameters : $t and $t2 of transition LeaveIdle
May 21, 2018 2:00:45 AM fr.lip6.move.gal.instantiate.Instantiator instantiateParameters
INFO: On-the-fly reduction of False transitions avoided exploring 2168.0 instantiations of transitions. Total transitions/syncs built is 2168
May 21, 2018 2:00:45 AM fr.lip6.move.gal.instantiate.GALRewriter flatten
INFO: Flatten gal took : 665 ms
May 21, 2018 2:01:09 AM fr.lip6.move.gal.application.MccTranslator applyOrder
INFO: Applying decomposition
May 21, 2018 2:01:09 AM fr.lip6.move.gal.instantiate.CompositeBuilder decomposeWithOrder
INFO: Decomposing Gal with order
May 21, 2018 2:01:10 AM fr.lip6.move.gal.instantiate.GALRewriter flatten
INFO: Flatten gal took : 752 ms
May 21, 2018 2:01:10 AM fr.lip6.move.gal.instantiate.GALRewriter flatten
INFO: Flatten gal took : 619 ms
May 21, 2018 2:01:10 AM fr.lip6.move.gal.instantiate.CompositeBuilder rewriteArraysToAllowPartition
INFO: Rewriting arrays to variables to allow decomposition.
May 21, 2018 2:01:10 AM fr.lip6.move.gal.semantics.DeterministicNextBuilder getDeterministicNext
INFO: Input system was not deterministic with 1212 transitions. Expanding to a total of 2244 deterministic transitions.
May 21, 2018 2:01:10 AM fr.lip6.move.gal.semantics.DeterministicNextBuilder getDeterministicNext
INFO: Determinization took 36 ms.
May 21, 2018 2:01:10 AM fr.lip6.move.gal.gal2pins.Gal2PinsTransformerNext transform
INFO: Too many transitions (2100) to apply POR reductions. Disabling POR matrices.
May 21, 2018 2:01:11 AM fr.lip6.move.gal.semantics.DeterministicNextBuilder getDeterministicNext
INFO: Input system was not deterministic with 1212 transitions. Expanding to a total of 2244 deterministic transitions.
May 21, 2018 2:01:11 AM fr.lip6.move.gal.semantics.DeterministicNextBuilder getDeterministicNext
INFO: Determinization took 13 ms.
May 21, 2018 2:01:11 AM fr.lip6.move.gal.gal2pins.Gal2PinsTransformerNext transform
INFO: Built C files in 1978ms conformant to PINS in folder :/home/mcc/execution
May 21, 2018 2:01:12 AM fr.lip6.move.gal.instantiate.Instantiator fuseIsomorphicEffects
INFO: Removed a total of 5861 redundant transitions.
May 21, 2018 2:01:12 AM fr.lip6.move.serialization.SerializationUtil systemToFile
INFO: Time to serialize gal into /home/mcc/execution/ReachabilityFireability.pnml.gal : 64 ms
May 21, 2018 2:01:12 AM fr.lip6.move.serialization.SerializationUtil serializePropertiesForITSTools
INFO: Time to serialize properties into /home/mcc/execution/ReachabilityFireability.prop : 37 ms
May 21, 2018 2:01:13 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd checkProperties
INFO: Ran tautology test, simplified 0 / 16 in 2772 ms.
May 21, 2018 2:01:13 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property PolyORBLF-COL-S04J06T08-ReachabilityFireability-00(UNSAT) depth K=0 took 51 ms
May 21, 2018 2:01:13 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property PolyORBLF-COL-S04J06T08-ReachabilityFireability-01(UNSAT) depth K=0 took 19 ms
May 21, 2018 2:01:13 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property PolyORBLF-COL-S04J06T08-ReachabilityFireability-02(UNSAT) depth K=0 took 13 ms
May 21, 2018 2:01:13 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property PolyORBLF-COL-S04J06T08-ReachabilityFireability-03(UNSAT) depth K=0 took 25 ms
May 21, 2018 2:01:13 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property PolyORBLF-COL-S04J06T08-ReachabilityFireability-04(UNSAT) depth K=0 took 29 ms
May 21, 2018 2:01:13 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property PolyORBLF-COL-S04J06T08-ReachabilityFireability-05(UNSAT) depth K=0 took 17 ms
May 21, 2018 2:01:13 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property PolyORBLF-COL-S04J06T08-ReachabilityFireability-06(UNSAT) depth K=0 took 11 ms
May 21, 2018 2:01:13 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property PolyORBLF-COL-S04J06T08-ReachabilityFireability-07(UNSAT) depth K=0 took 16 ms
May 21, 2018 2:01:13 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property PolyORBLF-COL-S04J06T08-ReachabilityFireability-08(UNSAT) depth K=0 took 14 ms
May 21, 2018 2:01:13 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property PolyORBLF-COL-S04J06T08-ReachabilityFireability-09(UNSAT) depth K=0 took 11 ms
May 21, 2018 2:01:13 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property PolyORBLF-COL-S04J06T08-ReachabilityFireability-10(UNSAT) depth K=0 took 19 ms
May 21, 2018 2:01:13 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property PolyORBLF-COL-S04J06T08-ReachabilityFireability-11(UNSAT) depth K=0 took 11 ms
May 21, 2018 2:01:13 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property PolyORBLF-COL-S04J06T08-ReachabilityFireability-12(UNSAT) depth K=0 took 15 ms
May 21, 2018 2:01:13 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property PolyORBLF-COL-S04J06T08-ReachabilityFireability-13(UNSAT) depth K=0 took 11 ms
May 21, 2018 2:01:13 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property PolyORBLF-COL-S04J06T08-ReachabilityFireability-14(UNSAT) depth K=0 took 15 ms
May 21, 2018 2:01:13 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property PolyORBLF-COL-S04J06T08-ReachabilityFireability-15(UNSAT) depth K=0 took 10 ms
May 21, 2018 2:01:13 AM fr.lip6.move.gal.semantics.DeterministicNextBuilder getDeterministicNext
INFO: Input system was not deterministic with 1212 transitions. Expanding to a total of 2244 deterministic transitions.
May 21, 2018 2:01:13 AM fr.lip6.move.gal.semantics.DeterministicNextBuilder getDeterministicNext
INFO: Determinization took 8 ms.
May 21, 2018 2:01:13 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property PolyORBLF-COL-S04J06T08-ReachabilityFireability-00(UNSAT) depth K=1 took 18 ms
May 21, 2018 2:01:13 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property PolyORBLF-COL-S04J06T08-ReachabilityFireability-01(UNSAT) depth K=1 took 1 ms
May 21, 2018 2:01:13 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property PolyORBLF-COL-S04J06T08-ReachabilityFireability-02(UNSAT) depth K=1 took 9 ms
May 21, 2018 2:01:13 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property PolyORBLF-COL-S04J06T08-ReachabilityFireability-03(UNSAT) depth K=1 took 92 ms
May 21, 2018 2:01:13 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property PolyORBLF-COL-S04J06T08-ReachabilityFireability-04(UNSAT) depth K=1 took 55 ms
May 21, 2018 2:01:13 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property PolyORBLF-COL-S04J06T08-ReachabilityFireability-05(UNSAT) depth K=1 took 10 ms
May 21, 2018 2:01:13 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property PolyORBLF-COL-S04J06T08-ReachabilityFireability-06(UNSAT) depth K=1 took 15 ms
May 21, 2018 2:01:13 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property PolyORBLF-COL-S04J06T08-ReachabilityFireability-07(UNSAT) depth K=1 took 36 ms
May 21, 2018 2:01:14 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property PolyORBLF-COL-S04J06T08-ReachabilityFireability-08(UNSAT) depth K=1 took 20 ms
May 21, 2018 2:01:14 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property PolyORBLF-COL-S04J06T08-ReachabilityFireability-09(UNSAT) depth K=1 took 19 ms
May 21, 2018 2:01:14 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property PolyORBLF-COL-S04J06T08-ReachabilityFireability-10(UNSAT) depth K=1 took 48 ms
May 21, 2018 2:01:14 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property PolyORBLF-COL-S04J06T08-ReachabilityFireability-11(UNSAT) depth K=1 took 36 ms
May 21, 2018 2:01:14 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property PolyORBLF-COL-S04J06T08-ReachabilityFireability-12(UNSAT) depth K=1 took 12 ms
May 21, 2018 2:01:14 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property PolyORBLF-COL-S04J06T08-ReachabilityFireability-13(UNSAT) depth K=1 took 16 ms
May 21, 2018 2:01:14 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property PolyORBLF-COL-S04J06T08-ReachabilityFireability-14(UNSAT) depth K=1 took 11 ms
May 21, 2018 2:01:14 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property PolyORBLF-COL-S04J06T08-ReachabilityFireability-15(UNSAT) depth K=1 took 11 ms
May 21, 2018 2:01:16 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property PolyORBLF-COL-S04J06T08-ReachabilityFireability-00(UNSAT) depth K=2 took 1850 ms
May 21, 2018 2:01:16 AM fr.lip6.move.gal.gal2smt.bmc.KInductionSolver computeAndDeclareInvariants
INFO: Computed 58 place invariants in 1977 ms
May 21, 2018 2:01:16 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property PolyORBLF-COL-S04J06T08-ReachabilityFireability-01(UNSAT) depth K=2 took 787 ms
May 21, 2018 2:01:17 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property PolyORBLF-COL-S04J06T08-ReachabilityFireability-02(UNSAT) depth K=2 took 895 ms
May 21, 2018 2:01:25 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property PolyORBLF-COL-S04J06T08-ReachabilityFireability-03(UNSAT) depth K=2 took 7537 ms
May 21, 2018 2:01:26 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property PolyORBLF-COL-S04J06T08-ReachabilityFireability-04(UNSAT) depth K=2 took 1510 ms
May 21, 2018 2:01:27 AM fr.lip6.move.gal.gal2smt.bmc.KInductionSolver init
INFO: Proved 792 variables to be positive in 12235 ms
May 21, 2018 2:01:28 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property PolyORBLF-COL-S04J06T08-ReachabilityFireability-05(UNSAT) depth K=2 took 1430 ms
May 21, 2018 2:01:29 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property PolyORBLF-COL-S04J06T08-ReachabilityFireability-06(UNSAT) depth K=2 took 1317 ms
May 21, 2018 2:01:31 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property PolyORBLF-COL-S04J06T08-ReachabilityFireability-07(UNSAT) depth K=2 took 1935 ms
May 21, 2018 2:01:33 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property PolyORBLF-COL-S04J06T08-ReachabilityFireability-08(UNSAT) depth K=2 took 2221 ms
May 21, 2018 2:01:34 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property PolyORBLF-COL-S04J06T08-ReachabilityFireability-09(UNSAT) depth K=2 took 479 ms
May 21, 2018 2:01:36 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property PolyORBLF-COL-S04J06T08-ReachabilityFireability-10(UNSAT) depth K=2 took 2173 ms
May 21, 2018 2:01:36 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property PolyORBLF-COL-S04J06T08-ReachabilityFireability-11(UNSAT) depth K=2 took 449 ms
May 21, 2018 2:01:39 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property PolyORBLF-COL-S04J06T08-ReachabilityFireability-12(UNSAT) depth K=2 took 2258 ms
May 21, 2018 2:01:39 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property PolyORBLF-COL-S04J06T08-ReachabilityFireability-13(UNSAT) depth K=2 took 673 ms
May 21, 2018 2:01:40 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property PolyORBLF-COL-S04J06T08-ReachabilityFireability-14(UNSAT) depth K=2 took 406 ms
May 21, 2018 2:01:40 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property PolyORBLF-COL-S04J06T08-ReachabilityFireability-15(UNSAT) depth K=2 took 765 ms
May 21, 2018 2:01:47 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property PolyORBLF-COL-S04J06T08-ReachabilityFireability-00(UNSAT) depth K=3 took 6050 ms
May 21, 2018 2:01:51 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property PolyORBLF-COL-S04J06T08-ReachabilityFireability-01(UNSAT) depth K=3 took 4206 ms
May 21, 2018 2:02:45 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property PolyORBLF-COL-S04J06T08-ReachabilityFireability-02(UNSAT) depth K=3 took 54585 ms
May 21, 2018 2:02:56 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesPolyORBLF-COL-S04J06T08-ReachabilityFireability-00
May 21, 2018 2:02:56 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property PolyORBLF-COL-S04J06T08-ReachabilityFireability-00(SAT) depth K=0 took 89613 ms
May 21, 2018 2:03:33 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property PolyORBLF-COL-S04J06T08-ReachabilityFireability-03(UNSAT) depth K=3 took 48039 ms
May 21, 2018 2:04:11 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesPolyORBLF-COL-S04J06T08-ReachabilityFireability-01
May 21, 2018 2:04:11 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property PolyORBLF-COL-S04J06T08-ReachabilityFireability-01(SAT) depth K=0 took 74741 ms
May 21, 2018 2:04:20 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property PolyORBLF-COL-S04J06T08-ReachabilityFireability-04(UNSAT) depth K=3 took 46557 ms
May 21, 2018 2:04:24 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property PolyORBLF-COL-S04J06T08-ReachabilityFireability-05(UNSAT) depth K=3 took 4275 ms
May 21, 2018 2:05:22 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property PolyORBLF-COL-S04J06T08-ReachabilityFireability-06(UNSAT) depth K=3 took 57938 ms
May 21, 2018 2:06:50 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property PolyORBLF-COL-S04J06T08-ReachabilityFireability-07(UNSAT) depth K=3 took 87426 ms
May 21, 2018 2:06:58 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property PolyORBLF-COL-S04J06T08-ReachabilityFireability-08(UNSAT) depth K=3 took 8590 ms
May 21, 2018 2:07:00 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesPolyORBLF-COL-S04J06T08-ReachabilityFireability-02
May 21, 2018 2:07:00 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property PolyORBLF-COL-S04J06T08-ReachabilityFireability-02(SAT) depth K=0 took 169331 ms
May 21, 2018 2:07:02 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property PolyORBLF-COL-S04J06T08-ReachabilityFireability-09(UNSAT) depth K=3 took 4010 ms
May 21, 2018 2:07:19 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property PolyORBLF-COL-S04J06T08-ReachabilityFireability-10(UNSAT) depth K=3 took 17150 ms
May 21, 2018 2:07:27 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property PolyORBLF-COL-S04J06T08-ReachabilityFireability-11(UNSAT) depth K=3 took 7301 ms
May 21, 2018 2:07:33 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property PolyORBLF-COL-S04J06T08-ReachabilityFireability-12(UNSAT) depth K=3 took 6355 ms
May 21, 2018 2:07:36 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property PolyORBLF-COL-S04J06T08-ReachabilityFireability-13(UNSAT) depth K=3 took 3028 ms
May 21, 2018 2:07:43 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property PolyORBLF-COL-S04J06T08-ReachabilityFireability-14(UNSAT) depth K=3 took 6891 ms
May 21, 2018 2:07:46 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property PolyORBLF-COL-S04J06T08-ReachabilityFireability-15(UNSAT) depth K=3 took 3214 ms
May 21, 2018 2:08:14 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesPolyORBLF-COL-S04J06T08-ReachabilityFireability-03
May 21, 2018 2:08:14 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property PolyORBLF-COL-S04J06T08-ReachabilityFireability-03(SAT) depth K=0 took 73485 ms
pins2lts-mc, 0.000: Registering PINS so language module
pins2lts-mc, 0.000, ** error **: out of memory trying to get 4294967296
java.lang.RuntimeException: Unexpected exception when executing ltsmin :CommandLine [args=[/home/mcc/BenchKit//lts_install_dir//bin/pins2lts-mc, ./gal.so, --threads=1, -p, --pins-guards, --when, -i, PolyORBLFCOLS04J06T08ReachabilityFireability02==true], workingDir=/home/mcc/execution]
255
at fr.lip6.move.gal.application.LTSminRunner.checkProperty(LTSminRunner.java:167)
at fr.lip6.move.gal.application.LTSminRunner.access$9(LTSminRunner.java:122)
at fr.lip6.move.gal.application.LTSminRunner$1.run(LTSminRunner.java:91)
at java.lang.Thread.run(Thread.java:748)
May 21, 2018 2:10:39 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is UNSAT, proved UNreachability of reachability predicate PolyORBLF-COL-S04J06T08-ReachabilityFireability-04
May 21, 2018 2:10:39 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is UNSAT, successfully proved induction at step 0 for PolyORBLF-COL-S04J06T08-ReachabilityFireability-04
May 21, 2018 2:10:39 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property PolyORBLF-COL-S04J06T08-ReachabilityFireability-04(FALSE) depth K=0 took 145617 ms
ITS-tools command line returned an error code 137
May 21, 2018 2:11:20 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property PolyORBLF-COL-S04J06T08-ReachabilityFireability-00(UNSAT) depth K=4 took 214108 ms
May 21, 2018 2:12:09 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesPolyORBLF-COL-S04J06T08-ReachabilityFireability-05
May 21, 2018 2:12:09 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property PolyORBLF-COL-S04J06T08-ReachabilityFireability-05(SAT) depth K=0 took 89415 ms
May 21, 2018 2:14:13 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesPolyORBLF-COL-S04J06T08-ReachabilityFireability-06
May 21, 2018 2:14:13 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property PolyORBLF-COL-S04J06T08-ReachabilityFireability-06(SAT) depth K=0 took 124303 ms
May 21, 2018 2:16:00 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesPolyORBLF-COL-S04J06T08-ReachabilityFireability-07
May 21, 2018 2:16:00 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property PolyORBLF-COL-S04J06T08-ReachabilityFireability-07(SAT) depth K=0 took 107122 ms
May 21, 2018 2:16:38 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property PolyORBLF-COL-S04J06T08-ReachabilityFireability-01(UNSAT) depth K=4 took 318022 ms
May 21, 2018 2:16:57 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesPolyORBLF-COL-S04J06T08-ReachabilityFireability-08
May 21, 2018 2:16:57 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property PolyORBLF-COL-S04J06T08-ReachabilityFireability-08(SAT) depth K=0 took 56802 ms
May 21, 2018 2:17:35 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is UNSAT, proved UNreachability of reachability predicate PolyORBLF-COL-S04J06T08-ReachabilityFireability-09
May 21, 2018 2:17:35 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is UNSAT, successfully proved induction at step 0 for PolyORBLF-COL-S04J06T08-ReachabilityFireability-09
May 21, 2018 2:17:35 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property PolyORBLF-COL-S04J06T08-ReachabilityFireability-09(FALSE) depth K=0 took 37536 ms
May 21, 2018 2:18:36 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesPolyORBLF-COL-S04J06T08-ReachabilityFireability-10
May 21, 2018 2:18:36 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property PolyORBLF-COL-S04J06T08-ReachabilityFireability-10(SAT) depth K=0 took 60957 ms
May 21, 2018 2:19:38 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property PolyORBLF-COL-S04J06T08-ReachabilityFireability-02(UNSAT) depth K=4 took 179477 ms
May 21, 2018 2:21:08 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is UNSAT, proved invariant PolyORBLF-COL-S04J06T08-ReachabilityFireability-11
May 21, 2018 2:21:08 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is UNSAT, successfully proved induction at step 0 for PolyORBLF-COL-S04J06T08-ReachabilityFireability-11
May 21, 2018 2:21:08 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property PolyORBLF-COL-S04J06T08-ReachabilityFireability-11(TRUE) depth K=0 took 151987 ms
May 21, 2018 2:22:06 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property PolyORBLF-COL-S04J06T08-ReachabilityFireability-03(UNSAT) depth K=4 took 148491 ms
May 21, 2018 2:22:54 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property PolyORBLF-COL-S04J06T08-ReachabilityFireability-04(UNSAT) depth K=4 took 47276 ms
May 21, 2018 2:26:07 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property PolyORBLF-COL-S04J06T08-ReachabilityFireability-05(UNSAT) depth K=4 took 193409 ms
May 21, 2018 2:26:10 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesPolyORBLF-COL-S04J06T08-ReachabilityFireability-12
May 21, 2018 2:26:10 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property PolyORBLF-COL-S04J06T08-ReachabilityFireability-12(SAT) depth K=0 took 302035 ms
May 21, 2018 2:27:50 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesPolyORBLF-COL-S04J06T08-ReachabilityFireability-13
May 21, 2018 2:27:50 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property PolyORBLF-COL-S04J06T08-ReachabilityFireability-13(SAT) depth K=0 took 100221 ms
May 21, 2018 2:28:15 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property PolyORBLF-COL-S04J06T08-ReachabilityFireability-06(UNSAT) depth K=4 took 128226 ms
May 21, 2018 2:29:15 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesPolyORBLF-COL-S04J06T08-ReachabilityFireability-14
May 21, 2018 2:29:15 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property PolyORBLF-COL-S04J06T08-ReachabilityFireability-14(SAT) depth K=0 took 84783 ms
May 21, 2018 2:31:35 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property PolyORBLF-COL-S04J06T08-ReachabilityFireability-07(UNSAT) depth K=4 took 199702 ms
May 21, 2018 2:34:09 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property PolyORBLF-COL-S04J06T08-ReachabilityFireability-08(UNSAT) depth K=4 took 154506 ms
May 21, 2018 2:35:20 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is UNSAT, proved invariant PolyORBLF-COL-S04J06T08-ReachabilityFireability-15
May 21, 2018 2:35:20 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is UNSAT, successfully proved induction at step 0 for PolyORBLF-COL-S04J06T08-ReachabilityFireability-15
May 21, 2018 2:35:20 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property PolyORBLF-COL-S04J06T08-ReachabilityFireability-15(TRUE) depth K=0 took 365546 ms
May 21, 2018 2:36:16 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property PolyORBLF-COL-S04J06T08-ReachabilityFireability-09(UNSAT) depth K=4 took 127102 ms
May 21, 2018 2:39:23 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property PolyORBLF-COL-S04J06T08-ReachabilityFireability-10(UNSAT) depth K=4 took 186558 ms
May 21, 2018 2:41:10 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property PolyORBLF-COL-S04J06T08-ReachabilityFireability-11(UNSAT) depth K=4 took 106795 ms
May 21, 2018 2:42:41 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property PolyORBLF-COL-S04J06T08-ReachabilityFireability-12(UNSAT) depth K=4 took 91665 ms
May 21, 2018 2:46:16 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property PolyORBLF-COL-S04J06T08-ReachabilityFireability-13(UNSAT) depth K=4 took 214348 ms
May 21, 2018 2:49:13 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property PolyORBLF-COL-S04J06T08-ReachabilityFireability-14(UNSAT) depth K=4 took 177111 ms
May 21, 2018 2:50:46 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property PolyORBLF-COL-S04J06T08-ReachabilityFireability-15(UNSAT) depth K=4 took 92986 ms
May 21, 2018 2:57:45 AM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property PolyORBLF-COL-S04J06T08-ReachabilityFireability-00(UNSAT) depth K=5 took 419236 ms

Sequence of Actions to be Executed by the VM

This is useful if one wants to reexecute the tool in the VM from the submitted image disk.

set -x
# this is for BenchKit: configuration of major elements for the test
export BK_INPUT="PolyORBLF-COL-S04J06T08"
export BK_EXAMINATION="ReachabilityFireability"
export BK_TOOL="itstools"
export BK_RESULT_DIR="/tmp/BK_RESULTS/OUTPUTS"
export BK_TIME_CONFINEMENT="3600"
export BK_MEMORY_CONFINEMENT="16384"

# this is specific to your benchmark or test

export BIN_DIR="$HOME/BenchKit/bin"

# remove the execution directoty if it exists (to avoid increse of .vmdk images)
if [ -d execution ] ; then
rm -rf execution
fi

tar xzf /home/mcc/BenchKit/INPUTS/PolyORBLF-COL-S04J06T08.tgz
mv PolyORBLF-COL-S04J06T08 execution
cd execution
pwd
ls -lh

# this is for BenchKit: explicit launching of the test
echo "====================================================================="
echo " Generated by BenchKit 2-3637"
echo " Executing tool itstools"
echo " Input is PolyORBLF-COL-S04J06T08, examination is ReachabilityFireability"
echo " Time confinement is $BK_TIME_CONFINEMENT seconds"
echo " Memory confinement is 16384 MBytes"
echo " Number of cores is 4"
echo " Run identifier is r128-smll-152673530200084"
echo "====================================================================="
echo
echo "--------------------"
echo "content from stdout:"
echo
echo "=== Data for post analysis generated by BenchKit (invocation template)"
echo
if [ "ReachabilityFireability" = "UpperBounds" ] ; then
echo "The expected result is a vector of positive values"
echo NUM_VECTOR
elif [ "ReachabilityFireability" != "StateSpace" ] ; then
echo "The expected result is a vector of booleans"
echo BOOL_VECTOR
else
echo "no data necessary for post analysis"
fi
echo
if [ -f "ReachabilityFireability.txt" ] ; then
echo "here is the order used to build the result vector(from text file)"
for x in $(grep Property ReachabilityFireability.txt | cut -d ' ' -f 2 | sort -u) ; do
echo "FORMULA_NAME $x"
done
elif [ -f "ReachabilityFireability.xml" ] ; then # for cunf (txt files deleted;-)
echo echo "here is the order used to build the result vector(from xml file)"
for x in $(grep '' ReachabilityFireability.xml | cut -d '>' -f 2 | cut -d '<' -f 1 | sort -u) ; do
echo "FORMULA_NAME $x"
done
fi
echo
echo "=== Now, execution of the tool begins"
echo
echo -n "BK_START "
date -u +%s%3N
echo
timeout -s 9 $BK_TIME_CONFINEMENT bash -c "/home/mcc/BenchKit/BenchKit_head.sh 2> STDERR ; echo ; echo -n \"BK_STOP \" ; date -u +%s%3N"
if [ $? -eq 137 ] ; then
echo
echo "BK_TIME_CONFINEMENT_REACHED"
fi
echo
echo "--------------------"
echo "content from stderr:"
echo
cat STDERR ;