fond
Model Checking Contest 2018
8th edition, Bratislava, Slovakia, June 26, 2018
Execution of r033-ebro-152646311200322
Last Updated
June 26, 2018

About the Execution of ITS-Tools.L for BridgeAndVehicles-PT-V50P20N20

Execution Summary
Max Memory
Used (MB)
Time wait (ms) CPU Usage (ms) I/O Wait (ms) Computed Result Execution
Status
15752.180 3600000.00 14381206.00 204.80 ?FF?F?T?????T?T? normal

Execution Chart

We display below the execution chart for this examination (boot time has been removed).

Trace from the execution

Waiting for the VM to be ready (probing ssh)
....................
/home/mcc/execution
total 4.1M
-rw-r--r-- 1 mcc users 13K May 15 18:54 CTLCardinality.txt
-rw-r--r-- 1 mcc users 44K May 15 18:54 CTLCardinality.xml
-rw-r--r-- 1 mcc users 179K May 15 18:54 CTLFireability.txt
-rw-r--r-- 1 mcc users 524K May 15 18:54 CTLFireability.xml
-rw-r--r-- 1 mcc users 4.0K May 15 18:49 GenericPropertiesDefinition.xml
-rw-r--r-- 1 mcc users 6.1K May 15 18:49 GenericPropertiesVerdict.xml
-rw-r--r-- 1 mcc users 5.4K May 15 18:54 LTLCardinality.txt
-rw-r--r-- 1 mcc users 17K May 15 18:54 LTLCardinality.xml
-rw-r--r-- 1 mcc users 101K May 15 18:54 LTLFireability.txt
-rw-r--r-- 1 mcc users 281K May 15 18:54 LTLFireability.xml
-rw-r--r-- 1 mcc users 8.9K May 15 18:54 ReachabilityCardinality.txt
-rw-r--r-- 1 mcc users 33K May 15 18:54 ReachabilityCardinality.xml
-rw-r--r-- 1 mcc users 121 May 15 18:54 ReachabilityDeadlock.txt
-rw-r--r-- 1 mcc users 359 May 15 18:54 ReachabilityDeadlock.xml
-rw-r--r-- 1 mcc users 73K May 15 18:54 ReachabilityFireability.txt
-rw-r--r-- 1 mcc users 217K May 15 18:54 ReachabilityFireability.xml
-rw-r--r-- 1 mcc users 3.4K May 15 18:54 UpperBounds.txt
-rw-r--r-- 1 mcc users 7.2K May 15 18:54 UpperBounds.xml
-rw-r--r-- 1 mcc users 5 May 15 18:49 equiv_col
-rw-r--r-- 1 mcc users 10 May 15 18:49 instance
-rw-r--r-- 1 mcc users 6 May 15 18:49 iscolored
-rw-r--r-- 1 mcc users 2.6M May 15 18:49 model.pnml
=====================================================================
Generated by BenchKit 2-3637
Executing tool itstoolsl
Input is BridgeAndVehicles-PT-V50P20N20, examination is ReachabilityFireability
Time confinement is 3600 seconds
Memory confinement is 16384 MBytes
Number of cores is 4
Run identifier is r033-ebro-152646311200322
=====================================================================


--------------------
content from stdout:

=== Data for post analysis generated by BenchKit (invocation template)

The expected result is a vector of booleans
BOOL_VECTOR

here is the order used to build the result vector(from text file)
FORMULA_NAME BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-00
FORMULA_NAME BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-01
FORMULA_NAME BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-02
FORMULA_NAME BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-03
FORMULA_NAME BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-04
FORMULA_NAME BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-05
FORMULA_NAME BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-06
FORMULA_NAME BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-07
FORMULA_NAME BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-08
FORMULA_NAME BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-09
FORMULA_NAME BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-10
FORMULA_NAME BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-11
FORMULA_NAME BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-12
FORMULA_NAME BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-13
FORMULA_NAME BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-14
FORMULA_NAME BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-15

=== Now, execution of the tool begins

BK_START 1527358149649

Using solver Z3 to compute partial order matrices.
Built C files in :
/home/mcc/execution
Running compilation step : CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit//lts_install_dir//include, -I., -std=c99, -fPIC, -O3, model.c], workingDir=/home/mcc/execution]
Converted graph to binary with : CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.louvain.binaries_1.0.0.201805241334/bin/convert-linux64, -i, /tmp/graph8732107003149694137.txt, -o, /tmp/graph8732107003149694137.bin, -w, /tmp/graph8732107003149694137.weights], workingDir=null]
Built communities with : CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.louvain.binaries_1.0.0.201805241334/bin/louvain-linux64, /tmp/graph8732107003149694137.bin, -l, -1, -v, -w, /tmp/graph8732107003149694137.weights, -q, 0, -e, 0.001], workingDir=null]
Presburger conditions satisfied. Using coverability to approximate state space in K-Induction.
Normalized transition count is 290
// Phase 1: matrix 290 rows 138 cols
invariant :ROUTE_A + ATTENTE_A + SORTI_A + -1'CAPACITE + ATTENTE_B + SORTI_B + ROUTE_B = 80
invariant :NB_ATTENTE_B_0 + NB_ATTENTE_B_1 + NB_ATTENTE_B_2 + NB_ATTENTE_B_3 + NB_ATTENTE_B_4 + NB_ATTENTE_B_5 + NB_ATTENTE_B_6 + NB_ATTENTE_B_7 + NB_ATTENTE_B_8 + NB_ATTENTE_B_9 + NB_ATTENTE_B_10 + NB_ATTENTE_B_11 + NB_ATTENTE_B_12 + NB_ATTENTE_B_13 + NB_ATTENTE_B_14 + NB_ATTENTE_B_15 + NB_ATTENTE_B_16 + NB_ATTENTE_B_17 + NB_ATTENTE_B_18 + NB_ATTENTE_B_19 + NB_ATTENTE_B_20 + NB_ATTENTE_B_21 + NB_ATTENTE_B_22 + NB_ATTENTE_B_23 + NB_ATTENTE_B_24 + NB_ATTENTE_B_25 + NB_ATTENTE_B_26 + NB_ATTENTE_B_27 + NB_ATTENTE_B_28 + NB_ATTENTE_B_29 + NB_ATTENTE_B_30 + NB_ATTENTE_B_31 + NB_ATTENTE_B_32 + NB_ATTENTE_B_33 + NB_ATTENTE_B_34 + NB_ATTENTE_B_35 + NB_ATTENTE_B_36 + NB_ATTENTE_B_37 + NB_ATTENTE_B_38 + NB_ATTENTE_B_39 + NB_ATTENTE_B_40 + NB_ATTENTE_B_41 + NB_ATTENTE_B_42 + NB_ATTENTE_B_43 + NB_ATTENTE_B_44 + NB_ATTENTE_B_45 + NB_ATTENTE_B_46 + NB_ATTENTE_B_47 + NB_ATTENTE_B_48 + NB_ATTENTE_B_49 + NB_ATTENTE_B_50 = 1
invariant :CONTROLEUR_1 + CONTROLEUR_2 + CHOIX_1 + CHOIX_2 + VIDANGE_1 + VIDANGE_2 = 1
invariant :COMPTEUR_0 + COMPTEUR_1 + COMPTEUR_2 + COMPTEUR_3 + COMPTEUR_4 + COMPTEUR_5 + COMPTEUR_6 + COMPTEUR_7 + COMPTEUR_8 + COMPTEUR_9 + COMPTEUR_10 + COMPTEUR_11 + COMPTEUR_12 + COMPTEUR_13 + COMPTEUR_14 + COMPTEUR_15 + COMPTEUR_16 + COMPTEUR_17 + COMPTEUR_18 + COMPTEUR_19 + COMPTEUR_20 = 1
invariant :ATTENTE_B + SUR_PONT_B + SORTI_B + ROUTE_B = 50
invariant :SUR_PONT_A + CAPACITE + -1'ATTENTE_B + -1'SORTI_B + -1'ROUTE_B = -30
invariant :NB_ATTENTE_A_0 + NB_ATTENTE_A_1 + NB_ATTENTE_A_2 + NB_ATTENTE_A_3 + NB_ATTENTE_A_4 + NB_ATTENTE_A_5 + NB_ATTENTE_A_6 + NB_ATTENTE_A_7 + NB_ATTENTE_A_8 + NB_ATTENTE_A_9 + NB_ATTENTE_A_10 + NB_ATTENTE_A_11 + NB_ATTENTE_A_12 + NB_ATTENTE_A_13 + NB_ATTENTE_A_14 + NB_ATTENTE_A_15 + NB_ATTENTE_A_16 + NB_ATTENTE_A_17 + NB_ATTENTE_A_18 + NB_ATTENTE_A_19 + NB_ATTENTE_A_20 + NB_ATTENTE_A_21 + NB_ATTENTE_A_22 + NB_ATTENTE_A_23 + NB_ATTENTE_A_24 + NB_ATTENTE_A_25 + NB_ATTENTE_A_26 + NB_ATTENTE_A_27 + NB_ATTENTE_A_28 + NB_ATTENTE_A_29 + NB_ATTENTE_A_30 + NB_ATTENTE_A_31 + NB_ATTENTE_A_32 + NB_ATTENTE_A_33 + NB_ATTENTE_A_34 + NB_ATTENTE_A_35 + NB_ATTENTE_A_36 + NB_ATTENTE_A_37 + NB_ATTENTE_A_38 + NB_ATTENTE_A_39 + NB_ATTENTE_A_40 + NB_ATTENTE_A_41 + NB_ATTENTE_A_42 + NB_ATTENTE_A_43 + NB_ATTENTE_A_44 + NB_ATTENTE_A_45 + NB_ATTENTE_A_46 + NB_ATTENTE_A_47 + NB_ATTENTE_A_48 + NB_ATTENTE_A_49 + NB_ATTENTE_A_50 = 1
FORMULA BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-01 FALSE TECHNIQUES SAT_SMT K_INDUCTION(0)
FORMULA BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-02 FALSE TECHNIQUES SAT_SMT K_INDUCTION(0)
Invoking ITS tools like this :CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.201805241334/bin/its-reach-linux64, --gc-threshold, 2000000, --quiet, -i, /home/mcc/execution/ReachabilityFireability.pnml.gal, -t, CGAL, -reachable-file, ReachabilityFireability.prop, --nowitness], workingDir=/home/mcc/execution]

FORMULA BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-04 FALSE TECHNIQUES SAT_SMT K_INDUCTION(0)
its-reach command run as :

/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.201805241334/bin/its-reach-linux64 --gc-threshold 2000000 --quiet -i /home/mcc/execution/ReachabilityFireability.pnml.gal -t CGAL -reachable-file ReachabilityFireability.prop --nowitness
FORMULA BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-06 TRUE TECHNIQUES SAT_SMT K_INDUCTION(0)
Loading property file ReachabilityFireability.prop.
Read [reachable] property : BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-00 with value :(!(((((((((((((((((((((((((((((((((((((((((((((((((((((i0.u0.ROUTE_A>=1)&&(i1.u5.NB_ATTENTE_A_0>=1))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_1>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_2>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_3>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_4>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_5>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_6>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_7>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_8>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_9>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_10>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_11>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_12>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_13>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_14>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_15>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_16>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_17>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_18>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_19>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_20>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_21>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_22>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_23>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_24>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_25>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_26>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_27>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_28>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_29>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_30>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_31>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_32>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_33>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_34>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_35>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_36>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_37>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_38>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_39>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_40>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_41>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_42>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_43>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_44>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_45>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_46>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_47>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_48>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_49>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_50>=1)))||(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((i2.u4.NB_ATTENTE_A_1>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_0>=1))||((((i2.u4.NB_ATTENTE_A_1>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_1>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i2.u4.NB_ATTENTE_A_1>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i2.u4.NB_ATTENTE_A_1>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i2.u4.NB_ATTENTE_A_1>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i2.u4.NB_ATTENTE_A_1>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i2.u4.NB_ATTENTE_A_1>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i2.u4.NB_ATTENTE_A_1>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i2.u4.NB_ATTENTE_A_1>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i2.u4.NB_ATTENTE_A_1>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i2.u4.NB_ATTENTE_A_1>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i2.u4.NB_ATTENTE_A_1>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i2.u4.NB_ATTENTE_A_1>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i2.u4.NB_ATTENTE_A_1>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i2.u4.NB_ATTENTE_A_1>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i2.u4.NB_ATTENTE_A_1>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i2.u4.NB_ATTENTE_A_1>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i2.u4.NB_ATTENTE_A_1>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i2.u4.NB_ATTENTE_A_1>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i2.u4.NB_ATTENTE_A_1>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_20>=1)))||((((i2.u4.NB_ATTENTE_A_2>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((i2.u4.NB_ATTENTE_A_2>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_2>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i2.u4.NB_ATTENTE_A_2>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i2.u4.NB_ATTENTE_A_2>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i2.u4.NB_ATTENTE_A_2>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i2.u4.NB_ATTENTE_A_2>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i2.u4.NB_ATTENTE_A_2>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i2.u4.NB_ATTENTE_A_2>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i2.u4.NB_ATTENTE_A_2>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i2.u4.NB_ATTENTE_A_2>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i2.u4.NB_ATTENTE_A_2>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i2.u4.NB_ATTENTE_A_2>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i2.u4.NB_ATTENTE_A_2>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i2.u4.NB_ATTENTE_A_2>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i2.u4.NB_ATTENTE_A_2>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i2.u4.NB_ATTENTE_A_2>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i2.u4.NB_ATTENTE_A_2>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i2.u4.NB_ATTENTE_A_2>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i2.u4.NB_ATTENTE_A_2>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i2.u4.NB_ATTENTE_A_2>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_20>=1)))||((((i2.u4.NB_ATTENTE_A_3>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((i2.u4.NB_ATTENTE_A_3>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_3>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i2.u4.NB_ATTENTE_A_3>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i2.u4.NB_ATTENTE_A_3>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i2.u4.NB_ATTENTE_A_3>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i2.u4.NB_ATTENTE_A_3>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i2.u4.NB_ATTENTE_A_3>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i2.u4.NB_ATTENTE_A_3>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i2.u4.NB_ATTENTE_A_3>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i2.u4.NB_ATTENTE_A_3>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i2.u4.NB_ATTENTE_A_3>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i2.u4.NB_ATTENTE_A_3>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i2.u4.NB_ATTENTE_A_3>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i2.u4.NB_ATTENTE_A_3>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i2.u4.NB_ATTENTE_A_3>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i2.u4.NB_ATTENTE_A_3>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i2.u4.NB_ATTENTE_A_3>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i2.u4.NB_ATTENTE_A_3>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i2.u4.NB_ATTENTE_A_3>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i2.u4.NB_ATTENTE_A_3>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_20>=1)))||((((i2.u4.NB_ATTENTE_A_4>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((i2.u4.NB_ATTENTE_A_4>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_4>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i2.u4.NB_ATTENTE_A_4>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i2.u4.NB_ATTENTE_A_4>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i2.u4.NB_ATTENTE_A_4>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i2.u4.NB_ATTENTE_A_4>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i2.u4.NB_ATTENTE_A_4>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i2.u4.NB_ATTENTE_A_4>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i2.u4.NB_ATTENTE_A_4>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i2.u4.NB_ATTENTE_A_4>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i2.u4.NB_ATTENTE_A_4>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i2.u4.NB_ATTENTE_A_4>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i2.u4.NB_ATTENTE_A_4>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i2.u4.NB_ATTENTE_A_4>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i2.u4.NB_ATTENTE_A_4>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i2.u4.NB_ATTENTE_A_4>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i2.u4.NB_ATTENTE_A_4>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i2.u4.NB_ATTENTE_A_4>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i2.u4.NB_ATTENTE_A_4>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i2.u4.NB_ATTENTE_A_4>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_20>=1)))||((((i2.u4.NB_ATTENTE_A_5>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((i2.u4.NB_ATTENTE_A_5>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_5>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i2.u4.NB_ATTENTE_A_5>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i2.u4.NB_ATTENTE_A_5>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i2.u4.NB_ATTENTE_A_5>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i2.u4.NB_ATTENTE_A_5>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i2.u4.NB_ATTENTE_A_5>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i2.u4.NB_ATTENTE_A_5>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i2.u4.NB_ATTENTE_A_5>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i2.u4.NB_ATTENTE_A_5>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i2.u4.NB_ATTENTE_A_5>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i2.u4.NB_ATTENTE_A_5>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i2.u4.NB_ATTENTE_A_5>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i2.u4.NB_ATTENTE_A_5>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i2.u4.NB_ATTENTE_A_5>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i2.u4.NB_ATTENTE_A_5>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i2.u4.NB_ATTENTE_A_5>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i2.u4.NB_ATTENTE_A_5>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i2.u4.NB_ATTENTE_A_5>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i2.u4.NB_ATTENTE_A_5>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_20>=1)))||((((i2.u4.NB_ATTENTE_A_6>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((i2.u4.NB_ATTENTE_A_6>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_6>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i2.u4.NB_ATTENTE_A_6>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i2.u4.NB_ATTENTE_A_6>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i2.u4.NB_ATTENTE_A_6>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i2.u4.NB_ATTENTE_A_6>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i2.u4.NB_ATTENTE_A_6>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i2.u4.NB_ATTENTE_A_6>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i2.u4.NB_ATTENTE_A_6>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i2.u4.NB_ATTENTE_A_6>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i2.u4.NB_ATTENTE_A_6>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i2.u4.NB_ATTENTE_A_6>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i2.u4.NB_ATTENTE_A_6>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i2.u4.NB_ATTENTE_A_6>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i2.u4.NB_ATTENTE_A_6>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i2.u4.NB_ATTENTE_A_6>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i2.u4.NB_ATTENTE_A_6>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i2.u4.NB_ATTENTE_A_6>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i2.u4.NB_ATTENTE_A_6>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i2.u4.NB_ATTENTE_A_6>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_20>=1)))||((((i2.u4.NB_ATTENTE_A_7>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((i2.u4.NB_ATTENTE_A_7>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_7>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i2.u4.NB_ATTENTE_A_7>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i2.u4.NB_ATTENTE_A_7>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i2.u4.NB_ATTENTE_A_7>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i2.u4.NB_ATTENTE_A_7>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i2.u4.NB_ATTENTE_A_7>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i2.u4.NB_ATTENTE_A_7>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i2.u4.NB_ATTENTE_A_7>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i2.u4.NB_ATTENTE_A_7>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i2.u4.NB_ATTENTE_A_7>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i2.u4.NB_ATTENTE_A_7>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i2.u4.NB_ATTENTE_A_7>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i2.u4.NB_ATTENTE_A_7>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i2.u4.NB_ATTENTE_A_7>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i2.u4.NB_ATTENTE_A_7>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i2.u4.NB_ATTENTE_A_7>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i2.u4.NB_ATTENTE_A_7>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i2.u4.NB_ATTENTE_A_7>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i2.u4.NB_ATTENTE_A_7>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_20>=1)))||((((i2.u4.NB_ATTENTE_A_8>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((i2.u4.NB_ATTENTE_A_8>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_8>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i2.u4.NB_ATTENTE_A_8>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i2.u4.NB_ATTENTE_A_8>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i2.u4.NB_ATTENTE_A_8>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i2.u4.NB_ATTENTE_A_8>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i2.u4.NB_ATTENTE_A_8>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i2.u4.NB_ATTENTE_A_8>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i2.u4.NB_ATTENTE_A_8>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i2.u4.NB_ATTENTE_A_8>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i2.u4.NB_ATTENTE_A_8>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i2.u4.NB_ATTENTE_A_8>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i2.u4.NB_ATTENTE_A_8>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i2.u4.NB_ATTENTE_A_8>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i2.u4.NB_ATTENTE_A_8>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i2.u4.NB_ATTENTE_A_8>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i2.u4.NB_ATTENTE_A_8>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i2.u4.NB_ATTENTE_A_8>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i2.u4.NB_ATTENTE_A_8>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i2.u4.NB_ATTENTE_A_8>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_20>=1)))||((((i2.u4.NB_ATTENTE_A_9>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((i2.u4.NB_ATTENTE_A_9>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_9>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i2.u4.NB_ATTENTE_A_9>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i2.u4.NB_ATTENTE_A_9>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i2.u4.NB_ATTENTE_A_9>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i2.u4.NB_ATTENTE_A_9>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i2.u4.NB_ATTENTE_A_9>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i2.u4.NB_ATTENTE_A_9>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i2.u4.NB_ATTENTE_A_9>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i2.u4.NB_ATTENTE_A_9>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i2.u4.NB_ATTENTE_A_9>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i2.u4.NB_ATTENTE_A_9>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i2.u4.NB_ATTENTE_A_9>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i2.u4.NB_ATTENTE_A_9>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i2.u4.NB_ATTENTE_A_9>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i2.u4.NB_ATTENTE_A_9>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i2.u4.NB_ATTENTE_A_9>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i2.u4.NB_ATTENTE_A_9>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i2.u4.NB_ATTENTE_A_9>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i2.u4.NB_ATTENTE_A_9>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_20>=1)))||((((i2.u4.NB_ATTENTE_A_10>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((i2.u4.NB_ATTENTE_A_10>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_10>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i2.u4.NB_ATTENTE_A_10>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i2.u4.NB_ATTENTE_A_10>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i2.u4.NB_ATTENTE_A_10>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i2.u4.NB_ATTENTE_A_10>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i2.u4.NB_ATTENTE_A_10>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i2.u4.NB_ATTENTE_A_10>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i2.u4.NB_ATTENTE_A_10>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i2.u4.NB_ATTENTE_A_10>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i2.u4.NB_ATTENTE_A_10>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i2.u4.NB_ATTENTE_A_10>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i2.u4.NB_ATTENTE_A_10>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i2.u4.NB_ATTENTE_A_10>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i2.u4.NB_ATTENTE_A_10>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i2.u4.NB_ATTENTE_A_10>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i2.u4.NB_ATTENTE_A_10>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i2.u4.NB_ATTENTE_A_10>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i2.u4.NB_ATTENTE_A_10>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i2.u4.NB_ATTENTE_A_10>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_20>=1)))||((((i2.u4.NB_ATTENTE_A_11>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((i2.u4.NB_ATTENTE_A_11>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_11>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i2.u4.NB_ATTENTE_A_11>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i2.u4.NB_ATTENTE_A_11>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i2.u4.NB_ATTENTE_A_11>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i2.u4.NB_ATTENTE_A_11>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i2.u4.NB_ATTENTE_A_11>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i2.u4.NB_ATTENTE_A_11>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i2.u4.NB_ATTENTE_A_11>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i2.u4.NB_ATTENTE_A_11>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i2.u4.NB_ATTENTE_A_11>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i2.u4.NB_ATTENTE_A_11>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i2.u4.NB_ATTENTE_A_11>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i2.u4.NB_ATTENTE_A_11>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i2.u4.NB_ATTENTE_A_11>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i2.u4.NB_ATTENTE_A_11>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i2.u4.NB_ATTENTE_A_11>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i2.u4.NB_ATTENTE_A_11>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i2.u4.NB_ATTENTE_A_11>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i2.u4.NB_ATTENTE_A_11>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_20>=1)))||((((i2.u4.NB_ATTENTE_A_12>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((i2.u4.NB_ATTENTE_A_12>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_12>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i2.u4.NB_ATTENTE_A_12>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i2.u4.NB_ATTENTE_A_12>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i2.u4.NB_ATTENTE_A_12>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i2.u4.NB_ATTENTE_A_12>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i2.u4.NB_ATTENTE_A_12>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i2.u4.NB_ATTENTE_A_12>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i2.u4.NB_ATTENTE_A_12>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i2.u4.NB_ATTENTE_A_12>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i2.u4.NB_ATTENTE_A_12>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i2.u4.NB_ATTENTE_A_12>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i2.u4.NB_ATTENTE_A_12>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i2.u4.NB_ATTENTE_A_12>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i2.u4.NB_ATTENTE_A_12>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i2.u4.NB_ATTENTE_A_12>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i2.u4.NB_ATTENTE_A_12>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i2.u4.NB_ATTENTE_A_12>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i2.u4.NB_ATTENTE_A_12>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i2.u4.NB_ATTENTE_A_12>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_20>=1)))||((((i2.u4.NB_ATTENTE_A_13>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((i2.u4.NB_ATTENTE_A_13>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_13>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i2.u4.NB_ATTENTE_A_13>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i2.u4.NB_ATTENTE_A_13>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i2.u4.NB_ATTENTE_A_13>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i2.u4.NB_ATTENTE_A_13>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i2.u4.NB_ATTENTE_A_13>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i2.u4.NB_ATTENTE_A_13>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i2.u4.NB_ATTENTE_A_13>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i2.u4.NB_ATTENTE_A_13>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i2.u4.NB_ATTENTE_A_13>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i2.u4.NB_ATTENTE_A_13>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i2.u4.NB_ATTENTE_A_13>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i2.u4.NB_ATTENTE_A_13>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i2.u4.NB_ATTENTE_A_13>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i2.u4.NB_ATTENTE_A_13>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i2.u4.NB_ATTENTE_A_13>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i2.u4.NB_ATTENTE_A_13>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i2.u4.NB_ATTENTE_A_13>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i2.u4.NB_ATTENTE_A_13>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_20>=1)))||((((i2.u4.NB_ATTENTE_A_14>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((i2.u4.NB_ATTENTE_A_14>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_14>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i2.u4.NB_ATTENTE_A_14>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i2.u4.NB_ATTENTE_A_14>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i2.u4.NB_ATTENTE_A_14>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i2.u4.NB_ATTENTE_A_14>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i2.u4.NB_ATTENTE_A_14>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i2.u4.NB_ATTENTE_A_14>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i2.u4.NB_ATTENTE_A_14>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i2.u4.NB_ATTENTE_A_14>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i2.u4.NB_ATTENTE_A_14>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i2.u4.NB_ATTENTE_A_14>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i2.u4.NB_ATTENTE_A_14>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i2.u4.NB_ATTENTE_A_14>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i2.u4.NB_ATTENTE_A_14>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i2.u4.NB_ATTENTE_A_14>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i2.u4.NB_ATTENTE_A_14>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i2.u4.NB_ATTENTE_A_14>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i2.u4.NB_ATTENTE_A_14>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i2.u4.NB_ATTENTE_A_14>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_20>=1)))||((((i2.u4.NB_ATTENTE_A_15>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((i2.u4.NB_ATTENTE_A_15>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_15>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i2.u4.NB_ATTENTE_A_15>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i2.u4.NB_ATTENTE_A_15>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i2.u4.NB_ATTENTE_A_15>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i2.u4.NB_ATTENTE_A_15>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i2.u4.NB_ATTENTE_A_15>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i2.u4.NB_ATTENTE_A_15>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i2.u4.NB_ATTENTE_A_15>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i2.u4.NB_ATTENTE_A_15>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i2.u4.NB_ATTENTE_A_15>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i2.u4.NB_ATTENTE_A_15>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i2.u4.NB_ATTENTE_A_15>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i2.u4.NB_ATTENTE_A_15>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i2.u4.NB_ATTENTE_A_15>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i2.u4.NB_ATTENTE_A_15>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i2.u4.NB_ATTENTE_A_15>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i2.u4.NB_ATTENTE_A_15>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i2.u4.NB_ATTENTE_A_15>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i2.u4.NB_ATTENTE_A_15>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_20>=1)))||((((i2.u4.NB_ATTENTE_A_16>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((i2.u4.NB_ATTENTE_A_16>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_16>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i2.u4.NB_ATTENTE_A_16>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i2.u4.NB_ATTENTE_A_16>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i2.u4.NB_ATTENTE_A_16>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i2.u4.NB_ATTENTE_A_16>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i2.u4.NB_ATTENTE_A_16>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i2.u4.NB_ATTENTE_A_16>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i2.u4.NB_ATTENTE_A_16>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i2.u4.NB_ATTENTE_A_16>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i2.u4.NB_ATTENTE_A_16>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i2.u4.NB_ATTENTE_A_16>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i2.u4.NB_ATTENTE_A_16>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i2.u4.NB_ATTENTE_A_16>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i2.u4.NB_ATTENTE_A_16>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i2.u4.NB_ATTENTE_A_16>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i2.u4.NB_ATTENTE_A_16>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i2.u4.NB_ATTENTE_A_16>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i2.u4.NB_ATTENTE_A_16>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i2.u4.NB_ATTENTE_A_16>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_20>=1)))||((((i2.u4.NB_ATTENTE_A_17>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((i2.u4.NB_ATTENTE_A_17>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_17>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i2.u4.NB_ATTENTE_A_17>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i2.u4.NB_ATTENTE_A_17>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i2.u4.NB_ATTENTE_A_17>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i2.u4.NB_ATTENTE_A_17>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i2.u4.NB_ATTENTE_A_17>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i2.u4.NB_ATTENTE_A_17>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i2.u4.NB_ATTENTE_A_17>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i2.u4.NB_ATTENTE_A_17>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i2.u4.NB_ATTENTE_A_17>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i2.u4.NB_ATTENTE_A_17>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i2.u4.NB_ATTENTE_A_17>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i2.u4.NB_ATTENTE_A_17>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i2.u4.NB_ATTENTE_A_17>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i2.u4.NB_ATTENTE_A_17>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i2.u4.NB_ATTENTE_A_17>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i2.u4.NB_ATTENTE_A_17>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i2.u4.NB_ATTENTE_A_17>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i2.u4.NB_ATTENTE_A_17>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_20>=1)))||((((i2.u4.NB_ATTENTE_A_18>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((i2.u4.NB_ATTENTE_A_18>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_18>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i2.u4.NB_ATTENTE_A_18>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i2.u4.NB_ATTENTE_A_18>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i2.u4.NB_ATTENTE_A_18>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i2.u4.NB_ATTENTE_A_18>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i2.u4.NB_ATTENTE_A_18>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i2.u4.NB_ATTENTE_A_18>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i2.u4.NB_ATTENTE_A_18>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i2.u4.NB_ATTENTE_A_18>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i2.u4.NB_ATTENTE_A_18>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i2.u4.NB_ATTENTE_A_18>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i2.u4.NB_ATTENTE_A_18>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i2.u4.NB_ATTENTE_A_18>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i2.u4.NB_ATTENTE_A_18>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i2.u4.NB_ATTENTE_A_18>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i2.u4.NB_ATTENTE_A_18>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i2.u4.NB_ATTENTE_A_18>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i2.u4.NB_ATTENTE_A_18>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i2.u4.NB_ATTENTE_A_18>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_20>=1)))||((((i2.u4.NB_ATTENTE_A_19>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((i2.u4.NB_ATTENTE_A_19>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_19>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i2.u4.NB_ATTENTE_A_19>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i2.u4.NB_ATTENTE_A_19>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i2.u4.NB_ATTENTE_A_19>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i2.u4.NB_ATTENTE_A_19>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i2.u4.NB_ATTENTE_A_19>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i2.u4.NB_ATTENTE_A_19>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i2.u4.NB_ATTENTE_A_19>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i2.u4.NB_ATTENTE_A_19>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i2.u4.NB_ATTENTE_A_19>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i2.u4.NB_ATTENTE_A_19>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i2.u4.NB_ATTENTE_A_19>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i2.u4.NB_ATTENTE_A_19>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i2.u4.NB_ATTENTE_A_19>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i2.u4.NB_ATTENTE_A_19>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i2.u4.NB_ATTENTE_A_19>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i2.u4.NB_ATTENTE_A_19>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i2.u4.NB_ATTENTE_A_19>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i2.u4.NB_ATTENTE_A_19>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_20>=1)))||((((i2.u4.NB_ATTENTE_A_20>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((i2.u4.NB_ATTENTE_A_20>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_20>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i2.u4.NB_ATTENTE_A_20>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i2.u4.NB_ATTENTE_A_20>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i2.u4.NB_ATTENTE_A_20>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i2.u4.NB_ATTENTE_A_20>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i2.u4.NB_ATTENTE_A_20>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i2.u4.NB_ATTENTE_A_20>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i2.u4.NB_ATTENTE_A_20>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i2.u4.NB_ATTENTE_A_20>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i2.u4.NB_ATTENTE_A_20>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i2.u4.NB_ATTENTE_A_20>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i2.u4.NB_ATTENTE_A_20>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i2.u4.NB_ATTENTE_A_20>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i2.u4.NB_ATTENTE_A_20>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i2.u4.NB_ATTENTE_A_20>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i2.u4.NB_ATTENTE_A_20>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i2.u4.NB_ATTENTE_A_20>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i2.u4.NB_ATTENTE_A_20>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i2.u4.NB_ATTENTE_A_20>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_20>=1)))||((((i2.u4.NB_ATTENTE_A_21>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((i2.u4.NB_ATTENTE_A_21>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_21>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i2.u4.NB_ATTENTE_A_21>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i2.u4.NB_ATTENTE_A_21>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i2.u4.NB_ATTENTE_A_21>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i2.u4.NB_ATTENTE_A_21>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i2.u4.NB_ATTENTE_A_21>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i2.u4.NB_ATTENTE_A_21>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i2.u4.NB_ATTENTE_A_21>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i2.u4.NB_ATTENTE_A_21>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i2.u4.NB_ATTENTE_A_21>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i2.u4.NB_ATTENTE_A_21>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i2.u4.NB_ATTENTE_A_21>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i2.u4.NB_ATTENTE_A_21>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i2.u4.NB_ATTENTE_A_21>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i2.u4.NB_ATTENTE_A_21>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i2.u4.NB_ATTENTE_A_21>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i2.u4.NB_ATTENTE_A_21>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i2.u4.NB_ATTENTE_A_21>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i2.u4.NB_ATTENTE_A_21>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_20>=1)))||((((i2.u4.NB_ATTENTE_A_22>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((i2.u4.NB_ATTENTE_A_22>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_22>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i2.u4.NB_ATTENTE_A_22>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i2.u4.NB_ATTENTE_A_22>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i2.u4.NB_ATTENTE_A_22>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i2.u4.NB_ATTENTE_A_22>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i2.u4.NB_ATTENTE_A_22>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i2.u4.NB_ATTENTE_A_22>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i2.u4.NB_ATTENTE_A_22>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i2.u4.NB_ATTENTE_A_22>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i2.u4.NB_ATTENTE_A_22>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i2.u4.NB_ATTENTE_A_22>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i2.u4.NB_ATTENTE_A_22>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i2.u4.NB_ATTENTE_A_22>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i2.u4.NB_ATTENTE_A_22>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i2.u4.NB_ATTENTE_A_22>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i2.u4.NB_ATTENTE_A_22>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i2.u4.NB_ATTENTE_A_22>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i2.u4.NB_ATTENTE_A_22>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i2.u4.NB_ATTENTE_A_22>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_20>=1)))||((((i2.u4.NB_ATTENTE_A_23>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((i2.u4.NB_ATTENTE_A_23>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_23>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i2.u4.NB_ATTENTE_A_23>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i2.u4.NB_ATTENTE_A_23>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i2.u4.NB_ATTENTE_A_23>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i2.u4.NB_ATTENTE_A_23>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i2.u4.NB_ATTENTE_A_23>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i2.u4.NB_ATTENTE_A_23>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i2.u4.NB_ATTENTE_A_23>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i2.u4.NB_ATTENTE_A_23>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i2.u4.NB_ATTENTE_A_23>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i2.u4.NB_ATTENTE_A_23>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i2.u4.NB_ATTENTE_A_23>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i2.u4.NB_ATTENTE_A_23>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i2.u4.NB_ATTENTE_A_23>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i2.u4.NB_ATTENTE_A_23>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i2.u4.NB_ATTENTE_A_23>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i2.u4.NB_ATTENTE_A_23>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i2.u4.NB_ATTENTE_A_23>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i2.u4.NB_ATTENTE_A_23>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_20>=1)))||((((i2.u4.NB_ATTENTE_A_24>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((i2.u4.NB_ATTENTE_A_24>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_24>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i2.u4.NB_ATTENTE_A_24>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i2.u4.NB_ATTENTE_A_24>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i2.u4.NB_ATTENTE_A_24>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i2.u4.NB_ATTENTE_A_24>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i2.u4.NB_ATTENTE_A_24>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i2.u4.NB_ATTENTE_A_24>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i2.u4.NB_ATTENTE_A_24>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i2.u4.NB_ATTENTE_A_24>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i2.u4.NB_ATTENTE_A_24>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i2.u4.NB_ATTENTE_A_24>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i2.u4.NB_ATTENTE_A_24>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i2.u4.NB_ATTENTE_A_24>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i2.u4.NB_ATTENTE_A_24>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i2.u4.NB_ATTENTE_A_24>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i2.u4.NB_ATTENTE_A_24>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i2.u4.NB_ATTENTE_A_24>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i2.u4.NB_ATTENTE_A_24>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i2.u4.NB_ATTENTE_A_24>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_20>=1)))||((((i2.u4.NB_ATTENTE_A_25>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((i2.u4.NB_ATTENTE_A_25>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_25>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i2.u4.NB_ATTENTE_A_25>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i2.u4.NB_ATTENTE_A_25>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i2.u4.NB_ATTENTE_A_25>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i2.u4.NB_ATTENTE_A_25>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i2.u4.NB_ATTENTE_A_25>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i2.u4.NB_ATTENTE_A_25>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i2.u4.NB_ATTENTE_A_25>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i2.u4.NB_ATTENTE_A_25>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i2.u4.NB_ATTENTE_A_25>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i2.u4.NB_ATTENTE_A_25>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i2.u4.NB_ATTENTE_A_25>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i2.u4.NB_ATTENTE_A_25>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i2.u4.NB_ATTENTE_A_25>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i2.u4.NB_ATTENTE_A_25>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i2.u4.NB_ATTENTE_A_25>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i2.u4.NB_ATTENTE_A_25>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i2.u4.NB_ATTENTE_A_25>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i2.u4.NB_ATTENTE_A_25>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_20>=1)))||((((i2.u4.NB_ATTENTE_A_26>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((i2.u4.NB_ATTENTE_A_26>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_26>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i2.u4.NB_ATTENTE_A_26>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i2.u4.NB_ATTENTE_A_26>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i2.u4.NB_ATTENTE_A_26>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i2.u4.NB_ATTENTE_A_26>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i2.u4.NB_ATTENTE_A_26>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i2.u4.NB_ATTENTE_A_26>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i2.u4.NB_ATTENTE_A_26>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i2.u4.NB_ATTENTE_A_26>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i2.u4.NB_ATTENTE_A_26>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i2.u4.NB_ATTENTE_A_26>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i2.u4.NB_ATTENTE_A_26>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i2.u4.NB_ATTENTE_A_26>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i2.u4.NB_ATTENTE_A_26>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i2.u4.NB_ATTENTE_A_26>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i2.u4.NB_ATTENTE_A_26>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i2.u4.NB_ATTENTE_A_26>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i2.u4.NB_ATTENTE_A_26>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i2.u4.NB_ATTENTE_A_26>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_20>=1)))||((((i2.u4.NB_ATTENTE_A_27>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((i2.u4.NB_ATTENTE_A_27>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_27>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i2.u4.NB_ATTENTE_A_27>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i2.u4.NB_ATTENTE_A_27>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i2.u4.NB_ATTENTE_A_27>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i2.u4.NB_ATTENTE_A_27>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i2.u4.NB_ATTENTE_A_27>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i2.u4.NB_ATTENTE_A_27>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i2.u4.NB_ATTENTE_A_27>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i2.u4.NB_ATTENTE_A_27>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i2.u4.NB_ATTENTE_A_27>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i2.u4.NB_ATTENTE_A_27>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i2.u4.NB_ATTENTE_A_27>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i2.u4.NB_ATTENTE_A_27>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i2.u4.NB_ATTENTE_A_27>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i2.u4.NB_ATTENTE_A_27>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i2.u4.NB_ATTENTE_A_27>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i2.u4.NB_ATTENTE_A_27>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i2.u4.NB_ATTENTE_A_27>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i2.u4.NB_ATTENTE_A_27>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_20>=1)))||((((i2.u4.NB_ATTENTE_A_28>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((i2.u4.NB_ATTENTE_A_28>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_28>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i2.u4.NB_ATTENTE_A_28>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i2.u4.NB_ATTENTE_A_28>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i2.u4.NB_ATTENTE_A_28>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i2.u4.NB_ATTENTE_A_28>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i2.u4.NB_ATTENTE_A_28>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i2.u4.NB_ATTENTE_A_28>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i2.u4.NB_ATTENTE_A_28>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i2.u4.NB_ATTENTE_A_28>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i2.u4.NB_ATTENTE_A_28>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i2.u4.NB_ATTENTE_A_28>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i2.u4.NB_ATTENTE_A_28>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i2.u4.NB_ATTENTE_A_28>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i2.u4.NB_ATTENTE_A_28>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i2.u4.NB_ATTENTE_A_28>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i2.u4.NB_ATTENTE_A_28>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i2.u4.NB_ATTENTE_A_28>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i2.u4.NB_ATTENTE_A_28>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i2.u4.NB_ATTENTE_A_28>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_20>=1)))||((((i2.u4.NB_ATTENTE_A_29>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((i2.u4.NB_ATTENTE_A_29>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_29>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i2.u4.NB_ATTENTE_A_29>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i2.u4.NB_ATTENTE_A_29>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i2.u4.NB_ATTENTE_A_29>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i2.u4.NB_ATTENTE_A_29>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i2.u4.NB_ATTENTE_A_29>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i2.u4.NB_ATTENTE_A_29>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i2.u4.NB_ATTENTE_A_29>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i2.u4.NB_ATTENTE_A_29>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i2.u4.NB_ATTENTE_A_29>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i2.u4.NB_ATTENTE_A_29>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i2.u4.NB_ATTENTE_A_29>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i2.u4.NB_ATTENTE_A_29>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i2.u4.NB_ATTENTE_A_29>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i2.u4.NB_ATTENTE_A_29>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i2.u4.NB_ATTENTE_A_29>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i2.u4.NB_ATTENTE_A_29>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i2.u4.NB_ATTENTE_A_29>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i2.u4.NB_ATTENTE_A_29>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_20>=1)))||((((i2.u4.NB_ATTENTE_A_30>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((i2.u4.NB_ATTENTE_A_30>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_30>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i2.u4.NB_ATTENTE_A_30>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i2.u4.NB_ATTENTE_A_30>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i2.u4.NB_ATTENTE_A_30>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i2.u4.NB_ATTENTE_A_30>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i2.u4.NB_ATTENTE_A_30>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i2.u4.NB_ATTENTE_A_30>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i2.u4.NB_ATTENTE_A_30>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i2.u4.NB_ATTENTE_A_30>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i2.u4.NB_ATTENTE_A_30>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i2.u4.NB_ATTENTE_A_30>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i2.u4.NB_ATTENTE_A_30>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i2.u4.NB_ATTENTE_A_30>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i2.u4.NB_ATTENTE_A_30>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i2.u4.NB_ATTENTE_A_30>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i2.u4.NB_ATTENTE_A_30>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i2.u4.NB_ATTENTE_A_30>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i2.u4.NB_ATTENTE_A_30>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i2.u4.NB_ATTENTE_A_30>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_20>=1)))||((((i2.u4.NB_ATTENTE_A_31>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((i2.u4.NB_ATTENTE_A_31>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_31>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i2.u4.NB_ATTENTE_A_31>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i2.u4.NB_ATTENTE_A_31>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i2.u4.NB_ATTENTE_A_31>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i2.u4.NB_ATTENTE_A_31>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i2.u4.NB_ATTENTE_A_31>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i2.u4.NB_ATTENTE_A_31>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i2.u4.NB_ATTENTE_A_31>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i2.u4.NB_ATTENTE_A_31>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i2.u4.NB_ATTENTE_A_31>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i2.u4.NB_ATTENTE_A_31>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i2.u4.NB_ATTENTE_A_31>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i2.u4.NB_ATTENTE_A_31>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i2.u4.NB_ATTENTE_A_31>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i2.u4.NB_ATTENTE_A_31>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i2.u4.NB_ATTENTE_A_31>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i2.u4.NB_ATTENTE_A_31>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i2.u4.NB_ATTENTE_A_31>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i2.u4.NB_ATTENTE_A_31>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_20>=1)))||((((i2.u4.NB_ATTENTE_A_32>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((i2.u4.NB_ATTENTE_A_32>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_32>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i2.u4.NB_ATTENTE_A_32>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i2.u4.NB_ATTENTE_A_32>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i2.u4.NB_ATTENTE_A_32>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i2.u4.NB_ATTENTE_A_32>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i2.u4.NB_ATTENTE_A_32>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i2.u4.NB_ATTENTE_A_32>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i2.u4.NB_ATTENTE_A_32>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i2.u4.NB_ATTENTE_A_32>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i2.u4.NB_ATTENTE_A_32>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i2.u4.NB_ATTENTE_A_32>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i2.u4.NB_ATTENTE_A_32>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i2.u4.NB_ATTENTE_A_32>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i2.u4.NB_ATTENTE_A_32>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i2.u4.NB_ATTENTE_A_32>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i2.u4.NB_ATTENTE_A_32>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i2.u4.NB_ATTENTE_A_32>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i2.u4.NB_ATTENTE_A_32>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i2.u4.NB_ATTENTE_A_32>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_20>=1)))||((((i2.u4.NB_ATTENTE_A_33>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((i2.u4.NB_ATTENTE_A_33>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_33>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i2.u4.NB_ATTENTE_A_33>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i2.u4.NB_ATTENTE_A_33>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i2.u4.NB_ATTENTE_A_33>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i2.u4.NB_ATTENTE_A_33>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i2.u4.NB_ATTENTE_A_33>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i2.u4.NB_ATTENTE_A_33>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i2.u4.NB_ATTENTE_A_33>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i2.u4.NB_ATTENTE_A_33>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i2.u4.NB_ATTENTE_A_33>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i2.u4.NB_ATTENTE_A_33>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i2.u4.NB_ATTENTE_A_33>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i2.u4.NB_ATTENTE_A_33>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i2.u4.NB_ATTENTE_A_33>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i2.u4.NB_ATTENTE_A_33>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i2.u4.NB_ATTENTE_A_33>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i2.u4.NB_ATTENTE_A_33>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i2.u4.NB_ATTENTE_A_33>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i2.u4.NB_ATTENTE_A_33>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_20>=1)))||((((i2.u4.NB_ATTENTE_A_34>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((i2.u4.NB_ATTENTE_A_34>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_34>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i2.u4.NB_ATTENTE_A_34>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i2.u4.NB_ATTENTE_A_34>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i2.u4.NB_ATTENTE_A_34>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i2.u4.NB_ATTENTE_A_34>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i2.u4.NB_ATTENTE_A_34>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i2.u4.NB_ATTENTE_A_34>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i2.u4.NB_ATTENTE_A_34>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i2.u4.NB_ATTENTE_A_34>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i2.u4.NB_ATTENTE_A_34>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i2.u4.NB_ATTENTE_A_34>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i2.u4.NB_ATTENTE_A_34>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i2.u4.NB_ATTENTE_A_34>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i2.u4.NB_ATTENTE_A_34>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i2.u4.NB_ATTENTE_A_34>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i2.u4.NB_ATTENTE_A_34>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i2.u4.NB_ATTENTE_A_34>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i2.u4.NB_ATTENTE_A_34>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i2.u4.NB_ATTENTE_A_34>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_20>=1)))||((((i2.u4.NB_ATTENTE_A_35>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((i2.u4.NB_ATTENTE_A_35>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_35>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i2.u4.NB_ATTENTE_A_35>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i2.u4.NB_ATTENTE_A_35>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i2.u4.NB_ATTENTE_A_35>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i2.u4.NB_ATTENTE_A_35>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i2.u4.NB_ATTENTE_A_35>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i2.u4.NB_ATTENTE_A_35>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i2.u4.NB_ATTENTE_A_35>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i2.u4.NB_ATTENTE_A_35>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i2.u4.NB_ATTENTE_A_35>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i2.u4.NB_ATTENTE_A_35>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i2.u4.NB_ATTENTE_A_35>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i2.u4.NB_ATTENTE_A_35>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i2.u4.NB_ATTENTE_A_35>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i2.u4.NB_ATTENTE_A_35>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i2.u4.NB_ATTENTE_A_35>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i2.u4.NB_ATTENTE_A_35>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i2.u4.NB_ATTENTE_A_35>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i2.u4.NB_ATTENTE_A_35>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_20>=1)))||((((i2.u4.NB_ATTENTE_A_36>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((i2.u4.NB_ATTENTE_A_36>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_36>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i2.u4.NB_ATTENTE_A_36>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i2.u4.NB_ATTENTE_A_36>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i2.u4.NB_ATTENTE_A_36>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i2.u4.NB_ATTENTE_A_36>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i2.u4.NB_ATTENTE_A_36>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i2.u4.NB_ATTENTE_A_36>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i2.u4.NB_ATTENTE_A_36>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i2.u4.NB_ATTENTE_A_36>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i2.u4.NB_ATTENTE_A_36>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i2.u4.NB_ATTENTE_A_36>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i2.u4.NB_ATTENTE_A_36>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i2.u4.NB_ATTENTE_A_36>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i2.u4.NB_ATTENTE_A_36>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i2.u4.NB_ATTENTE_A_36>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i2.u4.NB_ATTENTE_A_36>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i2.u4.NB_ATTENTE_A_36>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i2.u4.NB_ATTENTE_A_36>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i2.u4.NB_ATTENTE_A_36>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_20>=1)))||((((i2.u4.NB_ATTENTE_A_37>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((i2.u4.NB_ATTENTE_A_37>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_37>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i2.u4.NB_ATTENTE_A_37>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i2.u4.NB_ATTENTE_A_37>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i2.u4.NB_ATTENTE_A_37>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i2.u4.NB_ATTENTE_A_37>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i2.u4.NB_ATTENTE_A_37>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i2.u4.NB_ATTENTE_A_37>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i2.u4.NB_ATTENTE_A_37>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i2.u4.NB_ATTENTE_A_37>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i2.u4.NB_ATTENTE_A_37>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i2.u4.NB_ATTENTE_A_37>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i2.u4.NB_ATTENTE_A_37>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i2.u4.NB_ATTENTE_A_37>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i2.u4.NB_ATTENTE_A_37>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i2.u4.NB_ATTENTE_A_37>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i2.u4.NB_ATTENTE_A_37>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i2.u4.NB_ATTENTE_A_37>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i2.u4.NB_ATTENTE_A_37>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i2.u4.NB_ATTENTE_A_37>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_20>=1)))||((((i2.u4.NB_ATTENTE_A_38>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((i2.u4.NB_ATTENTE_A_38>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_38>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i2.u4.NB_ATTENTE_A_38>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i2.u4.NB_ATTENTE_A_38>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i2.u4.NB_ATTENTE_A_38>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i2.u4.NB_ATTENTE_A_38>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i2.u4.NB_ATTENTE_A_38>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i2.u4.NB_ATTENTE_A_38>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i2.u4.NB_ATTENTE_A_38>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i2.u4.NB_ATTENTE_A_38>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i2.u4.NB_ATTENTE_A_38>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i2.u4.NB_ATTENTE_A_38>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i2.u4.NB_ATTENTE_A_38>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i2.u4.NB_ATTENTE_A_38>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i2.u4.NB_ATTENTE_A_38>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i2.u4.NB_ATTENTE_A_38>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i2.u4.NB_ATTENTE_A_38>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i2.u4.NB_ATTENTE_A_38>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i2.u4.NB_ATTENTE_A_38>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i2.u4.NB_ATTENTE_A_38>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_20>=1)))||((((i2.u4.NB_ATTENTE_A_39>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((i2.u4.NB_ATTENTE_A_39>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_39>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i2.u4.NB_ATTENTE_A_39>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i2.u4.NB_ATTENTE_A_39>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i2.u4.NB_ATTENTE_A_39>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i2.u4.NB_ATTENTE_A_39>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i2.u4.NB_ATTENTE_A_39>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i2.u4.NB_ATTENTE_A_39>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i2.u4.NB_ATTENTE_A_39>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i2.u4.NB_ATTENTE_A_39>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i2.u4.NB_ATTENTE_A_39>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i2.u4.NB_ATTENTE_A_39>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i2.u4.NB_ATTENTE_A_39>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i2.u4.NB_ATTENTE_A_39>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i2.u4.NB_ATTENTE_A_39>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i2.u4.NB_ATTENTE_A_39>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i2.u4.NB_ATTENTE_A_39>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i2.u4.NB_ATTENTE_A_39>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i2.u4.NB_ATTENTE_A_39>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i2.u4.NB_ATTENTE_A_39>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_20>=1)))||((((i2.u4.NB_ATTENTE_A_40>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((i2.u4.NB_ATTENTE_A_40>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_40>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i2.u4.NB_ATTENTE_A_40>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i2.u4.NB_ATTENTE_A_40>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i2.u4.NB_ATTENTE_A_40>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i2.u4.NB_ATTENTE_A_40>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i2.u4.NB_ATTENTE_A_40>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i2.u4.NB_ATTENTE_A_40>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i2.u4.NB_ATTENTE_A_40>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i2.u4.NB_ATTENTE_A_40>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i2.u4.NB_ATTENTE_A_40>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i2.u4.NB_ATTENTE_A_40>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i2.u4.NB_ATTENTE_A_40>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i2.u4.NB_ATTENTE_A_40>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i2.u4.NB_ATTENTE_A_40>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i2.u4.NB_ATTENTE_A_40>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i2.u4.NB_ATTENTE_A_40>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i2.u4.NB_ATTENTE_A_40>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i2.u4.NB_ATTENTE_A_40>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i2.u4.NB_ATTENTE_A_40>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_20>=1)))||((((i2.u4.NB_ATTENTE_A_41>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((i2.u4.NB_ATTENTE_A_41>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_41>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i2.u4.NB_ATTENTE_A_41>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i2.u4.NB_ATTENTE_A_41>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i2.u4.NB_ATTENTE_A_41>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i2.u4.NB_ATTENTE_A_41>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i2.u4.NB_ATTENTE_A_41>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i2.u4.NB_ATTENTE_A_41>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i2.u4.NB_ATTENTE_A_41>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i2.u4.NB_ATTENTE_A_41>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i2.u4.NB_ATTENTE_A_41>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i2.u4.NB_ATTENTE_A_41>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i2.u4.NB_ATTENTE_A_41>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i2.u4.NB_ATTENTE_A_41>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i2.u4.NB_ATTENTE_A_41>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i2.u4.NB_ATTENTE_A_41>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i2.u4.NB_ATTENTE_A_41>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i2.u4.NB_ATTENTE_A_41>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i2.u4.NB_ATTENTE_A_41>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i2.u4.NB_ATTENTE_A_41>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_20>=1)))||((((i2.u4.NB_ATTENTE_A_42>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((i2.u4.NB_ATTENTE_A_42>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_42>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i2.u4.NB_ATTENTE_A_42>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i2.u4.NB_ATTENTE_A_42>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i2.u4.NB_ATTENTE_A_42>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i2.u4.NB_ATTENTE_A_42>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i2.u4.NB_ATTENTE_A_42>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i2.u4.NB_ATTENTE_A_42>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i2.u4.NB_ATTENTE_A_42>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i2.u4.NB_ATTENTE_A_42>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i2.u4.NB_ATTENTE_A_42>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i2.u4.NB_ATTENTE_A_42>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i2.u4.NB_ATTENTE_A_42>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i2.u4.NB_ATTENTE_A_42>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i2.u4.NB_ATTENTE_A_42>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i2.u4.NB_ATTENTE_A_42>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i2.u4.NB_ATTENTE_A_42>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i2.u4.NB_ATTENTE_A_42>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i2.u4.NB_ATTENTE_A_42>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i2.u4.NB_ATTENTE_A_42>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_20>=1)))||((((i2.u4.NB_ATTENTE_A_43>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((i2.u4.NB_ATTENTE_A_43>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_43>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i2.u4.NB_ATTENTE_A_43>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i2.u4.NB_ATTENTE_A_43>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i2.u4.NB_ATTENTE_A_43>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i2.u4.NB_ATTENTE_A_43>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i2.u4.NB_ATTENTE_A_43>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i2.u4.NB_ATTENTE_A_43>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i2.u4.NB_ATTENTE_A_43>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i2.u4.NB_ATTENTE_A_43>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i2.u4.NB_ATTENTE_A_43>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i2.u4.NB_ATTENTE_A_43>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i2.u4.NB_ATTENTE_A_43>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i2.u4.NB_ATTENTE_A_43>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i2.u4.NB_ATTENTE_A_43>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i2.u4.NB_ATTENTE_A_43>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i2.u4.NB_ATTENTE_A_43>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i2.u4.NB_ATTENTE_A_43>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i2.u4.NB_ATTENTE_A_43>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i2.u4.NB_ATTENTE_A_43>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_20>=1)))||((((i2.u4.NB_ATTENTE_A_44>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((i2.u4.NB_ATTENTE_A_44>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_44>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i2.u4.NB_ATTENTE_A_44>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i2.u4.NB_ATTENTE_A_44>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i2.u4.NB_ATTENTE_A_44>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i2.u4.NB_ATTENTE_A_44>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i2.u4.NB_ATTENTE_A_44>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i2.u4.NB_ATTENTE_A_44>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i2.u4.NB_ATTENTE_A_44>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i2.u4.NB_ATTENTE_A_44>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i2.u4.NB_ATTENTE_A_44>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i2.u4.NB_ATTENTE_A_44>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i2.u4.NB_ATTENTE_A_44>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i2.u4.NB_ATTENTE_A_44>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i2.u4.NB_ATTENTE_A_44>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i2.u4.NB_ATTENTE_A_44>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i2.u4.NB_ATTENTE_A_44>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i2.u4.NB_ATTENTE_A_44>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i2.u4.NB_ATTENTE_A_44>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i2.u4.NB_ATTENTE_A_44>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_20>=1)))||((((i2.u4.NB_ATTENTE_A_45>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((i2.u4.NB_ATTENTE_A_45>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_45>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i2.u4.NB_ATTENTE_A_45>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i2.u4.NB_ATTENTE_A_45>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i2.u4.NB_ATTENTE_A_45>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i2.u4.NB_ATTENTE_A_45>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i2.u4.NB_ATTENTE_A_45>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i2.u4.NB_ATTENTE_A_45>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i2.u4.NB_ATTENTE_A_45>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i2.u4.NB_ATTENTE_A_45>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i2.u4.NB_ATTENTE_A_45>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i2.u4.NB_ATTENTE_A_45>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i2.u4.NB_ATTENTE_A_45>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i2.u4.NB_ATTENTE_A_45>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i2.u4.NB_ATTENTE_A_45>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i2.u4.NB_ATTENTE_A_45>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i2.u4.NB_ATTENTE_A_45>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i2.u4.NB_ATTENTE_A_45>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i2.u4.NB_ATTENTE_A_45>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i2.u4.NB_ATTENTE_A_45>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_20>=1)))||((((i2.u4.NB_ATTENTE_A_46>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((i2.u4.NB_ATTENTE_A_46>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_46>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i2.u4.NB_ATTENTE_A_46>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i2.u4.NB_ATTENTE_A_46>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i2.u4.NB_ATTENTE_A_46>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i2.u4.NB_ATTENTE_A_46>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i2.u4.NB_ATTENTE_A_46>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i2.u4.NB_ATTENTE_A_46>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i2.u4.NB_ATTENTE_A_46>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i2.u4.NB_ATTENTE_A_46>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i2.u4.NB_ATTENTE_A_46>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i2.u4.NB_ATTENTE_A_46>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i2.u4.NB_ATTENTE_A_46>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i2.u4.NB_ATTENTE_A_46>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i2.u4.NB_ATTENTE_A_46>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i2.u4.NB_ATTENTE_A_46>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i2.u4.NB_ATTENTE_A_46>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i2.u4.NB_ATTENTE_A_46>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i2.u4.NB_ATTENTE_A_46>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i2.u4.NB_ATTENTE_A_46>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_20>=1)))||((((i2.u4.NB_ATTENTE_A_47>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((i2.u4.NB_ATTENTE_A_47>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_47>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i2.u4.NB_ATTENTE_A_47>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i2.u4.NB_ATTENTE_A_47>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i2.u4.NB_ATTENTE_A_47>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i2.u4.NB_ATTENTE_A_47>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i2.u4.NB_ATTENTE_A_47>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i2.u4.NB_ATTENTE_A_47>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i2.u4.NB_ATTENTE_A_47>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i2.u4.NB_ATTENTE_A_47>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i2.u4.NB_ATTENTE_A_47>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i2.u4.NB_ATTENTE_A_47>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i2.u4.NB_ATTENTE_A_47>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i2.u4.NB_ATTENTE_A_47>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i2.u4.NB_ATTENTE_A_47>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i2.u4.NB_ATTENTE_A_47>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i2.u4.NB_ATTENTE_A_47>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i2.u4.NB_ATTENTE_A_47>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i2.u4.NB_ATTENTE_A_47>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i2.u4.NB_ATTENTE_A_47>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_20>=1)))||((((i2.u4.NB_ATTENTE_A_48>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((i2.u4.NB_ATTENTE_A_48>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_48>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i2.u4.NB_ATTENTE_A_48>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i2.u4.NB_ATTENTE_A_48>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i2.u4.NB_ATTENTE_A_48>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i2.u4.NB_ATTENTE_A_48>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i2.u4.NB_ATTENTE_A_48>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i2.u4.NB_ATTENTE_A_48>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i2.u4.NB_ATTENTE_A_48>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i2.u4.NB_ATTENTE_A_48>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i2.u4.NB_ATTENTE_A_48>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i2.u4.NB_ATTENTE_A_48>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i2.u4.NB_ATTENTE_A_48>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i2.u4.NB_ATTENTE_A_48>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i2.u4.NB_ATTENTE_A_48>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i2.u4.NB_ATTENTE_A_48>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i2.u4.NB_ATTENTE_A_48>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i2.u4.NB_ATTENTE_A_48>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i2.u4.NB_ATTENTE_A_48>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i2.u4.NB_ATTENTE_A_48>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_20>=1)))||((((i2.u4.NB_ATTENTE_A_49>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((i2.u4.NB_ATTENTE_A_49>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_49>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i2.u4.NB_ATTENTE_A_49>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i2.u4.NB_ATTENTE_A_49>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i2.u4.NB_ATTENTE_A_49>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i2.u4.NB_ATTENTE_A_49>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i2.u4.NB_ATTENTE_A_49>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i2.u4.NB_ATTENTE_A_49>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i2.u4.NB_ATTENTE_A_49>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i2.u4.NB_ATTENTE_A_49>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i2.u4.NB_ATTENTE_A_49>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i2.u4.NB_ATTENTE_A_49>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i2.u4.NB_ATTENTE_A_49>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i2.u4.NB_ATTENTE_A_49>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i2.u4.NB_ATTENTE_A_49>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i2.u4.NB_ATTENTE_A_49>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i2.u4.NB_ATTENTE_A_49>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i2.u4.NB_ATTENTE_A_49>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i2.u4.NB_ATTENTE_A_49>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i2.u4.NB_ATTENTE_A_49>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_20>=1)))||((((i2.u4.NB_ATTENTE_A_50>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((i2.u4.NB_ATTENTE_A_50>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_50>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i2.u4.NB_ATTENTE_A_50>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i2.u4.NB_ATTENTE_A_50>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i2.u4.NB_ATTENTE_A_50>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i2.u4.NB_ATTENTE_A_50>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i2.u4.NB_ATTENTE_A_50>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i2.u4.NB_ATTENTE_A_50>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i2.u4.NB_ATTENTE_A_50>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i2.u4.NB_ATTENTE_A_50>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i2.u4.NB_ATTENTE_A_50>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i2.u4.NB_ATTENTE_A_50>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i2.u4.NB_ATTENTE_A_50>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i2.u4.NB_ATTENTE_A_50>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i2.u4.NB_ATTENTE_A_50>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i2.u4.NB_ATTENTE_A_50>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i2.u4.NB_ATTENTE_A_50>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i2.u4.NB_ATTENTE_A_50>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i2.u4.NB_ATTENTE_A_50>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i2.u4.NB_ATTENTE_A_50>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_20>=1)))))
Read [reachable] property : BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-01 with value :(((!(((((((((((((((((((((((((((((((((((((((((((((((((((((i0.u0.ROUTE_A>=1)&&(i1.u5.NB_ATTENTE_A_0>=1))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_1>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_2>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_3>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_4>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_5>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_6>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_7>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_8>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_9>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_10>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_11>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_12>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_13>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_14>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_15>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_16>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_17>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_18>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_19>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_20>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_21>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_22>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_23>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_24>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_25>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_26>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_27>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_28>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_29>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_30>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_31>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_32>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_33>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_34>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_35>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_36>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_37>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_38>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_39>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_40>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_41>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_42>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_43>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_44>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_45>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_46>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_47>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_48>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_49>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_50>=1)))||(((((((((((((((((((((((((((((((((((((((((i0.u2.CHOIX_1>=1)&&(i2.u1.COMPTEUR_0>=1))||((i0.u2.CHOIX_2>=1)&&(i2.u1.COMPTEUR_0>=1)))||((i0.u2.CHOIX_1>=1)&&(i2.u1.COMPTEUR_1>=1)))||((i0.u2.CHOIX_2>=1)&&(i2.u1.COMPTEUR_1>=1)))||((i0.u2.CHOIX_1>=1)&&(i2.u1.COMPTEUR_2>=1)))||((i0.u2.CHOIX_2>=1)&&(i2.u1.COMPTEUR_2>=1)))||((i0.u2.CHOIX_1>=1)&&(i2.u1.COMPTEUR_3>=1)))||((i0.u2.CHOIX_2>=1)&&(i2.u1.COMPTEUR_3>=1)))||((i0.u2.CHOIX_1>=1)&&(i2.u1.COMPTEUR_4>=1)))||((i0.u2.CHOIX_2>=1)&&(i2.u1.COMPTEUR_4>=1)))||((i0.u2.CHOIX_1>=1)&&(i2.u1.COMPTEUR_5>=1)))||((i0.u2.CHOIX_2>=1)&&(i2.u1.COMPTEUR_5>=1)))||((i0.u2.CHOIX_1>=1)&&(i2.u1.COMPTEUR_6>=1)))||((i0.u2.CHOIX_2>=1)&&(i2.u1.COMPTEUR_6>=1)))||((i0.u2.CHOIX_1>=1)&&(i2.u1.COMPTEUR_7>=1)))||((i0.u2.CHOIX_2>=1)&&(i2.u1.COMPTEUR_7>=1)))||((i0.u2.CHOIX_1>=1)&&(i2.u1.COMPTEUR_8>=1)))||((i0.u2.CHOIX_2>=1)&&(i2.u1.COMPTEUR_8>=1)))||((i0.u2.CHOIX_1>=1)&&(i2.u1.COMPTEUR_9>=1)))||((i0.u2.CHOIX_2>=1)&&(i2.u1.COMPTEUR_9>=1)))||((i0.u2.CHOIX_1>=1)&&(i2.u1.COMPTEUR_10>=1)))||((i0.u2.CHOIX_2>=1)&&(i2.u1.COMPTEUR_10>=1)))||((i0.u2.CHOIX_1>=1)&&(i2.u1.COMPTEUR_11>=1)))||((i0.u2.CHOIX_2>=1)&&(i2.u1.COMPTEUR_11>=1)))||((i0.u2.CHOIX_1>=1)&&(i2.u1.COMPTEUR_12>=1)))||((i0.u2.CHOIX_2>=1)&&(i2.u1.COMPTEUR_12>=1)))||((i0.u2.CHOIX_1>=1)&&(i2.u1.COMPTEUR_13>=1)))||((i0.u2.CHOIX_2>=1)&&(i2.u1.COMPTEUR_13>=1)))||((i0.u2.CHOIX_1>=1)&&(i2.u1.COMPTEUR_14>=1)))||((i0.u2.CHOIX_2>=1)&&(i2.u1.COMPTEUR_14>=1)))||((i0.u2.CHOIX_1>=1)&&(i2.u1.COMPTEUR_15>=1)))||((i0.u2.CHOIX_2>=1)&&(i2.u1.COMPTEUR_15>=1)))||((i0.u2.CHOIX_1>=1)&&(i2.u1.COMPTEUR_16>=1)))||((i0.u2.CHOIX_2>=1)&&(i2.u1.COMPTEUR_16>=1)))||((i0.u2.CHOIX_1>=1)&&(i2.u1.COMPTEUR_17>=1)))||((i0.u2.CHOIX_2>=1)&&(i2.u1.COMPTEUR_17>=1)))||((i0.u2.CHOIX_1>=1)&&(i2.u1.COMPTEUR_18>=1)))||((i0.u2.CHOIX_2>=1)&&(i2.u1.COMPTEUR_18>=1)))||((i0.u2.CHOIX_1>=1)&&(i2.u1.COMPTEUR_19>=1)))||((i0.u2.CHOIX_2>=1)&&(i2.u1.COMPTEUR_19>=1)))))&&(((i0.u2.CHOIX_1>=1)&&(i2.u1.COMPTEUR_20>=1))||((i0.u2.CHOIX_2>=1)&&(i2.u1.COMPTEUR_20>=1))))&&((((((((((((((((((((((((((((((((((((((((((((((((((((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_1>=1))&&(i1.u3.ATTENTE_B>=1))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_2>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_3>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_4>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_5>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_6>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_7>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_8>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_9>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_10>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_11>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_12>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_13>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_14>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_15>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_16>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_17>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_18>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_19>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_20>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_21>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_22>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_23>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_24>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_25>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_26>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_27>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_28>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_29>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_30>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_31>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_32>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_33>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_34>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_35>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_36>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_37>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_38>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_39>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_40>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_41>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_42>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_43>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_44>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_45>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_46>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_47>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_48>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_49>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_50>=1))&&(i1.u3.ATTENTE_B>=1)))&&(((i0.u2.CHOIX_1>=1)&&(i2.u1.COMPTEUR_20>=1))||((i0.u2.CHOIX_2>=1)&&(i2.u1.COMPTEUR_20>=1)))))
Read [reachable] property : BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-02 with value :((((!(((i0.u2.CHOIX_1>=1)&&(i2.u1.COMPTEUR_20>=1))||((i0.u2.CHOIX_2>=1)&&(i2.u1.COMPTEUR_20>=1))))&&((((((((((((((((((((((((((((((((((((((((((i0.u2.CHOIX_1>=1)&&(i2.u1.COMPTEUR_0>=1))||((i0.u2.CHOIX_2>=1)&&(i2.u1.COMPTEUR_0>=1)))||((i0.u2.CHOIX_1>=1)&&(i2.u1.COMPTEUR_1>=1)))||((i0.u2.CHOIX_2>=1)&&(i2.u1.COMPTEUR_1>=1)))||((i0.u2.CHOIX_1>=1)&&(i2.u1.COMPTEUR_2>=1)))||((i0.u2.CHOIX_2>=1)&&(i2.u1.COMPTEUR_2>=1)))||((i0.u2.CHOIX_1>=1)&&(i2.u1.COMPTEUR_3>=1)))||((i0.u2.CHOIX_2>=1)&&(i2.u1.COMPTEUR_3>=1)))||((i0.u2.CHOIX_1>=1)&&(i2.u1.COMPTEUR_4>=1)))||((i0.u2.CHOIX_2>=1)&&(i2.u1.COMPTEUR_4>=1)))||((i0.u2.CHOIX_1>=1)&&(i2.u1.COMPTEUR_5>=1)))||((i0.u2.CHOIX_2>=1)&&(i2.u1.COMPTEUR_5>=1)))||((i0.u2.CHOIX_1>=1)&&(i2.u1.COMPTEUR_6>=1)))||((i0.u2.CHOIX_2>=1)&&(i2.u1.COMPTEUR_6>=1)))||((i0.u2.CHOIX_1>=1)&&(i2.u1.COMPTEUR_7>=1)))||((i0.u2.CHOIX_2>=1)&&(i2.u1.COMPTEUR_7>=1)))||((i0.u2.CHOIX_1>=1)&&(i2.u1.COMPTEUR_8>=1)))||((i0.u2.CHOIX_2>=1)&&(i2.u1.COMPTEUR_8>=1)))||((i0.u2.CHOIX_1>=1)&&(i2.u1.COMPTEUR_9>=1)))||((i0.u2.CHOIX_2>=1)&&(i2.u1.COMPTEUR_9>=1)))||((i0.u2.CHOIX_1>=1)&&(i2.u1.COMPTEUR_10>=1)))||((i0.u2.CHOIX_2>=1)&&(i2.u1.COMPTEUR_10>=1)))||((i0.u2.CHOIX_1>=1)&&(i2.u1.COMPTEUR_11>=1)))||((i0.u2.CHOIX_2>=1)&&(i2.u1.COMPTEUR_11>=1)))||((i0.u2.CHOIX_1>=1)&&(i2.u1.COMPTEUR_12>=1)))||((i0.u2.CHOIX_2>=1)&&(i2.u1.COMPTEUR_12>=1)))||((i0.u2.CHOIX_1>=1)&&(i2.u1.COMPTEUR_13>=1)))||((i0.u2.CHOIX_2>=1)&&(i2.u1.COMPTEUR_13>=1)))||((i0.u2.CHOIX_1>=1)&&(i2.u1.COMPTEUR_14>=1)))||((i0.u2.CHOIX_2>=1)&&(i2.u1.COMPTEUR_14>=1)))||((i0.u2.CHOIX_1>=1)&&(i2.u1.COMPTEUR_15>=1)))||((i0.u2.CHOIX_2>=1)&&(i2.u1.COMPTEUR_15>=1)))||((i0.u2.CHOIX_1>=1)&&(i2.u1.COMPTEUR_16>=1)))||((i0.u2.CHOIX_2>=1)&&(i2.u1.COMPTEUR_16>=1)))||((i0.u2.CHOIX_1>=1)&&(i2.u1.COMPTEUR_17>=1)))||((i0.u2.CHOIX_2>=1)&&(i2.u1.COMPTEUR_17>=1)))||((i0.u2.CHOIX_1>=1)&&(i2.u1.COMPTEUR_18>=1)))||((i0.u2.CHOIX_2>=1)&&(i2.u1.COMPTEUR_18>=1)))||((i0.u2.CHOIX_1>=1)&&(i2.u1.COMPTEUR_19>=1)))||((i0.u2.CHOIX_2>=1)&&(i2.u1.COMPTEUR_19>=1)))||(((i0.u2.CHOIX_1>=1)&&(i2.u1.COMPTEUR_20>=1))||((i0.u2.CHOIX_2>=1)&&(i2.u1.COMPTEUR_20>=1)))))&&(((((((((((((((((((((((((((((((((((((((((((i0.u2.CHOIX_1>=1)&&(i2.u1.COMPTEUR_0>=1))||((i0.u2.CHOIX_2>=1)&&(i2.u1.COMPTEUR_0>=1)))||((i0.u2.CHOIX_1>=1)&&(i2.u1.COMPTEUR_1>=1)))||((i0.u2.CHOIX_2>=1)&&(i2.u1.COMPTEUR_1>=1)))||((i0.u2.CHOIX_1>=1)&&(i2.u1.COMPTEUR_2>=1)))||((i0.u2.CHOIX_2>=1)&&(i2.u1.COMPTEUR_2>=1)))||((i0.u2.CHOIX_1>=1)&&(i2.u1.COMPTEUR_3>=1)))||((i0.u2.CHOIX_2>=1)&&(i2.u1.COMPTEUR_3>=1)))||((i0.u2.CHOIX_1>=1)&&(i2.u1.COMPTEUR_4>=1)))||((i0.u2.CHOIX_2>=1)&&(i2.u1.COMPTEUR_4>=1)))||((i0.u2.CHOIX_1>=1)&&(i2.u1.COMPTEUR_5>=1)))||((i0.u2.CHOIX_2>=1)&&(i2.u1.COMPTEUR_5>=1)))||((i0.u2.CHOIX_1>=1)&&(i2.u1.COMPTEUR_6>=1)))||((i0.u2.CHOIX_2>=1)&&(i2.u1.COMPTEUR_6>=1)))||((i0.u2.CHOIX_1>=1)&&(i2.u1.COMPTEUR_7>=1)))||((i0.u2.CHOIX_2>=1)&&(i2.u1.COMPTEUR_7>=1)))||((i0.u2.CHOIX_1>=1)&&(i2.u1.COMPTEUR_8>=1)))||((i0.u2.CHOIX_2>=1)&&(i2.u1.COMPTEUR_8>=1)))||((i0.u2.CHOIX_1>=1)&&(i2.u1.COMPTEUR_9>=1)))||((i0.u2.CHOIX_2>=1)&&(i2.u1.COMPTEUR_9>=1)))||((i0.u2.CHOIX_1>=1)&&(i2.u1.COMPTEUR_10>=1)))||((i0.u2.CHOIX_2>=1)&&(i2.u1.COMPTEUR_10>=1)))||((i0.u2.CHOIX_1>=1)&&(i2.u1.COMPTEUR_11>=1)))||((i0.u2.CHOIX_2>=1)&&(i2.u1.COMPTEUR_11>=1)))||((i0.u2.CHOIX_1>=1)&&(i2.u1.COMPTEUR_12>=1)))||((i0.u2.CHOIX_2>=1)&&(i2.u1.COMPTEUR_12>=1)))||((i0.u2.CHOIX_1>=1)&&(i2.u1.COMPTEUR_13>=1)))||((i0.u2.CHOIX_2>=1)&&(i2.u1.COMPTEUR_13>=1)))||((i0.u2.CHOIX_1>=1)&&(i2.u1.COMPTEUR_14>=1)))||((i0.u2.CHOIX_2>=1)&&(i2.u1.COMPTEUR_14>=1)))||((i0.u2.CHOIX_1>=1)&&(i2.u1.COMPTEUR_15>=1)))||((i0.u2.CHOIX_2>=1)&&(i2.u1.COMPTEUR_15>=1)))||((i0.u2.CHOIX_1>=1)&&(i2.u1.COMPTEUR_16>=1)))||((i0.u2.CHOIX_2>=1)&&(i2.u1.COMPTEUR_16>=1)))||((i0.u2.CHOIX_1>=1)&&(i2.u1.COMPTEUR_17>=1)))||((i0.u2.CHOIX_2>=1)&&(i2.u1.COMPTEUR_17>=1)))||((i0.u2.CHOIX_1>=1)&&(i2.u1.COMPTEUR_18>=1)))||((i0.u2.CHOIX_2>=1)&&(i2.u1.COMPTEUR_18>=1)))||((i0.u2.CHOIX_1>=1)&&(i2.u1.COMPTEUR_19>=1)))||((i0.u2.CHOIX_2>=1)&&(i2.u1.COMPTEUR_19>=1)))&&(i0.u2.SUR_PONT_B>=1))||(((((((((((((((((((((((((((((((((((((((((((((((((((((i2.u4.NB_ATTENTE_B_0>=1)&&(i1.u3.ROUTE_B>=1))||((i1.u5.NB_ATTENTE_B_1>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_2>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_3>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_4>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_5>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_6>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_7>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_8>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_9>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_10>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_11>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_12>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_13>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_14>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_15>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_16>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_17>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_18>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_19>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_20>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_21>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_22>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_23>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_24>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_25>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_26>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_27>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_28>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_29>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_30>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_31>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_32>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_33>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_34>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_35>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_36>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_37>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_38>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_39>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_40>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_41>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_42>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_43>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_44>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_45>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_46>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_47>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_48>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_49>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_50>=1)&&(i1.u3.ROUTE_B>=1)))||((((((((((((((((((((((((((((((((((((((((((((((((((((i0.u0.ROUTE_A>=1)&&(i1.u5.NB_ATTENTE_A_0>=1))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_1>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_2>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_3>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_4>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_5>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_6>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_7>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_8>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_9>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_10>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_11>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_12>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_13>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_14>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_15>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_16>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_17>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_18>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_19>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_20>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_21>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_22>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_23>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_24>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_25>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_26>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_27>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_28>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_29>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_30>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_31>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_32>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_33>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_34>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_35>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_36>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_37>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_38>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_39>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_40>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_41>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_42>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_43>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_44>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_45>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_46>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_47>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_48>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_49>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_50>=1))))))&&((((((((((((((((((((((((((((((((((((((((((((((((((((((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_1>=1))&&(i1.u3.ATTENTE_B>=1))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_2>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_3>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_4>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_5>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_6>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_7>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_8>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_9>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_10>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_11>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_12>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_13>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_14>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_15>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_16>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_17>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_18>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_19>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_20>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_21>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_22>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_23>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_24>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_25>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_26>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_27>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_28>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_29>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_30>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_31>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_32>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_33>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_34>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_35>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_36>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_37>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_38>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_39>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_40>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_41>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_42>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_43>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_44>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_45>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_46>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_47>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_48>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_49>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_50>=1))&&(i1.u3.ATTENTE_B>=1)))&&(((((((((((((((((((((((((((((((((((((((((((((((((((((i2.u4.NB_ATTENTE_A_1>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1))||((((i2.u4.NB_ATTENTE_A_2>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_3>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_4>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_5>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_6>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_7>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_8>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_9>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_10>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_11>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_12>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_13>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_14>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_15>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_16>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_17>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_18>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_19>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_20>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_21>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_22>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_23>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_24>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_25>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_26>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_27>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_28>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_29>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_30>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_31>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_32>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_33>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_34>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_35>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_36>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_37>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_38>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_39>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_40>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_41>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_42>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_43>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_44>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_45>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_46>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_47>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_48>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_49>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_50>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1))))&&((((((((((((((((((((((((((((((((((((((((((((((((((((i0.u0.ROUTE_A>=1)&&(i1.u5.NB_ATTENTE_A_0>=1))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_1>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_2>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_3>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_4>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_5>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_6>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_7>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_8>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_9>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_10>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_11>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_12>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_13>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_14>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_15>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_16>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_17>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_18>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_19>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_20>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_21>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_22>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_23>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_24>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_25>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_26>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_27>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_28>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_29>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_30>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_31>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_32>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_33>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_34>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_35>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_36>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_37>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_38>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_39>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_40>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_41>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_42>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_43>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_44>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_45>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_46>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_47>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_48>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_49>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_50>=1))))||(((((i0.u2.CHOIX_1>=1)&&(i2.u1.COMPTEUR_20>=1))||((i0.u2.CHOIX_2>=1)&&(i2.u1.COMPTEUR_20>=1)))||(((i0.u2.CAPACITE>=20)&&(i2.u4.VIDANGE_1>=1))||((i0.u2.CAPACITE>=20)&&(i1.u5.VIDANGE_2>=1))))&&(((((((((((((((((((((((((((((((((((((((((((((((((((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_1>=1))&&(i1.u3.ATTENTE_B>=1))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_2>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_3>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_4>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_5>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_6>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_7>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_8>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_9>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_10>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_11>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_12>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_13>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_14>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_15>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_16>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_17>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_18>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_19>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_20>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_21>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_22>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_23>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_24>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_25>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_26>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_27>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_28>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_29>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_30>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_31>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_32>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_33>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_34>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_35>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_36>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_37>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_38>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_39>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_40>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_41>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_42>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_43>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_44>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_45>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_46>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_47>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_48>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_49>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_50>=1))&&(i1.u3.ATTENTE_B>=1))))))
Read [invariant] property : BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-03 with value :(!(((i0.u2.CHOIX_1>=1)&&(i2.u1.COMPTEUR_20>=1))||((i0.u2.CHOIX_2>=1)&&(i2.u1.COMPTEUR_20>=1))))
Read [reachable] property : BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-04 with value :((((i0.u2.CHOIX_1>=1)&&(i2.u1.COMPTEUR_20>=1))||((i0.u2.CHOIX_2>=1)&&(i2.u1.COMPTEUR_20>=1)))&&(((!(i0.u2.SUR_PONT_A>=1))||((((i0.u2.CAPACITE>=20)&&(i2.u4.VIDANGE_1>=1))||((i0.u2.CAPACITE>=20)&&(i1.u5.VIDANGE_2>=1)))||(((((((((((((((((((((((((((((((((((((((((((((((((((((i2.u4.NB_ATTENTE_A_1>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1))||((((i2.u4.NB_ATTENTE_A_2>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_3>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_4>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_5>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_6>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_7>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_8>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_9>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_10>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_11>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_12>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_13>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_14>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_15>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_16>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_17>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_18>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_19>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_20>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_21>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_22>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_23>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_24>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_25>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_26>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_27>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_28>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_29>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_30>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_31>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_32>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_33>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_34>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_35>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_36>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_37>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_38>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_39>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_40>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_41>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_42>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_43>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_44>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_45>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_46>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_47>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_48>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_49>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_50>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1)))))&&((!((((((((((((((((((((((((((((((((((((((((((((((((((((i2.u4.NB_ATTENTE_B_0>=1)&&(i1.u3.ROUTE_B>=1))||((i1.u5.NB_ATTENTE_B_1>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_2>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_3>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_4>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_5>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_6>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_7>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_8>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_9>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_10>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_11>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_12>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_13>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_14>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_15>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_16>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_17>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_18>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_19>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_20>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_21>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_22>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_23>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_24>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_25>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_26>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_27>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_28>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_29>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_30>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_31>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_32>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_33>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_34>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_35>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_36>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_37>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_38>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_39>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_40>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_41>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_42>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_43>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_44>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_45>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_46>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_47>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_48>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_49>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_50>=1)&&(i1.u3.ROUTE_B>=1))))&&((((((((((((((((((((((((((((((((((((((((((((((((((((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_1>=1))&&(i1.u3.ATTENTE_B>=1))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_2>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_3>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_4>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_5>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_6>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_7>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_8>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_9>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_10>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_11>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_12>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_13>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_14>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_15>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_16>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_17>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_18>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_19>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_20>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_21>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_22>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_23>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_24>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_25>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_26>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_27>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_28>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_29>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_30>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_31>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_32>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_33>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_34>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_35>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_36>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_37>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_38>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_39>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_40>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_41>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_42>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_43>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_44>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_45>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_46>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_47>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_48>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_49>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_50>=1))&&(i1.u3.ATTENTE_B>=1)))&&(i0.u2.SUR_PONT_A>=1)))))
Read [invariant] property : BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-05 with value :((((((((((((((((((((((((((((((((((((((((((((((((((((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_1>=1))&&(i1.u3.ATTENTE_B>=1))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_2>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_3>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_4>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_5>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_6>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_7>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_8>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_9>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_10>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_11>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_12>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_13>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_14>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_15>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_16>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_17>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_18>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_19>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_20>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_21>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_22>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_23>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_24>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_25>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_26>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_27>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_28>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_29>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_30>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_31>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_32>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_33>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_34>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_35>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_36>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_37>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_38>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_39>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_40>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_41>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_42>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_43>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_44>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_45>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_46>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_47>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_48>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_49>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_50>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.SUR_PONT_A>=1)||(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_1>=1))&&(i2.u1.COMPTEUR_0>=1))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_1>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_1>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_1>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_1>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_1>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_1>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_1>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_1>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_1>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_1>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_1>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_1>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_1>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_1>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_1>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_1>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_1>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_1>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_1>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_1>=1))&&(i2.u1.COMPTEUR_20>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_2>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_2>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_2>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_2>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_2>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_2>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_2>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_2>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_2>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_2>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_2>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_2>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_2>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_2>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_2>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_2>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_2>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_2>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_2>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_2>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_2>=1))&&(i2.u1.COMPTEUR_20>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_3>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_3>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_3>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_3>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_3>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_3>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_3>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_3>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_3>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_3>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_3>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_3>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_3>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_3>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_3>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_3>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_3>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_3>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_3>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_3>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_3>=1))&&(i2.u1.COMPTEUR_20>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_4>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_4>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_4>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_4>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_4>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_4>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_4>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_4>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_4>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_4>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_4>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_4>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_4>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_4>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_4>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_4>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_4>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_4>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_4>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_4>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_4>=1))&&(i2.u1.COMPTEUR_20>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_5>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_5>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_5>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_5>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_5>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_5>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_5>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_5>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_5>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_5>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_5>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_5>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_5>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_5>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_5>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_5>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_5>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_5>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_5>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_5>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_5>=1))&&(i2.u1.COMPTEUR_20>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_6>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_6>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_6>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_6>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_6>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_6>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_6>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_6>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_6>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_6>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_6>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_6>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_6>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_6>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_6>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_6>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_6>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_6>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_6>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_6>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_6>=1))&&(i2.u1.COMPTEUR_20>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_7>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_7>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_7>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_7>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_7>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_7>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_7>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_7>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_7>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_7>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_7>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_7>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_7>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_7>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_7>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_7>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_7>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_7>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_7>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_7>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_7>=1))&&(i2.u1.COMPTEUR_20>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_8>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_8>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_8>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_8>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_8>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_8>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_8>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_8>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_8>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_8>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_8>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_8>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_8>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_8>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_8>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_8>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_8>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_8>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_8>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_8>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_8>=1))&&(i2.u1.COMPTEUR_20>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_9>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_9>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_9>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_9>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_9>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_9>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_9>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_9>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_9>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_9>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_9>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_9>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_9>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_9>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_9>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_9>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_9>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_9>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_9>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_9>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_9>=1))&&(i2.u1.COMPTEUR_20>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_10>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_10>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_10>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_10>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_10>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_10>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_10>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_10>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_10>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_10>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_10>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_10>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_10>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_10>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_10>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_10>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_10>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_10>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_10>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_10>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_10>=1))&&(i2.u1.COMPTEUR_20>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_11>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_11>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_11>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_11>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_11>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_11>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_11>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_11>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_11>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_11>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_11>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_11>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_11>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_11>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_11>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_11>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_11>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_11>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_11>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_11>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_11>=1))&&(i2.u1.COMPTEUR_20>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_12>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_12>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_12>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_12>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_12>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_12>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_12>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_12>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_12>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_12>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_12>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_12>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_12>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_12>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_12>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_12>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_12>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_12>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_12>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_12>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_12>=1))&&(i2.u1.COMPTEUR_20>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_13>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_13>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_13>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_13>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_13>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_13>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_13>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_13>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_13>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_13>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_13>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_13>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_13>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_13>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_13>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_13>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_13>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_13>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_13>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_13>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_13>=1))&&(i2.u1.COMPTEUR_20>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_14>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_14>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_14>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_14>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_14>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_14>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_14>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_14>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_14>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_14>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_14>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_14>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_14>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_14>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_14>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_14>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_14>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_14>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_14>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_14>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_14>=1))&&(i2.u1.COMPTEUR_20>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_15>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_15>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_15>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_15>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_15>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_15>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_15>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_15>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_15>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_15>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_15>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_15>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_15>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_15>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_15>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_15>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_15>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_15>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_15>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_15>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_15>=1))&&(i2.u1.COMPTEUR_20>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_16>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_16>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_16>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_16>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_16>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_16>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_16>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_16>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_16>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_16>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_16>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_16>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_16>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_16>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_16>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_16>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_16>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_16>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_16>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_16>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_16>=1))&&(i2.u1.COMPTEUR_20>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_17>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_17>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_17>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_17>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_17>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_17>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_17>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_17>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_17>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_17>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_17>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_17>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_17>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_17>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_17>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_17>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_17>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_17>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_17>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_17>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_17>=1))&&(i2.u1.COMPTEUR_20>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_18>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_18>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_18>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_18>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_18>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_18>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_18>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_18>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_18>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_18>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_18>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_18>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_18>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_18>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_18>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_18>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_18>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_18>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_18>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_18>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_18>=1))&&(i2.u1.COMPTEUR_20>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_19>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_19>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_19>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_19>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_19>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_19>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_19>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_19>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_19>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_19>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_19>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_19>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_19>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_19>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_19>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_19>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_19>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_19>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_19>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_19>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_19>=1))&&(i2.u1.COMPTEUR_20>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_20>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_20>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_20>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_20>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_20>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_20>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_20>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_20>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_20>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_20>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_20>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_20>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_20>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_20>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_20>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_20>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_20>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_20>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_20>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_20>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_20>=1))&&(i2.u1.COMPTEUR_20>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_21>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_21>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_21>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_21>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_21>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_21>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_21>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_21>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_21>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_21>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_21>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_21>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_21>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_21>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_21>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_21>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_21>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_21>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_21>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_21>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_21>=1))&&(i2.u1.COMPTEUR_20>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_22>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_22>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_22>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_22>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_22>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_22>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_22>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_22>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_22>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_22>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_22>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_22>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_22>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_22>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_22>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_22>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_22>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_22>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_22>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_22>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_22>=1))&&(i2.u1.COMPTEUR_20>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_23>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_23>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_23>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_23>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_23>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_23>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_23>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_23>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_23>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_23>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_23>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_23>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_23>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_23>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_23>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_23>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_23>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_23>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_23>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_23>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_23>=1))&&(i2.u1.COMPTEUR_20>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_24>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_24>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_24>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_24>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_24>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_24>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_24>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_24>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_24>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_24>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_24>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_24>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_24>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_24>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_24>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_24>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_24>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_24>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_24>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_24>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_24>=1))&&(i2.u1.COMPTEUR_20>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_25>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_25>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_25>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_25>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_25>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_25>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_25>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_25>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_25>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_25>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_25>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_25>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_25>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_25>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_25>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_25>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_25>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_25>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_25>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_25>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_25>=1))&&(i2.u1.COMPTEUR_20>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_26>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_26>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_26>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_26>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_26>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_26>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_26>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_26>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_26>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_26>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_26>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_26>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_26>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_26>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_26>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_26>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_26>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_26>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_26>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_26>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_26>=1))&&(i2.u1.COMPTEUR_20>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_27>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_27>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_27>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_27>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_27>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_27>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_27>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_27>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_27>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_27>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_27>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_27>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_27>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_27>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_27>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_27>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_27>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_27>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_27>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_27>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_27>=1))&&(i2.u1.COMPTEUR_20>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_28>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_28>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_28>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_28>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_28>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_28>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_28>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_28>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_28>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_28>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_28>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_28>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_28>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_28>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_28>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_28>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_28>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_28>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_28>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_28>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_28>=1))&&(i2.u1.COMPTEUR_20>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_29>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_29>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_29>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_29>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_29>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_29>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_29>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_29>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_29>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_29>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_29>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_29>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_29>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_29>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_29>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_29>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_29>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_29>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_29>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_29>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_29>=1))&&(i2.u1.COMPTEUR_20>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_30>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_30>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_30>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_30>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_30>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_30>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_30>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_30>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_30>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_30>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_30>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_30>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_30>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_30>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_30>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_30>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_30>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_30>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_30>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_30>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_30>=1))&&(i2.u1.COMPTEUR_20>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_31>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_31>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_31>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_31>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_31>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_31>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_31>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_31>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_31>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_31>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_31>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_31>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_31>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_31>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_31>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_31>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_31>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_31>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_31>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_31>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_31>=1))&&(i2.u1.COMPTEUR_20>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_32>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_32>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_32>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_32>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_32>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_32>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_32>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_32>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_32>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_32>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_32>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_32>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_32>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_32>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_32>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_32>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_32>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_32>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_32>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_32>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_32>=1))&&(i2.u1.COMPTEUR_20>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_33>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_33>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_33>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_33>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_33>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_33>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_33>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_33>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_33>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_33>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_33>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_33>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_33>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_33>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_33>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_33>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_33>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_33>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_33>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_33>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_33>=1))&&(i2.u1.COMPTEUR_20>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_34>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_34>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_34>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_34>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_34>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_34>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_34>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_34>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_34>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_34>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_34>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_34>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_34>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_34>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_34>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_34>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_34>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_34>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_34>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_34>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_34>=1))&&(i2.u1.COMPTEUR_20>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_35>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_35>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_35>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_35>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_35>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_35>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_35>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_35>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_35>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_35>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_35>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_35>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_35>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_35>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_35>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_35>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_35>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_35>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_35>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_35>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_35>=1))&&(i2.u1.COMPTEUR_20>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_36>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_36>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_36>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_36>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_36>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_36>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_36>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_36>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_36>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_36>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_36>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_36>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_36>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_36>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_36>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_36>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_36>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_36>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_36>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_36>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_36>=1))&&(i2.u1.COMPTEUR_20>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_37>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_37>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_37>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_37>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_37>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_37>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_37>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_37>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_37>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_37>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_37>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_37>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_37>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_37>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_37>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_37>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_37>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_37>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_37>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_37>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_37>=1))&&(i2.u1.COMPTEUR_20>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_38>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_38>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_38>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_38>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_38>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_38>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_38>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_38>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_38>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_38>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_38>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_38>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_38>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_38>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_38>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_38>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_38>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_38>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_38>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_38>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_38>=1))&&(i2.u1.COMPTEUR_20>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_39>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_39>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_39>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_39>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_39>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_39>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_39>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_39>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_39>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_39>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_39>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_39>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_39>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_39>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_39>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_39>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_39>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_39>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_39>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_39>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_39>=1))&&(i2.u1.COMPTEUR_20>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_40>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_40>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_40>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_40>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_40>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_40>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_40>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_40>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_40>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_40>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_40>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_40>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_40>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_40>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_40>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_40>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_40>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_40>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_40>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_40>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_40>=1))&&(i2.u1.COMPTEUR_20>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_41>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_41>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_41>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_41>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_41>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_41>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_41>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_41>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_41>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_41>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_41>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_41>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_41>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_41>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_41>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_41>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_41>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_41>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_41>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_41>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_41>=1))&&(i2.u1.COMPTEUR_20>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_42>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_42>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_42>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_42>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_42>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_42>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_42>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_42>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_42>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_42>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_42>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_42>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_42>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_42>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_42>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_42>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_42>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_42>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_42>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_42>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_42>=1))&&(i2.u1.COMPTEUR_20>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_43>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_43>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_43>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_43>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_43>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_43>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_43>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_43>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_43>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_43>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_43>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_43>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_43>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_43>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_43>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_43>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_43>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_43>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_43>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_43>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_43>=1))&&(i2.u1.COMPTEUR_20>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_44>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_44>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_44>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_44>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_44>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_44>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_44>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_44>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_44>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_44>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_44>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_44>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_44>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_44>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_44>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_44>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_44>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_44>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_44>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_44>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_44>=1))&&(i2.u1.COMPTEUR_20>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_45>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_45>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_45>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_45>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_45>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_45>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_45>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_45>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_45>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_45>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_45>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_45>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_45>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_45>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_45>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_45>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_45>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_45>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_45>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_45>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_45>=1))&&(i2.u1.COMPTEUR_20>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_46>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_46>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_46>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_46>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_46>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_46>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_46>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_46>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_46>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_46>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_46>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_46>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_46>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_46>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_46>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_46>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_46>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_46>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_46>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_46>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_46>=1))&&(i2.u1.COMPTEUR_20>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_47>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_47>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_47>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_47>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_47>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_47>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_47>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_47>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_47>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_47>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_47>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_47>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_47>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_47>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_47>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_47>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_47>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_47>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_47>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_47>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_47>=1))&&(i2.u1.COMPTEUR_20>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_48>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_48>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_48>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_48>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_48>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_48>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_48>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_48>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_48>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_48>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_48>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_48>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_48>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_48>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_48>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_48>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_48>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_48>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_48>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_48>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_48>=1))&&(i2.u1.COMPTEUR_20>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_49>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_49>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_49>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_49>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_49>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_49>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_49>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_49>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_49>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_49>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_49>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_49>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_49>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_49>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_49>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_49>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_49>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_49>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_49>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_49>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_49>=1))&&(i2.u1.COMPTEUR_20>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_50>=1))&&(i2.u1.COMPTEUR_0>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_50>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_50>=1))&&(i2.u1.COMPTEUR_2>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_50>=1))&&(i2.u1.COMPTEUR_3>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_50>=1))&&(i2.u1.COMPTEUR_4>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_50>=1))&&(i2.u1.COMPTEUR_5>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_50>=1))&&(i2.u1.COMPTEUR_6>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_50>=1))&&(i2.u1.COMPTEUR_7>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_50>=1))&&(i2.u1.COMPTEUR_8>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_50>=1))&&(i2.u1.COMPTEUR_9>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_50>=1))&&(i2.u1.COMPTEUR_10>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_50>=1))&&(i2.u1.COMPTEUR_11>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_50>=1))&&(i2.u1.COMPTEUR_12>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_50>=1))&&(i2.u1.COMPTEUR_13>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_50>=1))&&(i2.u1.COMPTEUR_14>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_50>=1))&&(i2.u1.COMPTEUR_15>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_50>=1))&&(i2.u1.COMPTEUR_16>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_50>=1))&&(i2.u1.COMPTEUR_17>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_50>=1))&&(i2.u1.COMPTEUR_18>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_50>=1))&&(i2.u1.COMPTEUR_19>=1)))||((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_50>=1))&&(i2.u1.COMPTEUR_20>=1))))||(((((((((((((((((((((((((((((((((((((((((((((((((((((i2.u4.NB_ATTENTE_B_0>=1)&&(i1.u3.ROUTE_B>=1))||((i1.u5.NB_ATTENTE_B_1>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_2>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_3>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_4>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_5>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_6>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_7>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_8>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_9>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_10>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_11>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_12>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_13>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_14>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_15>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_16>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_17>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_18>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_19>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_20>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_21>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_22>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_23>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_24>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_25>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_26>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_27>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_28>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_29>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_30>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_31>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_32>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_33>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_34>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_35>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_36>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_37>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_38>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_39>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_40>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_41>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_42>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_43>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_44>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_45>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_46>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_47>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_48>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_49>=1)&&(i1.u3.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_50>=1)&&(i1.u3.ROUTE_B>=1)))||(((((((((((((((((((((((((((((((((((((((((((((((((((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_1>=1))&&(i1.u3.ATTENTE_B>=1))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_2>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_3>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_4>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_5>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_6>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_7>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_8>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_9>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_10>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_11>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_12>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_13>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_14>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_15>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_16>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_17>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_18>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_19>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_20>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_21>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_22>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_23>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_24>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_25>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_26>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_27>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_28>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_29>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_30>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_31>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_32>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_33>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_34>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_35>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_36>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_37>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_38>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_39>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_40>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_41>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_42>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_43>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_44>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_45>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_46>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_47>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_48>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_49>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_50>=1))&&(i1.u3.ATTENTE_B>=1)))))||(!(((i0.u2.CHOIX_1>=1)&&(i2.u1.COMPTEUR_20>=1))||((i0.u2.CHOIX_2>=1)&&(i2.u1.COMPTEUR_20>=1))))))
Read [invariant] property : BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-06 with value :((!(((i0.u2.SUR_PONT_A>=1)||(((((((((((((((((((((((((((((((((((((((((((((((((((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_1>=1))&&(i1.u3.ATTENTE_B>=1))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_2>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_3>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_4>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_5>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_6>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_7>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_8>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_9>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_10>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_11>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_12>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_13>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_14>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_15>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_16>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_17>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_18>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_19>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_20>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_21>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_22>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_23>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_24>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_25>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_26>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_27>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_28>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_29>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_30>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_31>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_32>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_33>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_34>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_35>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_36>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_37>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_38>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_39>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_40>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_41>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_42>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_43>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_44>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_45>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_46>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_47>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_48>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_49>=1))&&(i1.u3.ATTENTE_B>=1)))||((((i0.u2.CAPACITE>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_50>=1))&&(i1.u3.ATTENTE_B>=1))))&&(i0.u2.SUR_PONT_A>=1)))||(((i0.u2.SUR_PONT_B>=1)&&(((i0.u2.CAPACITE>=20)&&(i2.u4.VIDANGE_1>=1))||((i0.u2.CAPACITE>=20)&&(i1.u5.VIDANGE_2>=1))))||((((((((((((((((((((((((((((((((((((((((((i0.u2.CHOIX_1>=1)&&(i2.u1.COMPTEUR_0>=1))||((i0.u2.CHOIX_2>=1)&&(i2.u1.COMPTEUR_0>=1)))||((i0.u2.CHOIX_1>=1)&&(i2.u1.COMPTEUR_1>=1)))||((i0.u2.CHOIX_2>=1)&&(i2.u1.COMPTEUR_1>=1)))||((i0.u2.CHOIX_1>=1)&&(i2.u1.COMPTEUR_2>=1)))||((i0.u2.CHOIX_2>=1)&&(i2.u1.COMPTEUR_2>=1)))||((i0.u2.CHOIX_1>=1)&&(i2.u1.COMPTEUR_3>=1)))||((i0.u2.CHOIX_2>=1)&&(i2.u1.COMPTEUR_3>=1)))||((i0.u2.CHOIX_1>=1)&&(i2.u1.COMPTEUR_4>=1)))||((i0.u2.CHOIX_2>=1)&&(i2.u1.COMPTEUR_4>=1)))||((i0.u2.CHOIX_1>=1)&&(i2.u1.COMPTEUR_5>=1)))||((i0.u2.CHOIX_2>=1)&&(i2.u1.COMPTEUR_5>=1)))||((i0.u2.CHOIX_1>=1)&&(i2.u1.COMPTEUR_6>=1)))||((i0.u2.CHOIX_2>=1)&&(i2.u1.COMPTEUR_6>=1)))||((i0.u2.CHOIX_1>=1)&&(i2.u1.COMPTEUR_7>=1)))||((i0.u2.CHOIX_2>=1)&&(i2.u1.COMPTEUR_7>=1)))||((i0.u2.CHOIX_1>=1)&&(i2.u1.COMPTEUR_8>=1)))||((i0.u2.CHOIX_2>=1)&&(i2.u1.COMPTEUR_8>=1)))||((i0.u2.CHOIX_1>=1)&&(i2.u1.COMPTEUR_9>=1)))||((i0.u2.CHOIX_2>=1)&&(i2.u1.COMPTEUR_9>=1)))||((i0.u2.CHOIX_1>=1)&&(i2.u1.COMPTEUR_10>=1)))||((i0.u2.CHOIX_2>=1)&&(i2.u1.COMPTEUR_10>=1)))||((i0.u2.CHOIX_1>=1)&&(i2.u1.COMPTEUR_11>=1)))||((i0.u2.CHOIX_2>=1)&&(i2.u1.COMPTEUR_11>=1)))||((i0.u2.CHOIX_1>=1)&&(i2.u1.COMPTEUR_12>=1)))||((i0.u2.CHOIX_2>=1)&&(i2.u1.COMPTEUR_12>=1)))||((i0.u2.CHOIX_1>=1)&&(i2.u1.COMPTEUR_13>=1)))||((i0.u2.CHOIX_2>=1)&&(i2.u1.COMPTEUR_13>=1)))||((i0.u2.CHOIX_1>=1)&&(i2.u1.COMPTEUR_14>=1)))||((i0.u2.CHOIX_2>=1)&&(i2.u1.COMPTEUR_14>=1)))||((i0.u2.CHOIX_1>=1)&&(i2.u1.COMPTEUR_15>=1)))||((i0.u2.CHOIX_2>=1)&&(i2.u1.COMPTEUR_15>=1)))||((i0.u2.CHOIX_1>=1)&&(i2.u1.COMPTEUR_16>=1)))||((i0.u2.CHOIX_2>=1)&&(i2.u1.COMPTEUR_16>=1)))||((i0.u2.CHOIX_1>=1)&&(i2.u1.COMPTEUR_17>=1)))||((i0.u2.CHOIX_2>=1)&&(i2.u1.COMPTEUR_17>=1)))||((i0.u2.CHOIX_1>=1)&&(i2.u1.COMPTEUR_18>=1)))||((i0.u2.CHOIX_2>=1)&&(i2.u1.COMPTEUR_18>=1)))||((i0.u2.CHOIX_1>=1)&&(i2.u1.COMPTEUR_19>=1)))||((i0.u2.CHOIX_2>=1)&&(i2.u1.COMPTEUR_19>=1)))||(!(((i0.u2.CAPACITE>=20)&&(i2.u4.VIDANGE_1>=1))||((i0.u2.CAPACITE>=20)&&(i1.u5.VIDANGE_2>=1)))))))
Read [invariant] property : BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-07 with value :((((((((((((((((((((((((((((((((((((((((((((((((((((i0.u0.ROUTE_A>=1)&&(i1.u5.NB_ATTENTE_A_0>=1))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_1>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_2>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_3>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_4>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_5>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_6>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_7>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_8>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_9>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_10>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_11>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_12>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_13>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_14>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_15>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_16>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_17>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_18>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_19>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_20>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_21>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_22>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_23>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_24>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_25>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_26>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_27>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_28>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_29>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_30>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_31>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_32>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_33>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_34>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_35>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_36>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_37>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_38>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_39>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_40>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_41>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_42>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_43>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_44>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_45>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_46>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_47>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_48>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_49>=1)))||((i0.u0.ROUTE_A>=1)&&(i2.u4.NB_ATTENTE_A_50>=1)))
Read [reachable] property : BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-08 with value :((!((((i2.u4.NB_ATTENTE_A_26>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_13>=1)))&&(((((i2.u4.NB_ATTENTE_A_29>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_18>=1))||((((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_15>=1))&&(i2.u1.COMPTEUR_12>=1))&&((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_7>=1))&&(i2.u1.COMPTEUR_3>=1)))&&((((i2.u4.NB_ATTENTE_A_46>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_18>=1)))))
Read [invariant] property : BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-09 with value :(((((i2.u4.NB_ATTENTE_A_16>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_1>=1))||(((!((((i2.u4.NB_ATTENTE_A_17>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_8>=1)))||(((((i2.u4.NB_ATTENTE_A_28>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1))&&((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_8>=1))&&(i2.u1.COMPTEUR_3>=1))))||((i1.u5.NB_ATTENTE_B_27>=1)&&(i1.u3.ROUTE_B>=1))))
Read [reachable] property : BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-10 with value :((((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_32>=1))&&(i2.u1.COMPTEUR_0>=1))||((((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_20>=1))&&(i2.u1.COMPTEUR_3>=1))||((((i2.u4.NB_ATTENTE_A_39>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_6>=1)))||(!((((i2.u4.NB_ATTENTE_A_49>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_16>=1)))))&&(!((!((((i2.u4.NB_ATTENTE_A_43>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_1>=1)))||(((((i2.u4.NB_ATTENTE_A_22>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_11>=1))&&((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_46>=1))&&(i2.u1.COMPTEUR_0>=1))))))
Read [invariant] property : BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-11 with value :((!((((i2.u4.NB_ATTENTE_A_13>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_1>=1)))&&((!(((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_6>=1))&&(i2.u1.COMPTEUR_14>=1))&&((((i2.u4.NB_ATTENTE_A_47>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_11>=1))))||((((((i2.u4.NB_ATTENTE_A_38>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1))&&((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_27>=1))&&(i2.u1.COMPTEUR_15>=1)))&&((i0.u2.CHOIX_2>=1)&&(i2.u1.COMPTEUR_18>=1)))))
Read [reachable] property : BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-12 with value :(!(((!((((i2.u4.NB_ATTENTE_A_15>=1)&&(i0.u0.ATTENTE_A>=1))&&(i0.u2.CAPACITE>=1))&&(i1.u5.CONTROLEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_49>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_1>=1)))||((((i2.u4.NB_ATTENTE_A_7>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_17>=1))))
Read [invariant] property : BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-13 with value :((!(((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_20>=1))&&(i2.u1.COMPTEUR_0>=1))&&(!((((i2.u4.NB_ATTENTE_A_34>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_3>=1)))))&&((!(((i1.u5.NB_ATTENTE_B_32>=1)&&(i1.u3.ROUTE_B>=1))&&((((i2.u4.NB_ATTENTE_A_16>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_14>=1))))||(!(((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_26>=1))&&(i2.u1.COMPTEUR_12>=1))&&((((i2.u4.NB_ATTENTE_A_32>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_3>=1))))))
Read [invariant] property : BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-14 with value :(!(((((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_11>=1))&&(i2.u1.COMPTEUR_15>=1))&&((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_6>=1))&&(i2.u1.COMPTEUR_12>=1)))&&(((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_25>=1))&&(i2.u1.COMPTEUR_0>=1))&&((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_14>=1))&&(i2.u1.COMPTEUR_13>=1))))&&((((i2.u4.NB_ATTENTE_A_15>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_11>=1))))
Read [invariant] property : BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-15 with value :(!(((!((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_34>=1))&&(i2.u1.COMPTEUR_14>=1)))&&(((((i2.u4.NB_ATTENTE_A_34>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_8>=1))||((i1.u5.NB_ATTENTE_B_35>=1)&&(i1.u3.ROUTE_B>=1))))&&((((((i2.u4.NB_ATTENTE_A_41>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_17>=1))||((((i2.u4.NB_ATTENTE_A_39>=1)&&(i2.u4.CONTROLEUR_2>=1))&&(i2.u4.NB_ATTENTE_B_0>=1))&&(i2.u1.COMPTEUR_9>=1)))||(!((((i1.u5.NB_ATTENTE_A_0>=1)&&(i1.u5.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_45>=1))&&(i2.u1.COMPTEUR_13>=1))))))
built 6 ordering constraints for composite.
built 195 ordering constraints for composite.
built 1225 ordering constraints for composite.
built 2296 ordering constraints for composite.
FORMULA BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-14 TRUE TECHNIQUES SAT_SMT K_INDUCTION(0)
Compilation finished in 67429 ms.
Running link step : CommandLine [args=[gcc, -shared, -o, gal.so, model.o], workingDir=/home/mcc/execution]
Link finished in 455 ms.
Running LTSmin : CommandLine [args=[/home/mcc/BenchKit//lts_install_dir//bin/pins2lts-mc, ./gal.so, --threads=1, -p, --pins-guards, --when, -i, BridgeAndVehiclesPTV50P20N20ReachabilityFireability00==true], workingDir=/home/mcc/execution]
WARNING : LTSmin timed out (>225 s) on command CommandLine [args=[/home/mcc/BenchKit//lts_install_dir//bin/pins2lts-mc, ./gal.so, --threads=1, -p, --pins-guards, --when, -i, BridgeAndVehiclesPTV50P20N20ReachabilityFireability00==true], workingDir=/home/mcc/execution]
Running LTSmin : CommandLine [args=[/home/mcc/BenchKit//lts_install_dir//bin/pins2lts-mc, ./gal.so, --threads=1, -p, --pins-guards, --when, -i, BridgeAndVehiclesPTV50P20N20ReachabilityFireability03==true], workingDir=/home/mcc/execution]
WARNING : LTSmin timed out (>225 s) on command CommandLine [args=[/home/mcc/BenchKit//lts_install_dir//bin/pins2lts-mc, ./gal.so, --threads=1, -p, --pins-guards, --when, -i, BridgeAndVehiclesPTV50P20N20ReachabilityFireability03==true], workingDir=/home/mcc/execution]
Running LTSmin : CommandLine [args=[/home/mcc/BenchKit//lts_install_dir//bin/pins2lts-mc, ./gal.so, --threads=1, -p, --pins-guards, --when, -i, BridgeAndVehiclesPTV50P20N20ReachabilityFireability05==true], workingDir=/home/mcc/execution]
WARNING : LTSmin timed out (>225 s) on command CommandLine [args=[/home/mcc/BenchKit//lts_install_dir//bin/pins2lts-mc, ./gal.so, --threads=1, -p, --pins-guards, --when, -i, BridgeAndVehiclesPTV50P20N20ReachabilityFireability05==true], workingDir=/home/mcc/execution]
Running LTSmin : CommandLine [args=[/home/mcc/BenchKit//lts_install_dir//bin/pins2lts-mc, ./gal.so, --threads=1, -p, --pins-guards, --when, -i, BridgeAndVehiclesPTV50P20N20ReachabilityFireability07==true], workingDir=/home/mcc/execution]
WARNING : LTSmin timed out (>225 s) on command CommandLine [args=[/home/mcc/BenchKit//lts_install_dir//bin/pins2lts-mc, ./gal.so, --threads=1, -p, --pins-guards, --when, -i, BridgeAndVehiclesPTV50P20N20ReachabilityFireability07==true], workingDir=/home/mcc/execution]
Running LTSmin : CommandLine [args=[/home/mcc/BenchKit//lts_install_dir//bin/pins2lts-mc, ./gal.so, --threads=1, -p, --pins-guards, --when, -i, BridgeAndVehiclesPTV50P20N20ReachabilityFireability08==true], workingDir=/home/mcc/execution]
WARNING : LTSmin timed out (>225 s) on command CommandLine [args=[/home/mcc/BenchKit//lts_install_dir//bin/pins2lts-mc, ./gal.so, --threads=1, -p, --pins-guards, --when, -i, BridgeAndVehiclesPTV50P20N20ReachabilityFireability08==true], workingDir=/home/mcc/execution]
Running LTSmin : CommandLine [args=[/home/mcc/BenchKit//lts_install_dir//bin/pins2lts-mc, ./gal.so, --threads=1, -p, --pins-guards, --when, -i, BridgeAndVehiclesPTV50P20N20ReachabilityFireability09==true], workingDir=/home/mcc/execution]
WARNING : LTSmin timed out (>225 s) on command CommandLine [args=[/home/mcc/BenchKit//lts_install_dir//bin/pins2lts-mc, ./gal.so, --threads=1, -p, --pins-guards, --when, -i, BridgeAndVehiclesPTV50P20N20ReachabilityFireability09==true], workingDir=/home/mcc/execution]
Running LTSmin : CommandLine [args=[/home/mcc/BenchKit//lts_install_dir//bin/pins2lts-mc, ./gal.so, --threads=1, -p, --pins-guards, --when, -i, BridgeAndVehiclesPTV50P20N20ReachabilityFireability10==true], workingDir=/home/mcc/execution]
WARNING : LTSmin timed out (>225 s) on command CommandLine [args=[/home/mcc/BenchKit//lts_install_dir//bin/pins2lts-mc, ./gal.so, --threads=1, -p, --pins-guards, --when, -i, BridgeAndVehiclesPTV50P20N20ReachabilityFireability10==true], workingDir=/home/mcc/execution]
Running LTSmin : CommandLine [args=[/home/mcc/BenchKit//lts_install_dir//bin/pins2lts-mc, ./gal.so, --threads=1, -p, --pins-guards, --when, -i, BridgeAndVehiclesPTV50P20N20ReachabilityFireability11==true], workingDir=/home/mcc/execution]
WARNING : LTSmin timed out (>225 s) on command CommandLine [args=[/home/mcc/BenchKit//lts_install_dir//bin/pins2lts-mc, ./gal.so, --threads=1, -p, --pins-guards, --when, -i, BridgeAndVehiclesPTV50P20N20ReachabilityFireability11==true], workingDir=/home/mcc/execution]
Running LTSmin : CommandLine [args=[/home/mcc/BenchKit//lts_install_dir//bin/pins2lts-mc, ./gal.so, --threads=1, -p, --pins-guards, --when, -i, BridgeAndVehiclesPTV50P20N20ReachabilityFireability12==true], workingDir=/home/mcc/execution]
LTSmin run took 73936 ms.
Found Violation
FORMULA BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-12 TRUE TECHNIQUES PARTIAL_ORDER EXPLICIT LTSMIN SAT_SMT
Running LTSmin : CommandLine [args=[/home/mcc/BenchKit//lts_install_dir//bin/pins2lts-mc, ./gal.so, --threads=1, -p, --pins-guards, --when, -i, BridgeAndVehiclesPTV50P20N20ReachabilityFireability13==true], workingDir=/home/mcc/execution]
WARNING : LTSmin timed out (>225 s) on command CommandLine [args=[/home/mcc/BenchKit//lts_install_dir//bin/pins2lts-mc, ./gal.so, --threads=1, -p, --pins-guards, --when, -i, BridgeAndVehiclesPTV50P20N20ReachabilityFireability13==true], workingDir=/home/mcc/execution]
Running LTSmin : CommandLine [args=[/home/mcc/BenchKit//lts_install_dir//bin/pins2lts-mc, ./gal.so, --threads=1, -p, --pins-guards, --when, -i, BridgeAndVehiclesPTV50P20N20ReachabilityFireability15==true], workingDir=/home/mcc/execution]
WARNING : LTSmin timed out (>225 s) on command CommandLine [args=[/home/mcc/BenchKit//lts_install_dir//bin/pins2lts-mc, ./gal.so, --threads=1, -p, --pins-guards, --when, -i, BridgeAndVehiclesPTV50P20N20ReachabilityFireability15==true], workingDir=/home/mcc/execution]
Retrying LTSmin with larger timeout 1800 s
Running LTSmin : CommandLine [args=[/home/mcc/BenchKit//lts_install_dir//bin/pins2lts-mc, ./gal.so, --threads=1, -p, --pins-guards, --when, -i, BridgeAndVehiclesPTV50P20N20ReachabilityFireability00==true], workingDir=/home/mcc/execution]

BK_TIME_CONFINEMENT_REACHED

--------------------
content from stderr:

+ export BINDIR=/home/mcc/BenchKit/
+ BINDIR=/home/mcc/BenchKit/
++ pwd
+ export MODEL=/home/mcc/execution
+ MODEL=/home/mcc/execution
+ /home/mcc/BenchKit//runeclipse.sh /home/mcc/execution ReachabilityFireability -its -ltsminpath /home/mcc/BenchKit//lts_install_dir/ -louvain -smt
+ ulimit -s 65536
+ [[ -z '' ]]
+ export LTSMIN_MEM_SIZE=8589934592
+ LTSMIN_MEM_SIZE=8589934592
+ /home/mcc/BenchKit//itstools/its-tools -consoleLog -data /home/mcc/execution/workspace -pnfolder /home/mcc/execution -examination ReachabilityFireability -z3path /home/mcc/BenchKit//z3/bin/z3 -yices2path /home/mcc/BenchKit//yices/bin/yices -its -ltsminpath /home/mcc/BenchKit//lts_install_dir/ -louvain -smt -vmargs -Dosgi.locking=none -Declipse.stateSaveDelayInterval=-1 -Dosgi.configuration.area=/tmp/.eclipse -Xss8m -Xms40m -Xmx8192m -Dfile.encoding=UTF-8 -Dosgi.requiredJavaVersion=1.6
May 26, 2018 6:09:12 PM fr.lip6.move.gal.application.Application start
INFO: Running its-tools with arguments : [-pnfolder, /home/mcc/execution, -examination, ReachabilityFireability, -z3path, /home/mcc/BenchKit//z3/bin/z3, -yices2path, /home/mcc/BenchKit//yices/bin/yices, -its, -ltsminpath, /home/mcc/BenchKit//lts_install_dir/, -louvain, -smt]
May 26, 2018 6:09:12 PM fr.lip6.move.gal.application.MccTranslator transformPNML
INFO: Parsing pnml file : /home/mcc/execution/model.pnml
May 26, 2018 6:09:13 PM fr.lip6.move.gal.nupn.PTNetReader loadFromXML
INFO: Load time of PNML (sax parser for PT used): 431 ms
May 26, 2018 6:09:13 PM fr.lip6.move.gal.pnml.togal.PTGALTransformer handlePage
INFO: Transformed 138 places.
May 26, 2018 6:09:13 PM fr.lip6.move.gal.pnml.togal.PTGALTransformer handlePage
INFO: Transformed 2348 transitions.
May 26, 2018 6:09:16 PM fr.lip6.move.gal.instantiate.GALRewriter flatten
INFO: Flatten gal took : 1448 ms
May 26, 2018 6:09:17 PM fr.lip6.move.gal.application.MccTranslator applyOrder
INFO: Applying decomposition
May 26, 2018 6:09:18 PM fr.lip6.move.gal.instantiate.GALRewriter flatten
INFO: Flatten gal took : 1109 ms
May 26, 2018 6:09:18 PM fr.lip6.move.gal.instantiate.GALRewriter flatten
INFO: Flatten gal took : 1085 ms
May 26, 2018 6:09:19 PM fr.lip6.move.gal.semantics.DeterministicNextBuilder getDeterministicNext
INFO: Input system was already deterministic with 2348 transitions.
May 26, 2018 6:09:19 PM fr.lip6.move.gal.gal2pins.Gal2PinsTransformerNext transform
INFO: Too many transitions (2348) to apply POR reductions. Disabling POR matrices.
May 26, 2018 6:09:19 PM fr.lip6.move.gal.instantiate.GALRewriter flatten
INFO: Flatten gal took : 1219 ms
May 26, 2018 6:09:19 PM fr.lip6.move.gal.semantics.DeterministicNextBuilder getDeterministicNext
INFO: Input system was already deterministic with 2348 transitions.
May 26, 2018 6:09:21 PM fr.lip6.move.gal.gal2pins.Gal2PinsTransformerNext transform
INFO: Built C files in 3894ms conformant to PINS in folder :/home/mcc/execution
Begin: Sat May 26 18:09:21 2018

Computation of communities with the Newman-Girvan Modularity quality function

level 0:
start computation: Sat May 26 18:09:21 2018
network size: 138 nodes, 2190 links, 4696 weight
quality increased from -0.090238 to 0.194799
end computation: Sat May 26 18:09:21 2018
level 1:
start computation: Sat May 26 18:09:21 2018
network size: 6 nodes, 30 links, 4696 weight
quality increased from 0.194799 to 0.21744
end computation: Sat May 26 18:09:21 2018
level 2:
start computation: Sat May 26 18:09:21 2018
network size: 3 nodes, 9 links, 4696 weight
quality increased from 0.21744 to 0.21744
end computation: Sat May 26 18:09:21 2018
End: Sat May 26 18:09:21 2018
Total duration: 0 sec
0.21744
May 26, 2018 6:09:21 PM fr.lip6.move.gal.instantiate.CompositeBuilder decomposeWithOrder
INFO: Decomposing Gal with order
May 26, 2018 6:09:22 PM fr.lip6.move.gal.instantiate.GALRewriter flatten
INFO: Flatten gal took : 1113 ms
May 26, 2018 6:09:22 PM fr.lip6.move.gal.instantiate.CompositeBuilder rewriteArraysToAllowPartition
INFO: Rewriting arrays to variables to allow decomposition.
May 26, 2018 6:09:24 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd checkProperties
INFO: Ran tautology test, simplified 0 / 16 in 6517 ms.
May 26, 2018 6:09:26 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-00(UNSAT) depth K=0 took 269 ms
May 26, 2018 6:09:26 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-01(UNSAT) depth K=0 took 26 ms
May 26, 2018 6:09:26 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-02(UNSAT) depth K=0 took 35 ms
May 26, 2018 6:09:26 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-03(UNSAT) depth K=0 took 11 ms
May 26, 2018 6:09:26 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-04(UNSAT) depth K=0 took 23 ms
May 26, 2018 6:09:26 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-05(UNSAT) depth K=0 took 164 ms
May 26, 2018 6:09:26 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-06(UNSAT) depth K=0 took 22 ms
May 26, 2018 6:09:26 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-07(UNSAT) depth K=0 took 12 ms
May 26, 2018 6:09:26 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-08(UNSAT) depth K=0 took 29 ms
May 26, 2018 6:09:26 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-09(UNSAT) depth K=0 took 8 ms
May 26, 2018 6:09:26 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-10(UNSAT) depth K=0 took 10 ms
May 26, 2018 6:09:26 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-11(UNSAT) depth K=0 took 16 ms
May 26, 2018 6:09:26 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-12(UNSAT) depth K=0 took 14 ms
May 26, 2018 6:09:26 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-13(UNSAT) depth K=0 took 12 ms
May 26, 2018 6:09:26 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-14(UNSAT) depth K=0 took 23 ms
May 26, 2018 6:09:26 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-15(UNSAT) depth K=0 took 12 ms
May 26, 2018 6:09:27 PM fr.lip6.move.gal.semantics.DeterministicNextBuilder getDeterministicNext
INFO: Input system was already deterministic with 2348 transitions.
May 26, 2018 6:09:27 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-00(UNSAT) depth K=1 took 341 ms
May 26, 2018 6:09:27 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-01(UNSAT) depth K=1 took 27 ms
May 26, 2018 6:09:27 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-02(UNSAT) depth K=1 took 82 ms
May 26, 2018 6:09:27 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-03(UNSAT) depth K=1 took 14 ms
May 26, 2018 6:09:27 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-04(UNSAT) depth K=1 took 44 ms
May 26, 2018 6:09:27 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-05(UNSAT) depth K=1 took 462 ms
May 26, 2018 6:09:27 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-06(UNSAT) depth K=1 took 52 ms
May 26, 2018 6:09:27 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-07(UNSAT) depth K=1 took 14 ms
May 26, 2018 6:09:27 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-08(UNSAT) depth K=1 took 24 ms
May 26, 2018 6:09:28 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-09(UNSAT) depth K=1 took 10 ms
May 26, 2018 6:09:28 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-10(UNSAT) depth K=1 took 9 ms
May 26, 2018 6:09:28 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-11(UNSAT) depth K=1 took 15 ms
May 26, 2018 6:09:28 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-12(UNSAT) depth K=1 took 16 ms
May 26, 2018 6:09:28 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-13(UNSAT) depth K=1 took 18 ms
May 26, 2018 6:09:28 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-14(UNSAT) depth K=1 took 19 ms
May 26, 2018 6:09:28 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-15(UNSAT) depth K=1 took 15 ms
May 26, 2018 6:09:28 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-00(UNSAT) depth K=2 took 732 ms
May 26, 2018 6:09:28 PM fr.lip6.move.gal.gal2smt.bmc.KInductionSolver computeAndDeclareInvariants
INFO: Computed 7 place invariants in 238 ms
May 26, 2018 6:09:29 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-01(UNSAT) depth K=2 took 643 ms
May 26, 2018 6:09:29 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-02(UNSAT) depth K=2 took 235 ms
May 26, 2018 6:09:29 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-03(UNSAT) depth K=2 took 226 ms
May 26, 2018 6:09:30 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-04(UNSAT) depth K=2 took 150 ms
May 26, 2018 6:09:30 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-05(UNSAT) depth K=2 took 389 ms
May 26, 2018 6:09:31 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-06(UNSAT) depth K=2 took 498 ms
May 26, 2018 6:09:31 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-07(UNSAT) depth K=2 took 179 ms
May 26, 2018 6:09:31 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-08(UNSAT) depth K=2 took 269 ms
May 26, 2018 6:09:31 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-09(UNSAT) depth K=2 took 67 ms
May 26, 2018 6:09:31 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-10(UNSAT) depth K=2 took 164 ms
May 26, 2018 6:09:31 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-11(UNSAT) depth K=2 took 127 ms
May 26, 2018 6:09:31 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-12(UNSAT) depth K=2 took 75 ms
May 26, 2018 6:09:32 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-13(UNSAT) depth K=2 took 489 ms
May 26, 2018 6:09:32 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-14(UNSAT) depth K=2 took 76 ms
May 26, 2018 6:09:32 PM fr.lip6.move.gal.gal2smt.bmc.KInductionSolver init
INFO: Proved 138 variables to be positive in 3978 ms
May 26, 2018 6:09:32 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-15(UNSAT) depth K=2 took 324 ms
May 26, 2018 6:09:33 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-00
May 26, 2018 6:09:33 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-00(SAT) depth K=0 took 1166 ms
May 26, 2018 6:09:35 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is UNSAT, proved UNreachability of reachability predicate BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-01
May 26, 2018 6:09:35 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is UNSAT, successfully proved induction at step 0 for BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-01
May 26, 2018 6:09:35 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-01(FALSE) depth K=0 took 1933 ms
May 26, 2018 6:09:37 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is UNSAT, proved UNreachability of reachability predicate BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-02
May 26, 2018 6:09:37 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is UNSAT, successfully proved induction at step 0 for BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-02
May 26, 2018 6:09:37 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-02(FALSE) depth K=0 took 1713 ms
May 26, 2018 6:09:38 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-03
May 26, 2018 6:09:38 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-03(SAT) depth K=0 took 960 ms
May 26, 2018 6:09:38 PM fr.lip6.move.gal.instantiate.Instantiator fuseIsomorphicEffects
INFO: Removed a total of 4571 redundant transitions.
May 26, 2018 6:09:38 PM fr.lip6.move.serialization.SerializationUtil systemToFile
INFO: Time to serialize gal into /home/mcc/execution/ReachabilityFireability.pnml.gal : 120 ms
May 26, 2018 6:09:39 PM fr.lip6.move.serialization.SerializationUtil serializePropertiesForITSTools
INFO: Time to serialize properties into /home/mcc/execution/ReachabilityFireability.prop : 170 ms
May 26, 2018 6:09:39 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is UNSAT, proved UNreachability of reachability predicate BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-04
May 26, 2018 6:09:39 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is UNSAT, successfully proved induction at step 0 for BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-04
May 26, 2018 6:09:39 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-04(FALSE) depth K=0 took 1283 ms
May 26, 2018 6:09:40 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-05
May 26, 2018 6:09:40 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-05(SAT) depth K=0 took 1148 ms
May 26, 2018 6:09:41 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-00(UNSAT) depth K=3 took 8258 ms
May 26, 2018 6:09:41 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is UNSAT, proved invariant BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-06
May 26, 2018 6:09:41 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is UNSAT, successfully proved induction at step 0 for BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-06
May 26, 2018 6:09:41 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-06(TRUE) depth K=0 took 882 ms
May 26, 2018 6:09:42 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-01(UNSAT) depth K=3 took 977 ms
May 26, 2018 6:09:42 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-07
May 26, 2018 6:09:42 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-07(SAT) depth K=0 took 951 ms
May 26, 2018 6:09:43 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-08
May 26, 2018 6:09:43 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-08(SAT) depth K=0 took 1229 ms
May 26, 2018 6:09:44 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-09
May 26, 2018 6:09:44 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-09(SAT) depth K=0 took 1081 ms
May 26, 2018 6:09:45 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-10
May 26, 2018 6:09:45 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-10(SAT) depth K=0 took 999 ms
May 26, 2018 6:09:46 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-11
May 26, 2018 6:09:46 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-11(SAT) depth K=0 took 491 ms
May 26, 2018 6:09:46 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-02(UNSAT) depth K=3 took 4591 ms
May 26, 2018 6:09:47 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-03(UNSAT) depth K=3 took 478 ms
May 26, 2018 6:09:47 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-12
May 26, 2018 6:09:47 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-12(SAT) depth K=0 took 1065 ms
May 26, 2018 6:09:48 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-13
May 26, 2018 6:09:48 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-13(SAT) depth K=0 took 1249 ms
May 26, 2018 6:09:48 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-04(UNSAT) depth K=3 took 1784 ms
May 26, 2018 6:09:49 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is UNSAT, proved invariant BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-14
May 26, 2018 6:09:49 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is UNSAT, successfully proved induction at step 0 for BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-14
May 26, 2018 6:09:49 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-14(TRUE) depth K=0 took 1092 ms
May 26, 2018 6:09:50 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-15
May 26, 2018 6:09:50 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-15(SAT) depth K=0 took 646 ms
May 26, 2018 6:09:50 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-05(UNSAT) depth K=3 took 2043 ms
May 26, 2018 6:09:51 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-06(UNSAT) depth K=3 took 805 ms
May 26, 2018 6:09:52 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-07(UNSAT) depth K=3 took 567 ms
May 26, 2018 6:09:53 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-08(UNSAT) depth K=3 took 1092 ms
May 26, 2018 6:09:53 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-00
May 26, 2018 6:09:53 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-00(SAT) depth K=1 took 3019 ms
May 26, 2018 6:09:54 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-09(UNSAT) depth K=3 took 649 ms
May 26, 2018 6:09:57 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-10(UNSAT) depth K=3 took 3307 ms
May 26, 2018 6:09:58 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-11(UNSAT) depth K=3 took 1447 ms
May 26, 2018 6:09:59 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-12(UNSAT) depth K=3 took 538 ms
May 26, 2018 6:10:01 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-13(UNSAT) depth K=3 took 1703 ms
May 26, 2018 6:10:02 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-14(UNSAT) depth K=3 took 1079 ms
May 26, 2018 6:10:03 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-15(UNSAT) depth K=3 took 946 ms
May 26, 2018 6:10:04 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-03
May 26, 2018 6:10:04 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-03(SAT) depth K=1 took 10959 ms
May 26, 2018 6:10:10 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-00(UNSAT) depth K=4 took 7685 ms
May 26, 2018 6:10:12 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-05
May 26, 2018 6:10:12 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-05(SAT) depth K=1 took 7536 ms
May 26, 2018 6:10:13 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-07
May 26, 2018 6:10:13 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-07(SAT) depth K=1 took 1451 ms
May 26, 2018 6:10:14 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-03(UNSAT) depth K=4 took 3905 ms
May 26, 2018 6:10:16 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-08
May 26, 2018 6:10:16 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-08(SAT) depth K=1 took 2941 ms
May 26, 2018 6:10:18 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-09
May 26, 2018 6:10:18 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-09(SAT) depth K=1 took 1615 ms
May 26, 2018 6:10:20 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-10
May 26, 2018 6:10:20 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-10(SAT) depth K=1 took 1980 ms
May 26, 2018 6:10:23 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-11
May 26, 2018 6:10:23 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-11(SAT) depth K=1 took 2925 ms
May 26, 2018 6:10:28 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-12
May 26, 2018 6:10:28 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-12(SAT) depth K=1 took 5723 ms
May 26, 2018 6:10:36 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-13
May 26, 2018 6:10:36 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-13(SAT) depth K=1 took 7760 ms
May 26, 2018 6:10:38 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-05(UNSAT) depth K=4 took 23706 ms
May 26, 2018 6:10:42 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-15
May 26, 2018 6:10:42 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-15(SAT) depth K=1 took 6422 ms
May 26, 2018 6:10:48 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-00
May 26, 2018 6:10:48 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-00(SAT) depth K=2 took 5132 ms
May 26, 2018 6:11:02 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-07(UNSAT) depth K=4 took 23831 ms
May 26, 2018 6:11:06 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-08(UNSAT) depth K=4 took 4671 ms
May 26, 2018 6:11:18 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-09(UNSAT) depth K=4 took 11903 ms
May 26, 2018 6:11:19 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-03
May 26, 2018 6:11:19 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-03(SAT) depth K=2 took 31088 ms
May 26, 2018 6:11:21 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-05
May 26, 2018 6:11:21 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-05(SAT) depth K=2 took 2664 ms
May 26, 2018 6:11:25 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-10(UNSAT) depth K=4 took 6405 ms
May 26, 2018 6:11:34 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-11(UNSAT) depth K=4 took 8907 ms
May 26, 2018 6:11:40 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-12(UNSAT) depth K=4 took 6000 ms
May 26, 2018 6:11:42 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-07
May 26, 2018 6:11:42 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-07(SAT) depth K=2 took 20437 ms
May 26, 2018 6:11:50 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-13(UNSAT) depth K=4 took 9999 ms
May 26, 2018 6:12:01 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-15(UNSAT) depth K=4 took 11727 ms
May 26, 2018 6:12:03 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-08
May 26, 2018 6:12:03 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-08(SAT) depth K=2 took 21212 ms
May 26, 2018 6:12:06 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-09
May 26, 2018 6:12:06 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-09(SAT) depth K=2 took 2532 ms
May 26, 2018 6:12:25 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-10
May 26, 2018 6:12:25 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-10(SAT) depth K=2 took 19318 ms
May 26, 2018 6:12:39 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-11
May 26, 2018 6:12:39 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-11(SAT) depth K=2 took 13645 ms
May 26, 2018 6:12:45 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-00(UNSAT) depth K=5 took 43510 ms
May 26, 2018 6:12:57 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-12
May 26, 2018 6:12:57 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-12(SAT) depth K=2 took 18562 ms
May 26, 2018 6:13:06 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-13
May 26, 2018 6:13:06 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-13(SAT) depth K=2 took 9274 ms
May 26, 2018 6:13:10 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-03(UNSAT) depth K=5 took 24829 ms
May 26, 2018 6:13:23 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-15
May 26, 2018 6:13:23 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-15(SAT) depth K=2 took 16843 ms
May 26, 2018 6:13:41 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-05(UNSAT) depth K=5 took 30901 ms
May 26, 2018 6:13:47 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-00
May 26, 2018 6:13:47 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-00(SAT) depth K=3 took 23629 ms
May 26, 2018 6:14:20 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-07(UNSAT) depth K=5 took 39426 ms
May 26, 2018 6:14:36 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-08(UNSAT) depth K=5 took 15897 ms
May 26, 2018 6:14:43 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-03
May 26, 2018 6:14:43 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-03(SAT) depth K=3 took 56110 ms
May 26, 2018 6:15:10 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-09(UNSAT) depth K=5 took 33516 ms
May 26, 2018 6:15:40 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-10(UNSAT) depth K=5 took 30208 ms
May 26, 2018 6:16:00 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-11(UNSAT) depth K=5 took 20728 ms
May 26, 2018 6:16:32 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-12(UNSAT) depth K=5 took 31739 ms
May 26, 2018 6:16:48 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-13(UNSAT) depth K=5 took 15633 ms
May 26, 2018 6:17:17 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-15(UNSAT) depth K=5 took 28645 ms
May 26, 2018 6:17:20 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-05
May 26, 2018 6:17:20 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-05(SAT) depth K=3 took 157403 ms
May 26, 2018 6:17:27 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-07
May 26, 2018 6:17:27 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-07(SAT) depth K=3 took 6804 ms
May 26, 2018 6:18:27 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-08
May 26, 2018 6:18:27 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-08(SAT) depth K=3 took 60108 ms
May 26, 2018 6:18:36 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-00(UNSAT) depth K=6 took 79381 ms
May 26, 2018 6:18:53 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-09
May 26, 2018 6:18:53 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-09(SAT) depth K=3 took 25549 ms
May 26, 2018 6:19:24 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-10
May 26, 2018 6:19:24 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-10(SAT) depth K=3 took 31019 ms
May 26, 2018 6:19:29 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-03(UNSAT) depth K=6 took 53375 ms
May 26, 2018 6:20:05 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-11
May 26, 2018 6:20:05 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-11(SAT) depth K=3 took 40900 ms
May 26, 2018 6:21:06 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-12
May 26, 2018 6:21:06 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-12(SAT) depth K=3 took 61641 ms
May 26, 2018 6:21:23 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-05(UNSAT) depth K=6 took 113751 ms
May 26, 2018 6:22:11 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-13
May 26, 2018 6:22:11 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-13(SAT) depth K=3 took 64537 ms
May 26, 2018 6:22:37 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-07(UNSAT) depth K=6 took 74077 ms
May 26, 2018 6:22:45 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-15
May 26, 2018 6:22:45 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-15(SAT) depth K=3 took 34055 ms
May 26, 2018 6:23:27 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-08(UNSAT) depth K=6 took 50211 ms
May 26, 2018 6:24:12 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-00
May 26, 2018 6:24:12 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-00(SAT) depth K=4 took 86666 ms
May 26, 2018 6:24:16 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-09(UNSAT) depth K=6 took 48866 ms
May 26, 2018 6:25:09 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-10(UNSAT) depth K=6 took 52663 ms
May 26, 2018 6:25:48 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-03
May 26, 2018 6:25:48 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-03(SAT) depth K=4 took 96808 ms
May 26, 2018 6:26:06 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-11(UNSAT) depth K=6 took 56774 ms
May 26, 2018 6:27:14 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-12(UNSAT) depth K=6 took 68421 ms
May 26, 2018 6:28:32 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-05
May 26, 2018 6:28:32 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-05(SAT) depth K=4 took 163688 ms
May 26, 2018 6:28:47 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-13(UNSAT) depth K=6 took 92785 ms
May 26, 2018 6:30:00 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-15(UNSAT) depth K=6 took 72983 ms
May 26, 2018 6:30:43 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-07
May 26, 2018 6:30:43 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-07(SAT) depth K=4 took 130491 ms
May 26, 2018 6:31:53 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-08
May 26, 2018 6:31:53 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-08(SAT) depth K=4 took 70071 ms
May 26, 2018 6:32:10 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-00(UNSAT) depth K=7 took 129756 ms
May 26, 2018 6:33:24 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-09
May 26, 2018 6:33:24 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-09(SAT) depth K=4 took 91142 ms
May 26, 2018 6:33:45 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-03(UNSAT) depth K=7 took 95119 ms
May 26, 2018 6:34:41 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-10
May 26, 2018 6:34:41 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-10(SAT) depth K=4 took 76688 ms
May 26, 2018 6:37:07 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-11
May 26, 2018 6:37:07 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-11(SAT) depth K=4 took 146710 ms
May 26, 2018 6:37:12 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-05(UNSAT) depth K=7 took 207531 ms
May 26, 2018 6:38:31 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-12
May 26, 2018 6:38:31 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-12(SAT) depth K=4 took 83427 ms
May 26, 2018 6:39:52 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-13
May 26, 2018 6:39:52 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-13(SAT) depth K=4 took 81254 ms
May 26, 2018 6:40:00 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-07(UNSAT) depth K=7 took 167964 ms
May 26, 2018 6:41:39 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-15
May 26, 2018 6:41:39 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-15(SAT) depth K=4 took 106791 ms
May 26, 2018 6:42:14 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-08(UNSAT) depth K=7 took 133770 ms
May 26, 2018 6:43:37 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-09(UNSAT) depth K=7 took 83165 ms
May 26, 2018 6:45:44 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-10(UNSAT) depth K=7 took 126571 ms
May 26, 2018 6:45:57 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-00
May 26, 2018 6:45:57 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-00(SAT) depth K=5 took 258544 ms
May 26, 2018 6:47:17 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-11(UNSAT) depth K=7 took 93016 ms
May 26, 2018 6:47:50 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-03
May 26, 2018 6:47:50 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-03(SAT) depth K=5 took 112403 ms
May 26, 2018 6:49:01 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-12(UNSAT) depth K=7 took 103869 ms
May 26, 2018 6:51:50 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-13(UNSAT) depth K=7 took 169467 ms
May 26, 2018 6:54:00 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-15(UNSAT) depth K=7 took 130072 ms
May 26, 2018 6:54:56 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-05
May 26, 2018 6:54:56 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-05(SAT) depth K=5 took 426563 ms
May 26, 2018 6:57:03 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-07
May 26, 2018 6:57:03 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-07(SAT) depth K=5 took 126758 ms
May 26, 2018 6:58:57 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-00(UNSAT) depth K=8 took 296279 ms
May 26, 2018 7:00:25 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-08
May 26, 2018 7:00:25 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-08(SAT) depth K=5 took 202434 ms
May 26, 2018 7:02:37 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-03(UNSAT) depth K=8 took 220416 ms
May 26, 2018 7:02:57 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-09
May 26, 2018 7:02:57 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-09(SAT) depth K=5 took 151869 ms
May 26, 2018 7:06:33 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-10
May 26, 2018 7:06:33 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-10(SAT) depth K=5 took 215614 ms
May 26, 2018 7:08:38 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-11
May 26, 2018 7:08:38 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N20-ReachabilityFireability-11(SAT) depth K=5 took 124781 ms

Sequence of Actions to be Executed by the VM

This is useful if one wants to reexecute the tool in the VM from the submitted image disk.

set -x
# this is for BenchKit: configuration of major elements for the test
export BK_INPUT="BridgeAndVehicles-PT-V50P20N20"
export BK_EXAMINATION="ReachabilityFireability"
export BK_TOOL="itstoolsl"
export BK_RESULT_DIR="/tmp/BK_RESULTS/OUTPUTS"
export BK_TIME_CONFINEMENT="3600"
export BK_MEMORY_CONFINEMENT="16384"

# this is specific to your benchmark or test

export BIN_DIR="$HOME/BenchKit/bin"

# remove the execution directoty if it exists (to avoid increse of .vmdk images)
if [ -d execution ] ; then
rm -rf execution
fi

tar xzf /home/mcc/BenchKit/INPUTS/BridgeAndVehicles-PT-V50P20N20.tgz
mv BridgeAndVehicles-PT-V50P20N20 execution
cd execution
pwd
ls -lh

# this is for BenchKit: explicit launching of the test
echo "====================================================================="
echo " Generated by BenchKit 2-3637"
echo " Executing tool itstoolsl"
echo " Input is BridgeAndVehicles-PT-V50P20N20, examination is ReachabilityFireability"
echo " Time confinement is $BK_TIME_CONFINEMENT seconds"
echo " Memory confinement is 16384 MBytes"
echo " Number of cores is 4"
echo " Run identifier is r033-ebro-152646311200322"
echo "====================================================================="
echo
echo "--------------------"
echo "content from stdout:"
echo
echo "=== Data for post analysis generated by BenchKit (invocation template)"
echo
if [ "ReachabilityFireability" = "UpperBounds" ] ; then
echo "The expected result is a vector of positive values"
echo NUM_VECTOR
elif [ "ReachabilityFireability" != "StateSpace" ] ; then
echo "The expected result is a vector of booleans"
echo BOOL_VECTOR
else
echo "no data necessary for post analysis"
fi
echo
if [ -f "ReachabilityFireability.txt" ] ; then
echo "here is the order used to build the result vector(from text file)"
for x in $(grep Property ReachabilityFireability.txt | cut -d ' ' -f 2 | sort -u) ; do
echo "FORMULA_NAME $x"
done
elif [ -f "ReachabilityFireability.xml" ] ; then # for cunf (txt files deleted;-)
echo echo "here is the order used to build the result vector(from xml file)"
for x in $(grep '' ReachabilityFireability.xml | cut -d '>' -f 2 | cut -d '<' -f 1 | sort -u) ; do
echo "FORMULA_NAME $x"
done
fi
echo
echo "=== Now, execution of the tool begins"
echo
echo -n "BK_START "
date -u +%s%3N
echo
timeout -s 9 $BK_TIME_CONFINEMENT bash -c "/home/mcc/BenchKit/BenchKit_head.sh 2> STDERR ; echo ; echo -n \"BK_STOP \" ; date -u +%s%3N"
if [ $? -eq 137 ] ; then
echo
echo "BK_TIME_CONFINEMENT_REACHED"
fi
echo
echo "--------------------"
echo "content from stderr:"
echo
cat STDERR ;