fond
Model Checking Contest 2018
8th edition, Bratislava, Slovakia, June 26, 2018
Execution of r033-ebro-152646311200315
Last Updated
June 26, 2018

About the Execution of ITS-Tools.L for BridgeAndVehicles-PT-V50P20N10

Execution Summary
Max Memory
Used (MB)
Time wait (ms) CPU Usage (ms) I/O Wait (ms) Computed Result Execution
Status
15752.930 3600000.00 14385935.00 203.30 F?F????FT?????T? normal

Execution Chart

We display below the execution chart for this examination (boot time has been removed).

Trace from the execution

Waiting for the VM to be ready (probing ssh)
....................
/home/mcc/execution
total 2.3M
-rw-r--r-- 1 mcc users 8.7K May 15 18:54 CTLCardinality.txt
-rw-r--r-- 1 mcc users 34K May 15 18:54 CTLCardinality.xml
-rw-r--r-- 1 mcc users 69K May 15 18:54 CTLFireability.txt
-rw-r--r-- 1 mcc users 205K May 15 18:54 CTLFireability.xml
-rw-r--r-- 1 mcc users 4.0K May 15 18:49 GenericPropertiesDefinition.xml
-rw-r--r-- 1 mcc users 6.1K May 15 18:49 GenericPropertiesVerdict.xml
-rw-r--r-- 1 mcc users 4.9K May 15 18:54 LTLCardinality.txt
-rw-r--r-- 1 mcc users 16K May 15 18:54 LTLCardinality.xml
-rw-r--r-- 1 mcc users 19K May 15 18:54 LTLFireability.txt
-rw-r--r-- 1 mcc users 56K May 15 18:54 LTLFireability.xml
-rw-r--r-- 1 mcc users 9.2K May 15 18:54 ReachabilityCardinality.txt
-rw-r--r-- 1 mcc users 31K May 15 18:54 ReachabilityCardinality.xml
-rw-r--r-- 1 mcc users 121 May 15 18:54 ReachabilityDeadlock.txt
-rw-r--r-- 1 mcc users 359 May 15 18:54 ReachabilityDeadlock.xml
-rw-r--r-- 1 mcc users 72K May 15 18:54 ReachabilityFireability.txt
-rw-r--r-- 1 mcc users 216K May 15 18:54 ReachabilityFireability.xml
-rw-r--r-- 1 mcc users 3.0K May 15 18:54 UpperBounds.txt
-rw-r--r-- 1 mcc users 6.3K May 15 18:54 UpperBounds.xml
-rw-r--r-- 1 mcc users 5 May 15 18:49 equiv_col
-rw-r--r-- 1 mcc users 10 May 15 18:49 instance
-rw-r--r-- 1 mcc users 6 May 15 18:49 iscolored
-rw-r--r-- 1 mcc users 1.5M May 15 18:49 model.pnml
=====================================================================
Generated by BenchKit 2-3637
Executing tool itstoolsl
Input is BridgeAndVehicles-PT-V50P20N10, examination is ReachabilityFireability
Time confinement is 3600 seconds
Memory confinement is 16384 MBytes
Number of cores is 4
Run identifier is r033-ebro-152646311200315
=====================================================================


--------------------
content from stdout:

=== Data for post analysis generated by BenchKit (invocation template)

The expected result is a vector of booleans
BOOL_VECTOR

here is the order used to build the result vector(from text file)
FORMULA_NAME BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-00
FORMULA_NAME BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-01
FORMULA_NAME BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-02
FORMULA_NAME BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-03
FORMULA_NAME BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-04
FORMULA_NAME BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-05
FORMULA_NAME BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-06
FORMULA_NAME BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-07
FORMULA_NAME BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-08
FORMULA_NAME BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-09
FORMULA_NAME BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-10
FORMULA_NAME BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-11
FORMULA_NAME BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-12
FORMULA_NAME BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-13
FORMULA_NAME BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-14
FORMULA_NAME BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-15

=== Now, execution of the tool begins

BK_START 1527355268314

Using solver Z3 to compute partial order matrices.
Built C files in :
/home/mcc/execution
Converted graph to binary with : CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.louvain.binaries_1.0.0.201805241334/bin/convert-linux64, -i, /tmp/graph1666461315692764271.txt, -o, /tmp/graph1666461315692764271.bin, -w, /tmp/graph1666461315692764271.weights], workingDir=null]
Built communities with : CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.louvain.binaries_1.0.0.201805241334/bin/louvain-linux64, /tmp/graph1666461315692764271.bin, -l, -1, -v, -w, /tmp/graph1666461315692764271.weights, -q, 0, -e, 0.001], workingDir=null]
FORMULA BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-00 FALSE TECHNIQUES SAT_SMT TAUTOLOGY
FORMULA BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-02 FALSE TECHNIQUES SAT_SMT TAUTOLOGY
Presburger conditions satisfied. Using coverability to approximate state space in K-Induction.
Normalized transition count is 250
// Phase 1: matrix 250 rows 128 cols
invariant :ROUTE_A + ATTENTE_A + SORTI_A + -1'CAPACITE + ATTENTE_B + SORTI_B + ROUTE_B = 80
invariant :NB_ATTENTE_B_0 + NB_ATTENTE_B_1 + NB_ATTENTE_B_2 + NB_ATTENTE_B_3 + NB_ATTENTE_B_4 + NB_ATTENTE_B_5 + NB_ATTENTE_B_6 + NB_ATTENTE_B_7 + NB_ATTENTE_B_8 + NB_ATTENTE_B_9 + NB_ATTENTE_B_10 + NB_ATTENTE_B_11 + NB_ATTENTE_B_12 + NB_ATTENTE_B_13 + NB_ATTENTE_B_14 + NB_ATTENTE_B_15 + NB_ATTENTE_B_16 + NB_ATTENTE_B_17 + NB_ATTENTE_B_18 + NB_ATTENTE_B_19 + NB_ATTENTE_B_20 + NB_ATTENTE_B_21 + NB_ATTENTE_B_22 + NB_ATTENTE_B_23 + NB_ATTENTE_B_24 + NB_ATTENTE_B_25 + NB_ATTENTE_B_26 + NB_ATTENTE_B_27 + NB_ATTENTE_B_28 + NB_ATTENTE_B_29 + NB_ATTENTE_B_30 + NB_ATTENTE_B_31 + NB_ATTENTE_B_32 + NB_ATTENTE_B_33 + NB_ATTENTE_B_34 + NB_ATTENTE_B_35 + NB_ATTENTE_B_36 + NB_ATTENTE_B_37 + NB_ATTENTE_B_38 + NB_ATTENTE_B_39 + NB_ATTENTE_B_40 + NB_ATTENTE_B_41 + NB_ATTENTE_B_42 + NB_ATTENTE_B_43 + NB_ATTENTE_B_44 + NB_ATTENTE_B_45 + NB_ATTENTE_B_46 + NB_ATTENTE_B_47 + NB_ATTENTE_B_48 + NB_ATTENTE_B_49 + NB_ATTENTE_B_50 = 1
invariant :NB_ATTENTE_A_0 + NB_ATTENTE_A_1 + NB_ATTENTE_A_2 + NB_ATTENTE_A_3 + NB_ATTENTE_A_4 + NB_ATTENTE_A_5 + NB_ATTENTE_A_6 + NB_ATTENTE_A_7 + NB_ATTENTE_A_8 + NB_ATTENTE_A_9 + NB_ATTENTE_A_10 + NB_ATTENTE_A_11 + NB_ATTENTE_A_12 + NB_ATTENTE_A_13 + NB_ATTENTE_A_14 + NB_ATTENTE_A_15 + NB_ATTENTE_A_16 + NB_ATTENTE_A_17 + NB_ATTENTE_A_18 + NB_ATTENTE_A_19 + NB_ATTENTE_A_20 + NB_ATTENTE_A_21 + NB_ATTENTE_A_22 + NB_ATTENTE_A_23 + NB_ATTENTE_A_24 + NB_ATTENTE_A_25 + NB_ATTENTE_A_26 + NB_ATTENTE_A_27 + NB_ATTENTE_A_28 + NB_ATTENTE_A_29 + NB_ATTENTE_A_30 + NB_ATTENTE_A_31 + NB_ATTENTE_A_32 + NB_ATTENTE_A_33 + NB_ATTENTE_A_34 + NB_ATTENTE_A_35 + NB_ATTENTE_A_36 + NB_ATTENTE_A_37 + NB_ATTENTE_A_38 + NB_ATTENTE_A_39 + NB_ATTENTE_A_40 + NB_ATTENTE_A_41 + NB_ATTENTE_A_42 + NB_ATTENTE_A_43 + NB_ATTENTE_A_44 + NB_ATTENTE_A_45 + NB_ATTENTE_A_46 + NB_ATTENTE_A_47 + NB_ATTENTE_A_48 + NB_ATTENTE_A_49 + NB_ATTENTE_A_50 = 1
invariant :SUR_PONT_A + CAPACITE + -1'ATTENTE_B + -1'SORTI_B + -1'ROUTE_B = -30
invariant :CONTROLEUR_1 + CONTROLEUR_2 + CHOIX_1 + CHOIX_2 + VIDANGE_1 + VIDANGE_2 = 1
invariant :ATTENTE_B + SUR_PONT_B + SORTI_B + ROUTE_B = 50
invariant :COMPTEUR_0 + COMPTEUR_1 + COMPTEUR_2 + COMPTEUR_3 + COMPTEUR_4 + COMPTEUR_5 + COMPTEUR_6 + COMPTEUR_7 + COMPTEUR_8 + COMPTEUR_9 + COMPTEUR_10 = 1
Presburger conditions satisfied. Using coverability to approximate state space in K-Induction.
Normalized transition count is 250
// Phase 1: matrix 250 rows 128 cols
invariant :ROUTE_A + ATTENTE_A + SORTI_A + -1'CAPACITE + ATTENTE_B + SORTI_B + ROUTE_B = 80
invariant :NB_ATTENTE_B_0 + NB_ATTENTE_B_1 + NB_ATTENTE_B_2 + NB_ATTENTE_B_3 + NB_ATTENTE_B_4 + NB_ATTENTE_B_5 + NB_ATTENTE_B_6 + NB_ATTENTE_B_7 + NB_ATTENTE_B_8 + NB_ATTENTE_B_9 + NB_ATTENTE_B_10 + NB_ATTENTE_B_11 + NB_ATTENTE_B_12 + NB_ATTENTE_B_13 + NB_ATTENTE_B_14 + NB_ATTENTE_B_15 + NB_ATTENTE_B_16 + NB_ATTENTE_B_17 + NB_ATTENTE_B_18 + NB_ATTENTE_B_19 + NB_ATTENTE_B_20 + NB_ATTENTE_B_21 + NB_ATTENTE_B_22 + NB_ATTENTE_B_23 + NB_ATTENTE_B_24 + NB_ATTENTE_B_25 + NB_ATTENTE_B_26 + NB_ATTENTE_B_27 + NB_ATTENTE_B_28 + NB_ATTENTE_B_29 + NB_ATTENTE_B_30 + NB_ATTENTE_B_31 + NB_ATTENTE_B_32 + NB_ATTENTE_B_33 + NB_ATTENTE_B_34 + NB_ATTENTE_B_35 + NB_ATTENTE_B_36 + NB_ATTENTE_B_37 + NB_ATTENTE_B_38 + NB_ATTENTE_B_39 + NB_ATTENTE_B_40 + NB_ATTENTE_B_41 + NB_ATTENTE_B_42 + NB_ATTENTE_B_43 + NB_ATTENTE_B_44 + NB_ATTENTE_B_45 + NB_ATTENTE_B_46 + NB_ATTENTE_B_47 + NB_ATTENTE_B_48 + NB_ATTENTE_B_49 + NB_ATTENTE_B_50 = 1
invariant :NB_ATTENTE_A_0 + NB_ATTENTE_A_1 + NB_ATTENTE_A_2 + NB_ATTENTE_A_3 + NB_ATTENTE_A_4 + NB_ATTENTE_A_5 + NB_ATTENTE_A_6 + NB_ATTENTE_A_7 + NB_ATTENTE_A_8 + NB_ATTENTE_A_9 + NB_ATTENTE_A_10 + NB_ATTENTE_A_11 + NB_ATTENTE_A_12 + NB_ATTENTE_A_13 + NB_ATTENTE_A_14 + NB_ATTENTE_A_15 + NB_ATTENTE_A_16 + NB_ATTENTE_A_17 + NB_ATTENTE_A_18 + NB_ATTENTE_A_19 + NB_ATTENTE_A_20 + NB_ATTENTE_A_21 + NB_ATTENTE_A_22 + NB_ATTENTE_A_23 + NB_ATTENTE_A_24 + NB_ATTENTE_A_25 + NB_ATTENTE_A_26 + NB_ATTENTE_A_27 + NB_ATTENTE_A_28 + NB_ATTENTE_A_29 + NB_ATTENTE_A_30 + NB_ATTENTE_A_31 + NB_ATTENTE_A_32 + NB_ATTENTE_A_33 + NB_ATTENTE_A_34 + NB_ATTENTE_A_35 + NB_ATTENTE_A_36 + NB_ATTENTE_A_37 + NB_ATTENTE_A_38 + NB_ATTENTE_A_39 + NB_ATTENTE_A_40 + NB_ATTENTE_A_41 + NB_ATTENTE_A_42 + NB_ATTENTE_A_43 + NB_ATTENTE_A_44 + NB_ATTENTE_A_45 + NB_ATTENTE_A_46 + NB_ATTENTE_A_47 + NB_ATTENTE_A_48 + NB_ATTENTE_A_49 + NB_ATTENTE_A_50 = 1
invariant :SUR_PONT_A + CAPACITE + -1'ATTENTE_B + -1'SORTI_B + -1'ROUTE_B = -30
invariant :CONTROLEUR_1 + CONTROLEUR_2 + CHOIX_1 + CHOIX_2 + VIDANGE_1 + VIDANGE_2 = 1
invariant :ATTENTE_B + SUR_PONT_B + SORTI_B + ROUTE_B = 50
invariant :COMPTEUR_0 + COMPTEUR_1 + COMPTEUR_2 + COMPTEUR_3 + COMPTEUR_4 + COMPTEUR_5 + COMPTEUR_6 + COMPTEUR_7 + COMPTEUR_8 + COMPTEUR_9 + COMPTEUR_10 = 1
Invoking ITS tools like this :CommandLine [args=[/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.201805241334/bin/its-reach-linux64, --gc-threshold, 2000000, --quiet, -i, /home/mcc/execution/ReachabilityFireability.pnml.gal, -t, CGAL, -reachable-file, ReachabilityFireability.prop, --nowitness], workingDir=/home/mcc/execution]

its-reach command run as :

/home/mcc/BenchKit/itstools/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.201805241334/bin/its-reach-linux64 --gc-threshold 2000000 --quiet -i /home/mcc/execution/ReachabilityFireability.pnml.gal -t CGAL -reachable-file ReachabilityFireability.prop --nowitness
Loading property file ReachabilityFireability.prop.
Read [reachable] property : BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-00 with value :((((!((((((((((((((((((((((((((((((((((((((((((((((((((((u0.ROUTE_A>=1)&&(u3.NB_ATTENTE_A_0>=1))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_1>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_2>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_3>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_4>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_5>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_6>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_7>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_8>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_9>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_10>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_11>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_12>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_13>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_14>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_15>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_16>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_17>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_18>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_19>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_20>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_21>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_22>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_23>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_24>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_25>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_26>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_27>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_28>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_29>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_30>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_31>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_32>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_33>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_34>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_35>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_36>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_37>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_38>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_39>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_40>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_41>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_42>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_43>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_44>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_45>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_46>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_47>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_48>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_49>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_50>=1))))&&((u0.SUR_PONT_A>=1)&&(((u0.CHOIX_1>=1)&&(i3.u1.COMPTEUR_10>=1))||((i1.u2.CHOIX_2>=1)&&(i3.u1.COMPTEUR_10>=1)))))&&((((((((((((((((((((((((((((((((((((((((((((((((((((u0.ROUTE_A>=1)&&(u3.NB_ATTENTE_A_0>=1))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_1>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_2>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_3>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_4>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_5>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_6>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_7>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_8>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_9>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_10>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_11>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_12>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_13>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_14>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_15>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_16>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_17>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_18>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_19>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_20>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_21>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_22>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_23>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_24>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_25>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_26>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_27>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_28>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_29>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_30>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_31>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_32>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_33>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_34>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_35>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_36>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_37>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_38>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_39>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_40>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_41>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_42>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_43>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_44>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_45>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_46>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_47>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_48>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_49>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_50>=1))))&&(!(((((u0.CHOIX_1>=1)&&(i3.u1.COMPTEUR_10>=1))||((i1.u2.CHOIX_2>=1)&&(i3.u1.COMPTEUR_10>=1)))||(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_1>=1))&&(i3.u1.COMPTEUR_0>=1))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_1>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_1>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_1>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_1>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_1>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_1>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_1>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_1>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_1>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_1>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_2>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_2>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_2>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_2>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_2>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_2>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_2>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_2>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_2>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_2>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_2>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_3>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_3>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_3>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_3>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_3>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_3>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_3>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_3>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_3>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_3>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_3>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_4>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_4>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_4>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_4>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_4>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_4>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_4>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_4>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_4>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_4>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_4>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_5>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_5>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_5>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_5>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_5>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_5>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_5>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_5>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_5>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_5>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_5>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_6>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_6>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_6>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_6>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_6>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_6>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_6>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_6>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_6>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_6>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_6>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_7>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_7>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_7>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_7>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_7>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_7>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_7>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_7>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_7>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_7>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_7>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_8>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_8>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_8>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_8>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_8>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_8>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_8>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_8>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_8>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_8>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_8>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_9>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_9>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_9>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_9>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_9>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_9>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_9>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_9>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_9>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_9>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_9>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_10>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_10>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_10>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_10>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_10>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_10>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_10>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_10>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_10>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_10>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_10>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_11>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_11>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_11>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_11>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_11>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_11>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_11>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_11>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_11>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_11>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_11>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_12>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_12>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_12>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_12>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_12>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_12>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_12>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_12>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_12>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_12>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_12>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_13>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_13>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_13>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_13>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_13>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_13>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_13>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_13>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_13>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_13>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_13>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_14>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_14>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_14>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_14>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_14>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_14>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_14>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_14>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_14>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_14>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_14>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_15>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_15>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_15>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_15>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_15>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_15>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_15>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_15>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_15>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_15>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_15>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_16>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_16>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_16>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_16>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_16>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_16>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_16>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_16>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_16>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_16>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_16>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_17>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_17>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_17>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_17>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_17>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_17>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_17>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_17>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_17>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_17>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_17>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_18>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_18>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_18>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_18>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_18>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_18>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_18>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_18>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_18>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_18>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_18>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_19>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_19>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_19>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_19>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_19>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_19>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_19>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_19>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_19>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_19>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_19>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_20>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_20>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_20>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_20>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_20>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_20>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_20>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_20>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_20>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_20>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_20>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_21>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_21>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_21>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_21>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_21>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_21>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_21>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_21>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_21>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_21>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_21>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_22>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_22>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_22>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_22>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_22>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_22>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_22>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_22>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_22>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_22>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_22>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_23>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_23>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_23>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_23>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_23>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_23>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_23>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_23>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_23>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_23>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_23>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_24>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_24>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_24>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_24>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_24>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_24>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_24>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_24>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_24>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_24>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_24>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_25>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_25>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_25>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_25>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_25>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_25>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_25>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_25>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_25>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_25>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_25>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_26>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_26>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_26>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_26>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_26>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_26>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_26>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_26>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_26>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_26>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_26>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_27>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_27>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_27>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_27>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_27>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_27>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_27>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_27>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_27>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_27>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_27>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_28>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_28>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_28>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_28>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_28>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_28>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_28>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_28>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_28>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_28>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_28>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_29>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_29>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_29>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_29>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_29>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_29>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_29>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_29>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_29>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_29>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_29>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_30>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_30>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_30>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_30>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_30>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_30>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_30>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_30>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_30>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_30>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_30>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_31>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_31>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_31>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_31>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_31>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_31>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_31>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_31>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_31>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_31>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_31>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_32>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_32>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_32>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_32>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_32>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_32>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_32>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_32>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_32>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_32>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_32>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_33>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_33>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_33>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_33>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_33>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_33>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_33>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_33>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_33>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_33>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_33>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_34>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_34>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_34>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_34>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_34>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_34>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_34>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_34>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_34>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_34>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_34>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_35>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_35>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_35>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_35>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_35>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_35>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_35>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_35>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_35>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_35>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_35>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_36>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_36>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_36>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_36>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_36>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_36>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_36>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_36>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_36>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_36>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_36>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_37>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_37>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_37>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_37>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_37>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_37>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_37>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_37>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_37>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_37>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_37>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_38>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_38>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_38>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_38>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_38>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_38>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_38>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_38>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_38>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_38>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_38>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_39>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_39>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_39>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_39>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_39>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_39>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_39>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_39>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_39>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_39>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_39>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_40>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_40>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_40>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_40>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_40>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_40>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_40>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_40>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_40>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_40>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_40>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_41>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_41>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_41>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_41>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_41>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_41>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_41>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_41>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_41>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_41>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_41>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_42>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_42>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_42>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_42>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_42>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_42>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_42>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_42>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_42>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_42>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_42>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_43>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_43>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_43>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_43>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_43>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_43>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_43>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_43>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_43>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_43>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_43>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_44>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_44>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_44>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_44>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_44>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_44>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_44>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_44>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_44>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_44>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_44>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_45>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_45>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_45>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_45>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_45>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_45>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_45>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_45>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_45>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_45>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_45>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_46>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_46>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_46>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_46>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_46>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_46>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_46>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_46>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_46>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_46>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_46>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_47>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_47>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_47>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_47>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_47>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_47>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_47>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_47>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_47>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_47>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_47>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_48>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_48>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_48>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_48>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_48>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_48>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_48>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_48>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_48>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_48>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_48>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_49>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_49>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_49>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_49>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_49>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_49>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_49>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_49>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_49>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_49>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_49>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_50>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_50>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_50>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_50>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_50>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_50>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_50>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_50>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_50>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_50>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_50>=1))&&(i3.u1.COMPTEUR_10>=1))))&&(i1.u2.SUR_PONT_B>=1))))
Read [invariant] property : BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-01 with value :((((((((((((((((((((((((((((((((((((((((((((((((((((u0.ROUTE_A>=1)&&(u3.NB_ATTENTE_A_0>=1))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_1>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_2>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_3>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_4>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_5>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_6>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_7>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_8>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_9>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_10>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_11>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_12>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_13>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_14>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_15>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_16>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_17>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_18>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_19>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_20>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_21>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_22>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_23>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_24>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_25>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_26>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_27>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_28>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_29>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_30>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_31>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_32>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_33>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_34>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_35>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_36>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_37>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_38>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_39>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_40>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_41>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_42>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_43>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_44>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_45>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_46>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_47>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_48>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_49>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_50>=1)))
Read [reachable] property : BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-02 with value :((((((((((((((((((((((((u0.CHOIX_1>=1)&&(i3.u1.COMPTEUR_0>=1))||((i1.u2.CHOIX_2>=1)&&(i3.u1.COMPTEUR_0>=1)))||((u0.CHOIX_1>=1)&&(i3.u1.COMPTEUR_1>=1)))||((i1.u2.CHOIX_2>=1)&&(i3.u1.COMPTEUR_1>=1)))||((u0.CHOIX_1>=1)&&(i3.u1.COMPTEUR_2>=1)))||((i1.u2.CHOIX_2>=1)&&(i3.u1.COMPTEUR_2>=1)))||((u0.CHOIX_1>=1)&&(i3.u1.COMPTEUR_3>=1)))||((i1.u2.CHOIX_2>=1)&&(i3.u1.COMPTEUR_3>=1)))||((u0.CHOIX_1>=1)&&(i3.u1.COMPTEUR_4>=1)))||((i1.u2.CHOIX_2>=1)&&(i3.u1.COMPTEUR_4>=1)))||((u0.CHOIX_1>=1)&&(i3.u1.COMPTEUR_5>=1)))||((i1.u2.CHOIX_2>=1)&&(i3.u1.COMPTEUR_5>=1)))||((u0.CHOIX_1>=1)&&(i3.u1.COMPTEUR_6>=1)))||((i1.u2.CHOIX_2>=1)&&(i3.u1.COMPTEUR_6>=1)))||((u0.CHOIX_1>=1)&&(i3.u1.COMPTEUR_7>=1)))||((i1.u2.CHOIX_2>=1)&&(i3.u1.COMPTEUR_7>=1)))||((u0.CHOIX_1>=1)&&(i3.u1.COMPTEUR_8>=1)))||((i1.u2.CHOIX_2>=1)&&(i3.u1.COMPTEUR_8>=1)))||((u0.CHOIX_1>=1)&&(i3.u1.COMPTEUR_9>=1)))||((i1.u2.CHOIX_2>=1)&&(i3.u1.COMPTEUR_9>=1)))&&((i1.u2.SUR_PONT_B>=1)||(u0.SUR_PONT_A>=1)))||((!(((((((((((((((((((((((((((((((((((((((((((((((((((((u0.NB_ATTENTE_A_1>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1))||((((u0.NB_ATTENTE_A_2>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((u0.NB_ATTENTE_A_3>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((u0.NB_ATTENTE_A_4>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((u0.NB_ATTENTE_A_5>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_6>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_7>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_8>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_9>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_10>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((u0.NB_ATTENTE_A_11>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((u0.NB_ATTENTE_A_12>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((u0.NB_ATTENTE_A_13>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((u0.NB_ATTENTE_A_14>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((u0.NB_ATTENTE_A_15>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((u0.NB_ATTENTE_A_16>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((u0.NB_ATTENTE_A_17>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((u0.NB_ATTENTE_A_18>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((u0.NB_ATTENTE_A_19>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((u0.NB_ATTENTE_A_20>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((u0.NB_ATTENTE_A_21>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((u0.NB_ATTENTE_A_22>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((u0.NB_ATTENTE_A_23>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((u0.NB_ATTENTE_A_24>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((u0.NB_ATTENTE_A_25>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((u0.NB_ATTENTE_A_26>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_27>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_28>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_29>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_30>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_31>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_32>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_33>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_34>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_35>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_36>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_37>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_38>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_39>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_40>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_41>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_42>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_43>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_44>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_45>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_46>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_47>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_48>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_49>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_50>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1))))&&((((i1.u2.CAPACITE>=20)&&(i3.u4.VIDANGE_1>=1))||((i1.u2.CAPACITE>=20)&&(u3.VIDANGE_2>=1)))||(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((u0.NB_ATTENTE_A_1>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1))||((((u0.NB_ATTENTE_A_2>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u0.NB_ATTENTE_A_3>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u0.NB_ATTENTE_A_4>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u0.NB_ATTENTE_A_5>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((i3.u4.NB_ATTENTE_A_6>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((i3.u4.NB_ATTENTE_A_7>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((i3.u4.NB_ATTENTE_A_8>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((i3.u4.NB_ATTENTE_A_9>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((i3.u4.NB_ATTENTE_A_10>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u0.NB_ATTENTE_A_11>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u0.NB_ATTENTE_A_12>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u0.NB_ATTENTE_A_13>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u0.NB_ATTENTE_A_14>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u0.NB_ATTENTE_A_15>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u0.NB_ATTENTE_A_16>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u0.NB_ATTENTE_A_17>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u0.NB_ATTENTE_A_18>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u0.NB_ATTENTE_A_19>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u0.NB_ATTENTE_A_20>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u0.NB_ATTENTE_A_21>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u0.NB_ATTENTE_A_22>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u0.NB_ATTENTE_A_23>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u0.NB_ATTENTE_A_24>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u0.NB_ATTENTE_A_25>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u0.NB_ATTENTE_A_26>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((i3.u4.NB_ATTENTE_A_27>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((i3.u4.NB_ATTENTE_A_28>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((i3.u4.NB_ATTENTE_A_29>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((i3.u4.NB_ATTENTE_A_30>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((i3.u4.NB_ATTENTE_A_31>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((i3.u4.NB_ATTENTE_A_32>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((i3.u4.NB_ATTENTE_A_33>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((i3.u4.NB_ATTENTE_A_34>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((i3.u4.NB_ATTENTE_A_35>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((i3.u4.NB_ATTENTE_A_36>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((i3.u4.NB_ATTENTE_A_37>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((i3.u4.NB_ATTENTE_A_38>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((i3.u4.NB_ATTENTE_A_39>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((i3.u4.NB_ATTENTE_A_40>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((i3.u4.NB_ATTENTE_A_41>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((i3.u4.NB_ATTENTE_A_42>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((i3.u4.NB_ATTENTE_A_43>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((i3.u4.NB_ATTENTE_A_44>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((i3.u4.NB_ATTENTE_A_45>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((i3.u4.NB_ATTENTE_A_46>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((i3.u4.NB_ATTENTE_A_47>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((i3.u4.NB_ATTENTE_A_48>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((i3.u4.NB_ATTENTE_A_49>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((i3.u4.NB_ATTENTE_A_50>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u0.NB_ATTENTE_A_1>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u0.NB_ATTENTE_A_2>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u0.NB_ATTENTE_A_3>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u0.NB_ATTENTE_A_4>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u0.NB_ATTENTE_A_5>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_6>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_7>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_8>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_9>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_10>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u0.NB_ATTENTE_A_11>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u0.NB_ATTENTE_A_12>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u0.NB_ATTENTE_A_13>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u0.NB_ATTENTE_A_14>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u0.NB_ATTENTE_A_15>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u0.NB_ATTENTE_A_16>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u0.NB_ATTENTE_A_17>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u0.NB_ATTENTE_A_18>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u0.NB_ATTENTE_A_19>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u0.NB_ATTENTE_A_20>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u0.NB_ATTENTE_A_21>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u0.NB_ATTENTE_A_22>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u0.NB_ATTENTE_A_23>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u0.NB_ATTENTE_A_24>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u0.NB_ATTENTE_A_25>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u0.NB_ATTENTE_A_26>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_27>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_28>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_29>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_30>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_31>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_32>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_33>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_34>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_35>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_36>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_37>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_38>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_39>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_40>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_41>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_42>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_43>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_44>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_45>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_46>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_47>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_48>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_49>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_50>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u0.NB_ATTENTE_A_1>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u0.NB_ATTENTE_A_2>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u0.NB_ATTENTE_A_3>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u0.NB_ATTENTE_A_4>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u0.NB_ATTENTE_A_5>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((i3.u4.NB_ATTENTE_A_6>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((i3.u4.NB_ATTENTE_A_7>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((i3.u4.NB_ATTENTE_A_8>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((i3.u4.NB_ATTENTE_A_9>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((i3.u4.NB_ATTENTE_A_10>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u0.NB_ATTENTE_A_11>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u0.NB_ATTENTE_A_12>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u0.NB_ATTENTE_A_13>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u0.NB_ATTENTE_A_14>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u0.NB_ATTENTE_A_15>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u0.NB_ATTENTE_A_16>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u0.NB_ATTENTE_A_17>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u0.NB_ATTENTE_A_18>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u0.NB_ATTENTE_A_19>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u0.NB_ATTENTE_A_20>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u0.NB_ATTENTE_A_21>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u0.NB_ATTENTE_A_22>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u0.NB_ATTENTE_A_23>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u0.NB_ATTENTE_A_24>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u0.NB_ATTENTE_A_25>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u0.NB_ATTENTE_A_26>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((i3.u4.NB_ATTENTE_A_27>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((i3.u4.NB_ATTENTE_A_28>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((i3.u4.NB_ATTENTE_A_29>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((i3.u4.NB_ATTENTE_A_30>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((i3.u4.NB_ATTENTE_A_31>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((i3.u4.NB_ATTENTE_A_32>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((i3.u4.NB_ATTENTE_A_33>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((i3.u4.NB_ATTENTE_A_34>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((i3.u4.NB_ATTENTE_A_35>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((i3.u4.NB_ATTENTE_A_36>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((i3.u4.NB_ATTENTE_A_37>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((i3.u4.NB_ATTENTE_A_38>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((i3.u4.NB_ATTENTE_A_39>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((i3.u4.NB_ATTENTE_A_40>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((i3.u4.NB_ATTENTE_A_41>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((i3.u4.NB_ATTENTE_A_42>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((i3.u4.NB_ATTENTE_A_43>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((i3.u4.NB_ATTENTE_A_44>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((i3.u4.NB_ATTENTE_A_45>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((i3.u4.NB_ATTENTE_A_46>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((i3.u4.NB_ATTENTE_A_47>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((i3.u4.NB_ATTENTE_A_48>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((i3.u4.NB_ATTENTE_A_49>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((i3.u4.NB_ATTENTE_A_50>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u0.NB_ATTENTE_A_1>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u0.NB_ATTENTE_A_2>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u0.NB_ATTENTE_A_3>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u0.NB_ATTENTE_A_4>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u0.NB_ATTENTE_A_5>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((i3.u4.NB_ATTENTE_A_6>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((i3.u4.NB_ATTENTE_A_7>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((i3.u4.NB_ATTENTE_A_8>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((i3.u4.NB_ATTENTE_A_9>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((i3.u4.NB_ATTENTE_A_10>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u0.NB_ATTENTE_A_11>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u0.NB_ATTENTE_A_12>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u0.NB_ATTENTE_A_13>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u0.NB_ATTENTE_A_14>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u0.NB_ATTENTE_A_15>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u0.NB_ATTENTE_A_16>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u0.NB_ATTENTE_A_17>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u0.NB_ATTENTE_A_18>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u0.NB_ATTENTE_A_19>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u0.NB_ATTENTE_A_20>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u0.NB_ATTENTE_A_21>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u0.NB_ATTENTE_A_22>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u0.NB_ATTENTE_A_23>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u0.NB_ATTENTE_A_24>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u0.NB_ATTENTE_A_25>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u0.NB_ATTENTE_A_26>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((i3.u4.NB_ATTENTE_A_27>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((i3.u4.NB_ATTENTE_A_28>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((i3.u4.NB_ATTENTE_A_29>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((i3.u4.NB_ATTENTE_A_30>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((i3.u4.NB_ATTENTE_A_31>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((i3.u4.NB_ATTENTE_A_32>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((i3.u4.NB_ATTENTE_A_33>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((i3.u4.NB_ATTENTE_A_34>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((i3.u4.NB_ATTENTE_A_35>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((i3.u4.NB_ATTENTE_A_36>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((i3.u4.NB_ATTENTE_A_37>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((i3.u4.NB_ATTENTE_A_38>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((i3.u4.NB_ATTENTE_A_39>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((i3.u4.NB_ATTENTE_A_40>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((i3.u4.NB_ATTENTE_A_41>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((i3.u4.NB_ATTENTE_A_42>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((i3.u4.NB_ATTENTE_A_43>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((i3.u4.NB_ATTENTE_A_44>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((i3.u4.NB_ATTENTE_A_45>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((i3.u4.NB_ATTENTE_A_46>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((i3.u4.NB_ATTENTE_A_47>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((i3.u4.NB_ATTENTE_A_48>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((i3.u4.NB_ATTENTE_A_49>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((i3.u4.NB_ATTENTE_A_50>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u0.NB_ATTENTE_A_1>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u0.NB_ATTENTE_A_2>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u0.NB_ATTENTE_A_3>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u0.NB_ATTENTE_A_4>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u0.NB_ATTENTE_A_5>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((i3.u4.NB_ATTENTE_A_6>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((i3.u4.NB_ATTENTE_A_7>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((i3.u4.NB_ATTENTE_A_8>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((i3.u4.NB_ATTENTE_A_9>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((i3.u4.NB_ATTENTE_A_10>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u0.NB_ATTENTE_A_11>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u0.NB_ATTENTE_A_12>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u0.NB_ATTENTE_A_13>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u0.NB_ATTENTE_A_14>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u0.NB_ATTENTE_A_15>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u0.NB_ATTENTE_A_16>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u0.NB_ATTENTE_A_17>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u0.NB_ATTENTE_A_18>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u0.NB_ATTENTE_A_19>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u0.NB_ATTENTE_A_20>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u0.NB_ATTENTE_A_21>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u0.NB_ATTENTE_A_22>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u0.NB_ATTENTE_A_23>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u0.NB_ATTENTE_A_24>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u0.NB_ATTENTE_A_25>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u0.NB_ATTENTE_A_26>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((i3.u4.NB_ATTENTE_A_27>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((i3.u4.NB_ATTENTE_A_28>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((i3.u4.NB_ATTENTE_A_29>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((i3.u4.NB_ATTENTE_A_30>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((i3.u4.NB_ATTENTE_A_31>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((i3.u4.NB_ATTENTE_A_32>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((i3.u4.NB_ATTENTE_A_33>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((i3.u4.NB_ATTENTE_A_34>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((i3.u4.NB_ATTENTE_A_35>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((i3.u4.NB_ATTENTE_A_36>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((i3.u4.NB_ATTENTE_A_37>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((i3.u4.NB_ATTENTE_A_38>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((i3.u4.NB_ATTENTE_A_39>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((i3.u4.NB_ATTENTE_A_40>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((i3.u4.NB_ATTENTE_A_41>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((i3.u4.NB_ATTENTE_A_42>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((i3.u4.NB_ATTENTE_A_43>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((i3.u4.NB_ATTENTE_A_44>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((i3.u4.NB_ATTENTE_A_45>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((i3.u4.NB_ATTENTE_A_46>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((i3.u4.NB_ATTENTE_A_47>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((i3.u4.NB_ATTENTE_A_48>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((i3.u4.NB_ATTENTE_A_49>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((i3.u4.NB_ATTENTE_A_50>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u0.NB_ATTENTE_A_1>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u0.NB_ATTENTE_A_2>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u0.NB_ATTENTE_A_3>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u0.NB_ATTENTE_A_4>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u0.NB_ATTENTE_A_5>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((i3.u4.NB_ATTENTE_A_6>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((i3.u4.NB_ATTENTE_A_7>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((i3.u4.NB_ATTENTE_A_8>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((i3.u4.NB_ATTENTE_A_9>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((i3.u4.NB_ATTENTE_A_10>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u0.NB_ATTENTE_A_11>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u0.NB_ATTENTE_A_12>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u0.NB_ATTENTE_A_13>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u0.NB_ATTENTE_A_14>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u0.NB_ATTENTE_A_15>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u0.NB_ATTENTE_A_16>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u0.NB_ATTENTE_A_17>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u0.NB_ATTENTE_A_18>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u0.NB_ATTENTE_A_19>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u0.NB_ATTENTE_A_20>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u0.NB_ATTENTE_A_21>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u0.NB_ATTENTE_A_22>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u0.NB_ATTENTE_A_23>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u0.NB_ATTENTE_A_24>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u0.NB_ATTENTE_A_25>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u0.NB_ATTENTE_A_26>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((i3.u4.NB_ATTENTE_A_27>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((i3.u4.NB_ATTENTE_A_28>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((i3.u4.NB_ATTENTE_A_29>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((i3.u4.NB_ATTENTE_A_30>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((i3.u4.NB_ATTENTE_A_31>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((i3.u4.NB_ATTENTE_A_32>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((i3.u4.NB_ATTENTE_A_33>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((i3.u4.NB_ATTENTE_A_34>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((i3.u4.NB_ATTENTE_A_35>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((i3.u4.NB_ATTENTE_A_36>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((i3.u4.NB_ATTENTE_A_37>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((i3.u4.NB_ATTENTE_A_38>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((i3.u4.NB_ATTENTE_A_39>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((i3.u4.NB_ATTENTE_A_40>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((i3.u4.NB_ATTENTE_A_41>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((i3.u4.NB_ATTENTE_A_42>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((i3.u4.NB_ATTENTE_A_43>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((i3.u4.NB_ATTENTE_A_44>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((i3.u4.NB_ATTENTE_A_45>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((i3.u4.NB_ATTENTE_A_46>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((i3.u4.NB_ATTENTE_A_47>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((i3.u4.NB_ATTENTE_A_48>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((i3.u4.NB_ATTENTE_A_49>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((i3.u4.NB_ATTENTE_A_50>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u0.NB_ATTENTE_A_1>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u0.NB_ATTENTE_A_2>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u0.NB_ATTENTE_A_3>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u0.NB_ATTENTE_A_4>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u0.NB_ATTENTE_A_5>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((i3.u4.NB_ATTENTE_A_6>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((i3.u4.NB_ATTENTE_A_7>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((i3.u4.NB_ATTENTE_A_8>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((i3.u4.NB_ATTENTE_A_9>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((i3.u4.NB_ATTENTE_A_10>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u0.NB_ATTENTE_A_11>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u0.NB_ATTENTE_A_12>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u0.NB_ATTENTE_A_13>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u0.NB_ATTENTE_A_14>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u0.NB_ATTENTE_A_15>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u0.NB_ATTENTE_A_16>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u0.NB_ATTENTE_A_17>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u0.NB_ATTENTE_A_18>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u0.NB_ATTENTE_A_19>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u0.NB_ATTENTE_A_20>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u0.NB_ATTENTE_A_21>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u0.NB_ATTENTE_A_22>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u0.NB_ATTENTE_A_23>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u0.NB_ATTENTE_A_24>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u0.NB_ATTENTE_A_25>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u0.NB_ATTENTE_A_26>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((i3.u4.NB_ATTENTE_A_27>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((i3.u4.NB_ATTENTE_A_28>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((i3.u4.NB_ATTENTE_A_29>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((i3.u4.NB_ATTENTE_A_30>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((i3.u4.NB_ATTENTE_A_31>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((i3.u4.NB_ATTENTE_A_32>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((i3.u4.NB_ATTENTE_A_33>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((i3.u4.NB_ATTENTE_A_34>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((i3.u4.NB_ATTENTE_A_35>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((i3.u4.NB_ATTENTE_A_36>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((i3.u4.NB_ATTENTE_A_37>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((i3.u4.NB_ATTENTE_A_38>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((i3.u4.NB_ATTENTE_A_39>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((i3.u4.NB_ATTENTE_A_40>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((i3.u4.NB_ATTENTE_A_41>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((i3.u4.NB_ATTENTE_A_42>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((i3.u4.NB_ATTENTE_A_43>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((i3.u4.NB_ATTENTE_A_44>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((i3.u4.NB_ATTENTE_A_45>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((i3.u4.NB_ATTENTE_A_46>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((i3.u4.NB_ATTENTE_A_47>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((i3.u4.NB_ATTENTE_A_48>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((i3.u4.NB_ATTENTE_A_49>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((i3.u4.NB_ATTENTE_A_50>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u0.NB_ATTENTE_A_1>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u0.NB_ATTENTE_A_2>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u0.NB_ATTENTE_A_3>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u0.NB_ATTENTE_A_4>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u0.NB_ATTENTE_A_5>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((i3.u4.NB_ATTENTE_A_6>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((i3.u4.NB_ATTENTE_A_7>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((i3.u4.NB_ATTENTE_A_8>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((i3.u4.NB_ATTENTE_A_9>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((i3.u4.NB_ATTENTE_A_10>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u0.NB_ATTENTE_A_11>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u0.NB_ATTENTE_A_12>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u0.NB_ATTENTE_A_13>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u0.NB_ATTENTE_A_14>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u0.NB_ATTENTE_A_15>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u0.NB_ATTENTE_A_16>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u0.NB_ATTENTE_A_17>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u0.NB_ATTENTE_A_18>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u0.NB_ATTENTE_A_19>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u0.NB_ATTENTE_A_20>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u0.NB_ATTENTE_A_21>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u0.NB_ATTENTE_A_22>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u0.NB_ATTENTE_A_23>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u0.NB_ATTENTE_A_24>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u0.NB_ATTENTE_A_25>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u0.NB_ATTENTE_A_26>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((i3.u4.NB_ATTENTE_A_27>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((i3.u4.NB_ATTENTE_A_28>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((i3.u4.NB_ATTENTE_A_29>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((i3.u4.NB_ATTENTE_A_30>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((i3.u4.NB_ATTENTE_A_31>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((i3.u4.NB_ATTENTE_A_32>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((i3.u4.NB_ATTENTE_A_33>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((i3.u4.NB_ATTENTE_A_34>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((i3.u4.NB_ATTENTE_A_35>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((i3.u4.NB_ATTENTE_A_36>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((i3.u4.NB_ATTENTE_A_37>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((i3.u4.NB_ATTENTE_A_38>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((i3.u4.NB_ATTENTE_A_39>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((i3.u4.NB_ATTENTE_A_40>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((i3.u4.NB_ATTENTE_A_41>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((i3.u4.NB_ATTENTE_A_42>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((i3.u4.NB_ATTENTE_A_43>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((i3.u4.NB_ATTENTE_A_44>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((i3.u4.NB_ATTENTE_A_45>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((i3.u4.NB_ATTENTE_A_46>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((i3.u4.NB_ATTENTE_A_47>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((i3.u4.NB_ATTENTE_A_48>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((i3.u4.NB_ATTENTE_A_49>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((i3.u4.NB_ATTENTE_A_50>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u0.NB_ATTENTE_A_1>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u0.NB_ATTENTE_A_2>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u0.NB_ATTENTE_A_3>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u0.NB_ATTENTE_A_4>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u0.NB_ATTENTE_A_5>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((i3.u4.NB_ATTENTE_A_6>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((i3.u4.NB_ATTENTE_A_7>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((i3.u4.NB_ATTENTE_A_8>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((i3.u4.NB_ATTENTE_A_9>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((i3.u4.NB_ATTENTE_A_10>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u0.NB_ATTENTE_A_11>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u0.NB_ATTENTE_A_12>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u0.NB_ATTENTE_A_13>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u0.NB_ATTENTE_A_14>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u0.NB_ATTENTE_A_15>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u0.NB_ATTENTE_A_16>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u0.NB_ATTENTE_A_17>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u0.NB_ATTENTE_A_18>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u0.NB_ATTENTE_A_19>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u0.NB_ATTENTE_A_20>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u0.NB_ATTENTE_A_21>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u0.NB_ATTENTE_A_22>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u0.NB_ATTENTE_A_23>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u0.NB_ATTENTE_A_24>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u0.NB_ATTENTE_A_25>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u0.NB_ATTENTE_A_26>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((i3.u4.NB_ATTENTE_A_27>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((i3.u4.NB_ATTENTE_A_28>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((i3.u4.NB_ATTENTE_A_29>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((i3.u4.NB_ATTENTE_A_30>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((i3.u4.NB_ATTENTE_A_31>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((i3.u4.NB_ATTENTE_A_32>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((i3.u4.NB_ATTENTE_A_33>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((i3.u4.NB_ATTENTE_A_34>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((i3.u4.NB_ATTENTE_A_35>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((i3.u4.NB_ATTENTE_A_36>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((i3.u4.NB_ATTENTE_A_37>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((i3.u4.NB_ATTENTE_A_38>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((i3.u4.NB_ATTENTE_A_39>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((i3.u4.NB_ATTENTE_A_40>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((i3.u4.NB_ATTENTE_A_41>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((i3.u4.NB_ATTENTE_A_42>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((i3.u4.NB_ATTENTE_A_43>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((i3.u4.NB_ATTENTE_A_44>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((i3.u4.NB_ATTENTE_A_45>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((i3.u4.NB_ATTENTE_A_46>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((i3.u4.NB_ATTENTE_A_47>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((i3.u4.NB_ATTENTE_A_48>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((i3.u4.NB_ATTENTE_A_49>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((i3.u4.NB_ATTENTE_A_50>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u0.NB_ATTENTE_A_1>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u0.NB_ATTENTE_A_2>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u0.NB_ATTENTE_A_3>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u0.NB_ATTENTE_A_4>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u0.NB_ATTENTE_A_5>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((i3.u4.NB_ATTENTE_A_6>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((i3.u4.NB_ATTENTE_A_7>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((i3.u4.NB_ATTENTE_A_8>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((i3.u4.NB_ATTENTE_A_9>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((i3.u4.NB_ATTENTE_A_10>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u0.NB_ATTENTE_A_11>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u0.NB_ATTENTE_A_12>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u0.NB_ATTENTE_A_13>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u0.NB_ATTENTE_A_14>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u0.NB_ATTENTE_A_15>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u0.NB_ATTENTE_A_16>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u0.NB_ATTENTE_A_17>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u0.NB_ATTENTE_A_18>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u0.NB_ATTENTE_A_19>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u0.NB_ATTENTE_A_20>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u0.NB_ATTENTE_A_21>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u0.NB_ATTENTE_A_22>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u0.NB_ATTENTE_A_23>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u0.NB_ATTENTE_A_24>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u0.NB_ATTENTE_A_25>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u0.NB_ATTENTE_A_26>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((i3.u4.NB_ATTENTE_A_27>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((i3.u4.NB_ATTENTE_A_28>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((i3.u4.NB_ATTENTE_A_29>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((i3.u4.NB_ATTENTE_A_30>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((i3.u4.NB_ATTENTE_A_31>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((i3.u4.NB_ATTENTE_A_32>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((i3.u4.NB_ATTENTE_A_33>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((i3.u4.NB_ATTENTE_A_34>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((i3.u4.NB_ATTENTE_A_35>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((i3.u4.NB_ATTENTE_A_36>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((i3.u4.NB_ATTENTE_A_37>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((i3.u4.NB_ATTENTE_A_38>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((i3.u4.NB_ATTENTE_A_39>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((i3.u4.NB_ATTENTE_A_40>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((i3.u4.NB_ATTENTE_A_41>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((i3.u4.NB_ATTENTE_A_42>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((i3.u4.NB_ATTENTE_A_43>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((i3.u4.NB_ATTENTE_A_44>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((i3.u4.NB_ATTENTE_A_45>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((i3.u4.NB_ATTENTE_A_46>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((i3.u4.NB_ATTENTE_A_47>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((i3.u4.NB_ATTENTE_A_48>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((i3.u4.NB_ATTENTE_A_49>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((i3.u4.NB_ATTENTE_A_50>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u0.NB_ATTENTE_A_1>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u0.NB_ATTENTE_A_2>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u0.NB_ATTENTE_A_3>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u0.NB_ATTENTE_A_4>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u0.NB_ATTENTE_A_5>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((i3.u4.NB_ATTENTE_A_6>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((i3.u4.NB_ATTENTE_A_7>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((i3.u4.NB_ATTENTE_A_8>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((i3.u4.NB_ATTENTE_A_9>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((i3.u4.NB_ATTENTE_A_10>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u0.NB_ATTENTE_A_11>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u0.NB_ATTENTE_A_12>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u0.NB_ATTENTE_A_13>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u0.NB_ATTENTE_A_14>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u0.NB_ATTENTE_A_15>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u0.NB_ATTENTE_A_16>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u0.NB_ATTENTE_A_17>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u0.NB_ATTENTE_A_18>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u0.NB_ATTENTE_A_19>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u0.NB_ATTENTE_A_20>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u0.NB_ATTENTE_A_21>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u0.NB_ATTENTE_A_22>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u0.NB_ATTENTE_A_23>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u0.NB_ATTENTE_A_24>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u0.NB_ATTENTE_A_25>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u0.NB_ATTENTE_A_26>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((i3.u4.NB_ATTENTE_A_27>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((i3.u4.NB_ATTENTE_A_28>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((i3.u4.NB_ATTENTE_A_29>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((i3.u4.NB_ATTENTE_A_30>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((i3.u4.NB_ATTENTE_A_31>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((i3.u4.NB_ATTENTE_A_32>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((i3.u4.NB_ATTENTE_A_33>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((i3.u4.NB_ATTENTE_A_34>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((i3.u4.NB_ATTENTE_A_35>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((i3.u4.NB_ATTENTE_A_36>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((i3.u4.NB_ATTENTE_A_37>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((i3.u4.NB_ATTENTE_A_38>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((i3.u4.NB_ATTENTE_A_39>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((i3.u4.NB_ATTENTE_A_40>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((i3.u4.NB_ATTENTE_A_41>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((i3.u4.NB_ATTENTE_A_42>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((i3.u4.NB_ATTENTE_A_43>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((i3.u4.NB_ATTENTE_A_44>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((i3.u4.NB_ATTENTE_A_45>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((i3.u4.NB_ATTENTE_A_46>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((i3.u4.NB_ATTENTE_A_47>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((i3.u4.NB_ATTENTE_A_48>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((i3.u4.NB_ATTENTE_A_49>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((i3.u4.NB_ATTENTE_A_50>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1))))))&&(((!(((((((((((((((((((((u0.CHOIX_1>=1)&&(i3.u1.COMPTEUR_0>=1))||((i1.u2.CHOIX_2>=1)&&(i3.u1.COMPTEUR_0>=1)))||((u0.CHOIX_1>=1)&&(i3.u1.COMPTEUR_1>=1)))||((i1.u2.CHOIX_2>=1)&&(i3.u1.COMPTEUR_1>=1)))||((u0.CHOIX_1>=1)&&(i3.u1.COMPTEUR_2>=1)))||((i1.u2.CHOIX_2>=1)&&(i3.u1.COMPTEUR_2>=1)))||((u0.CHOIX_1>=1)&&(i3.u1.COMPTEUR_3>=1)))||((i1.u2.CHOIX_2>=1)&&(i3.u1.COMPTEUR_3>=1)))||((u0.CHOIX_1>=1)&&(i3.u1.COMPTEUR_4>=1)))||((i1.u2.CHOIX_2>=1)&&(i3.u1.COMPTEUR_4>=1)))||((u0.CHOIX_1>=1)&&(i3.u1.COMPTEUR_5>=1)))||((i1.u2.CHOIX_2>=1)&&(i3.u1.COMPTEUR_5>=1)))||((u0.CHOIX_1>=1)&&(i3.u1.COMPTEUR_6>=1)))||((i1.u2.CHOIX_2>=1)&&(i3.u1.COMPTEUR_6>=1)))||((u0.CHOIX_1>=1)&&(i3.u1.COMPTEUR_7>=1)))||((i1.u2.CHOIX_2>=1)&&(i3.u1.COMPTEUR_7>=1)))||((u0.CHOIX_1>=1)&&(i3.u1.COMPTEUR_8>=1)))||((i1.u2.CHOIX_2>=1)&&(i3.u1.COMPTEUR_8>=1)))||((u0.CHOIX_1>=1)&&(i3.u1.COMPTEUR_9>=1)))||((i1.u2.CHOIX_2>=1)&&(i3.u1.COMPTEUR_9>=1))))&&(!((((((((((((((((((((((((((((((((((((((((((((((((((((i3.u4.NB_ATTENTE_B_0>=1)&&(i1.u5.ROUTE_B>=1))||((u3.NB_ATTENTE_B_1>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_2>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_3>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_4>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_5>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_6>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_7>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_8>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_9>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_10>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_11>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_12>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_13>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_14>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_15>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_16>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_17>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_18>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_19>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_20>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_21>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_22>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_23>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_24>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_25>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_26>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_27>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_28>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_29>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_30>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_31>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_32>=1)&&(i1.u5.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_33>=1)&&(i1.u5.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_34>=1)&&(i1.u5.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_35>=1)&&(i1.u5.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_36>=1)&&(i1.u5.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_37>=1)&&(i1.u5.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_38>=1)&&(i1.u5.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_39>=1)&&(i1.u5.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_40>=1)&&(i1.u5.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_41>=1)&&(i1.u5.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_42>=1)&&(i1.u5.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_43>=1)&&(i1.u5.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_44>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_45>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_46>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_47>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_48>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_49>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_50>=1)&&(i1.u5.ROUTE_B>=1)))))&&(((((((((((((((((((((((((((((((((((((((((((((((((((((u0.NB_ATTENTE_A_1>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1))||((((u0.NB_ATTENTE_A_2>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((u0.NB_ATTENTE_A_3>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((u0.NB_ATTENTE_A_4>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((u0.NB_ATTENTE_A_5>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_6>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_7>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_8>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_9>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_10>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((u0.NB_ATTENTE_A_11>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((u0.NB_ATTENTE_A_12>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((u0.NB_ATTENTE_A_13>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((u0.NB_ATTENTE_A_14>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((u0.NB_ATTENTE_A_15>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((u0.NB_ATTENTE_A_16>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((u0.NB_ATTENTE_A_17>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((u0.NB_ATTENTE_A_18>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((u0.NB_ATTENTE_A_19>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((u0.NB_ATTENTE_A_20>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((u0.NB_ATTENTE_A_21>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((u0.NB_ATTENTE_A_22>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((u0.NB_ATTENTE_A_23>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((u0.NB_ATTENTE_A_24>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((u0.NB_ATTENTE_A_25>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((u0.NB_ATTENTE_A_26>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_27>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_28>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_29>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_30>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_31>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_32>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_33>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_34>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_35>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_36>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_37>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_38>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_39>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_40>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_41>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_42>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_43>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_44>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_45>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_46>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_47>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_48>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_49>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_50>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))))
Read [reachable] property : BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-03 with value :(!((((((((((((((((((((((((((((((((((((((((((((((((((((u0.ROUTE_A>=1)&&(u3.NB_ATTENTE_A_0>=1))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_1>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_2>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_3>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_4>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_5>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_6>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_7>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_8>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_9>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_10>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_11>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_12>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_13>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_14>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_15>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_16>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_17>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_18>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_19>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_20>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_21>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_22>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_23>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_24>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_25>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_26>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_27>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_28>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_29>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_30>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_31>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_32>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_33>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_34>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_35>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_36>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_37>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_38>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_39>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_40>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_41>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_42>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_43>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_44>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_45>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_46>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_47>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_48>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_49>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_50>=1))))
Read [invariant] property : BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-04 with value :(!((!((((((((((((((((((((((((((((((((((((((((((((((((((((((u0.NB_ATTENTE_A_1>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1))||((((u0.NB_ATTENTE_A_2>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((u0.NB_ATTENTE_A_3>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((u0.NB_ATTENTE_A_4>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((u0.NB_ATTENTE_A_5>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_6>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_7>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_8>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_9>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_10>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((u0.NB_ATTENTE_A_11>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((u0.NB_ATTENTE_A_12>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((u0.NB_ATTENTE_A_13>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((u0.NB_ATTENTE_A_14>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((u0.NB_ATTENTE_A_15>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((u0.NB_ATTENTE_A_16>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((u0.NB_ATTENTE_A_17>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((u0.NB_ATTENTE_A_18>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((u0.NB_ATTENTE_A_19>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((u0.NB_ATTENTE_A_20>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((u0.NB_ATTENTE_A_21>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((u0.NB_ATTENTE_A_22>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((u0.NB_ATTENTE_A_23>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((u0.NB_ATTENTE_A_24>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((u0.NB_ATTENTE_A_25>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((u0.NB_ATTENTE_A_26>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_27>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_28>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_29>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_30>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_31>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_32>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_33>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_34>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_35>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_36>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_37>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_38>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_39>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_40>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_41>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_42>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_43>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_44>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_45>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_46>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_47>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_48>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_49>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_50>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))&&(((((((((((((((((((((((((((((((((((((((((((((((((((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(u3.NB_ATTENTE_B_1>=1))&&(i1.u5.ATTENTE_B>=1))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(u3.NB_ATTENTE_B_2>=1))&&(i1.u5.ATTENTE_B>=1)))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(u3.NB_ATTENTE_B_3>=1))&&(i1.u5.ATTENTE_B>=1)))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(u3.NB_ATTENTE_B_4>=1))&&(i1.u5.ATTENTE_B>=1)))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(u3.NB_ATTENTE_B_5>=1))&&(i1.u5.ATTENTE_B>=1)))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(u3.NB_ATTENTE_B_6>=1))&&(i1.u5.ATTENTE_B>=1)))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(u3.NB_ATTENTE_B_7>=1))&&(i1.u5.ATTENTE_B>=1)))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(u3.NB_ATTENTE_B_8>=1))&&(i1.u5.ATTENTE_B>=1)))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(u3.NB_ATTENTE_B_9>=1))&&(i1.u5.ATTENTE_B>=1)))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(u3.NB_ATTENTE_B_10>=1))&&(i1.u5.ATTENTE_B>=1)))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(u3.NB_ATTENTE_B_11>=1))&&(i1.u5.ATTENTE_B>=1)))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(u3.NB_ATTENTE_B_12>=1))&&(i1.u5.ATTENTE_B>=1)))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(u3.NB_ATTENTE_B_13>=1))&&(i1.u5.ATTENTE_B>=1)))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(u3.NB_ATTENTE_B_14>=1))&&(i1.u5.ATTENTE_B>=1)))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(u3.NB_ATTENTE_B_15>=1))&&(i1.u5.ATTENTE_B>=1)))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(u3.NB_ATTENTE_B_16>=1))&&(i1.u5.ATTENTE_B>=1)))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(u3.NB_ATTENTE_B_17>=1))&&(i1.u5.ATTENTE_B>=1)))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(u3.NB_ATTENTE_B_18>=1))&&(i1.u5.ATTENTE_B>=1)))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(u3.NB_ATTENTE_B_19>=1))&&(i1.u5.ATTENTE_B>=1)))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(u3.NB_ATTENTE_B_20>=1))&&(i1.u5.ATTENTE_B>=1)))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(u3.NB_ATTENTE_B_21>=1))&&(i1.u5.ATTENTE_B>=1)))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(u3.NB_ATTENTE_B_22>=1))&&(i1.u5.ATTENTE_B>=1)))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(u3.NB_ATTENTE_B_23>=1))&&(i1.u5.ATTENTE_B>=1)))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(u3.NB_ATTENTE_B_24>=1))&&(i1.u5.ATTENTE_B>=1)))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(u3.NB_ATTENTE_B_25>=1))&&(i1.u5.ATTENTE_B>=1)))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(u3.NB_ATTENTE_B_26>=1))&&(i1.u5.ATTENTE_B>=1)))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(u3.NB_ATTENTE_B_27>=1))&&(i1.u5.ATTENTE_B>=1)))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(u3.NB_ATTENTE_B_28>=1))&&(i1.u5.ATTENTE_B>=1)))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(u3.NB_ATTENTE_B_29>=1))&&(i1.u5.ATTENTE_B>=1)))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(u3.NB_ATTENTE_B_30>=1))&&(i1.u5.ATTENTE_B>=1)))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(u3.NB_ATTENTE_B_31>=1))&&(i1.u5.ATTENTE_B>=1)))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(u3.NB_ATTENTE_B_32>=1))&&(i1.u5.ATTENTE_B>=1)))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_33>=1))&&(i1.u5.ATTENTE_B>=1)))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_34>=1))&&(i1.u5.ATTENTE_B>=1)))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_35>=1))&&(i1.u5.ATTENTE_B>=1)))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_36>=1))&&(i1.u5.ATTENTE_B>=1)))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_37>=1))&&(i1.u5.ATTENTE_B>=1)))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_38>=1))&&(i1.u5.ATTENTE_B>=1)))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_39>=1))&&(i1.u5.ATTENTE_B>=1)))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_40>=1))&&(i1.u5.ATTENTE_B>=1)))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_41>=1))&&(i1.u5.ATTENTE_B>=1)))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_42>=1))&&(i1.u5.ATTENTE_B>=1)))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_43>=1))&&(i1.u5.ATTENTE_B>=1)))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_44>=1))&&(i1.u5.ATTENTE_B>=1)))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(u3.NB_ATTENTE_B_45>=1))&&(i1.u5.ATTENTE_B>=1)))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(u3.NB_ATTENTE_B_46>=1))&&(i1.u5.ATTENTE_B>=1)))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(u3.NB_ATTENTE_B_47>=1))&&(i1.u5.ATTENTE_B>=1)))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(u3.NB_ATTENTE_B_48>=1))&&(i1.u5.ATTENTE_B>=1)))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(u3.NB_ATTENTE_B_49>=1))&&(i1.u5.ATTENTE_B>=1)))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(u3.NB_ATTENTE_B_50>=1))&&(i1.u5.ATTENTE_B>=1)))))&&(!((((((((((((((((((((((((((((((((((((((((((((((((((((i3.u4.NB_ATTENTE_B_0>=1)&&(i1.u5.ROUTE_B>=1))||((u3.NB_ATTENTE_B_1>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_2>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_3>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_4>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_5>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_6>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_7>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_8>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_9>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_10>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_11>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_12>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_13>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_14>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_15>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_16>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_17>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_18>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_19>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_20>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_21>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_22>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_23>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_24>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_25>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_26>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_27>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_28>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_29>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_30>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_31>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_32>=1)&&(i1.u5.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_33>=1)&&(i1.u5.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_34>=1)&&(i1.u5.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_35>=1)&&(i1.u5.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_36>=1)&&(i1.u5.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_37>=1)&&(i1.u5.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_38>=1)&&(i1.u5.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_39>=1)&&(i1.u5.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_40>=1)&&(i1.u5.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_41>=1)&&(i1.u5.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_42>=1)&&(i1.u5.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_43>=1)&&(i1.u5.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_44>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_45>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_46>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_47>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_48>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_49>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_50>=1)&&(i1.u5.ROUTE_B>=1))))))
Read [reachable] property : BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-05 with value :((((!(u0.SUR_PONT_A>=1))&&((((((((((((((((((((((((((((((((((((((((((((((((((((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(u3.NB_ATTENTE_B_1>=1))&&(i1.u5.ATTENTE_B>=1))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(u3.NB_ATTENTE_B_2>=1))&&(i1.u5.ATTENTE_B>=1)))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(u3.NB_ATTENTE_B_3>=1))&&(i1.u5.ATTENTE_B>=1)))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(u3.NB_ATTENTE_B_4>=1))&&(i1.u5.ATTENTE_B>=1)))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(u3.NB_ATTENTE_B_5>=1))&&(i1.u5.ATTENTE_B>=1)))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(u3.NB_ATTENTE_B_6>=1))&&(i1.u5.ATTENTE_B>=1)))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(u3.NB_ATTENTE_B_7>=1))&&(i1.u5.ATTENTE_B>=1)))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(u3.NB_ATTENTE_B_8>=1))&&(i1.u5.ATTENTE_B>=1)))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(u3.NB_ATTENTE_B_9>=1))&&(i1.u5.ATTENTE_B>=1)))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(u3.NB_ATTENTE_B_10>=1))&&(i1.u5.ATTENTE_B>=1)))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(u3.NB_ATTENTE_B_11>=1))&&(i1.u5.ATTENTE_B>=1)))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(u3.NB_ATTENTE_B_12>=1))&&(i1.u5.ATTENTE_B>=1)))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(u3.NB_ATTENTE_B_13>=1))&&(i1.u5.ATTENTE_B>=1)))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(u3.NB_ATTENTE_B_14>=1))&&(i1.u5.ATTENTE_B>=1)))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(u3.NB_ATTENTE_B_15>=1))&&(i1.u5.ATTENTE_B>=1)))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(u3.NB_ATTENTE_B_16>=1))&&(i1.u5.ATTENTE_B>=1)))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(u3.NB_ATTENTE_B_17>=1))&&(i1.u5.ATTENTE_B>=1)))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(u3.NB_ATTENTE_B_18>=1))&&(i1.u5.ATTENTE_B>=1)))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(u3.NB_ATTENTE_B_19>=1))&&(i1.u5.ATTENTE_B>=1)))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(u3.NB_ATTENTE_B_20>=1))&&(i1.u5.ATTENTE_B>=1)))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(u3.NB_ATTENTE_B_21>=1))&&(i1.u5.ATTENTE_B>=1)))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(u3.NB_ATTENTE_B_22>=1))&&(i1.u5.ATTENTE_B>=1)))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(u3.NB_ATTENTE_B_23>=1))&&(i1.u5.ATTENTE_B>=1)))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(u3.NB_ATTENTE_B_24>=1))&&(i1.u5.ATTENTE_B>=1)))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(u3.NB_ATTENTE_B_25>=1))&&(i1.u5.ATTENTE_B>=1)))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(u3.NB_ATTENTE_B_26>=1))&&(i1.u5.ATTENTE_B>=1)))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(u3.NB_ATTENTE_B_27>=1))&&(i1.u5.ATTENTE_B>=1)))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(u3.NB_ATTENTE_B_28>=1))&&(i1.u5.ATTENTE_B>=1)))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(u3.NB_ATTENTE_B_29>=1))&&(i1.u5.ATTENTE_B>=1)))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(u3.NB_ATTENTE_B_30>=1))&&(i1.u5.ATTENTE_B>=1)))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(u3.NB_ATTENTE_B_31>=1))&&(i1.u5.ATTENTE_B>=1)))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(u3.NB_ATTENTE_B_32>=1))&&(i1.u5.ATTENTE_B>=1)))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_33>=1))&&(i1.u5.ATTENTE_B>=1)))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_34>=1))&&(i1.u5.ATTENTE_B>=1)))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_35>=1))&&(i1.u5.ATTENTE_B>=1)))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_36>=1))&&(i1.u5.ATTENTE_B>=1)))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_37>=1))&&(i1.u5.ATTENTE_B>=1)))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_38>=1))&&(i1.u5.ATTENTE_B>=1)))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_39>=1))&&(i1.u5.ATTENTE_B>=1)))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_40>=1))&&(i1.u5.ATTENTE_B>=1)))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_41>=1))&&(i1.u5.ATTENTE_B>=1)))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_42>=1))&&(i1.u5.ATTENTE_B>=1)))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_43>=1))&&(i1.u5.ATTENTE_B>=1)))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i1.u5.NB_ATTENTE_B_44>=1))&&(i1.u5.ATTENTE_B>=1)))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(u3.NB_ATTENTE_B_45>=1))&&(i1.u5.ATTENTE_B>=1)))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(u3.NB_ATTENTE_B_46>=1))&&(i1.u5.ATTENTE_B>=1)))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(u3.NB_ATTENTE_B_47>=1))&&(i1.u5.ATTENTE_B>=1)))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(u3.NB_ATTENTE_B_48>=1))&&(i1.u5.ATTENTE_B>=1)))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(u3.NB_ATTENTE_B_49>=1))&&(i1.u5.ATTENTE_B>=1)))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(u3.NB_ATTENTE_B_50>=1))&&(i1.u5.ATTENTE_B>=1)))&&(((i1.u2.CAPACITE>=20)&&(i3.u4.VIDANGE_1>=1))||((i1.u2.CAPACITE>=20)&&(u3.VIDANGE_2>=1)))))&&((((((((((((((((((((((((((((((((((((((((((((((((((((i3.u4.NB_ATTENTE_B_0>=1)&&(i1.u5.ROUTE_B>=1))||((u3.NB_ATTENTE_B_1>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_2>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_3>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_4>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_5>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_6>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_7>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_8>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_9>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_10>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_11>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_12>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_13>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_14>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_15>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_16>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_17>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_18>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_19>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_20>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_21>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_22>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_23>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_24>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_25>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_26>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_27>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_28>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_29>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_30>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_31>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_32>=1)&&(i1.u5.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_33>=1)&&(i1.u5.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_34>=1)&&(i1.u5.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_35>=1)&&(i1.u5.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_36>=1)&&(i1.u5.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_37>=1)&&(i1.u5.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_38>=1)&&(i1.u5.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_39>=1)&&(i1.u5.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_40>=1)&&(i1.u5.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_41>=1)&&(i1.u5.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_42>=1)&&(i1.u5.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_43>=1)&&(i1.u5.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_44>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_45>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_46>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_47>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_48>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_49>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_50>=1)&&(i1.u5.ROUTE_B>=1))))||((((((((((((((((((((((((u0.CHOIX_1>=1)&&(i3.u1.COMPTEUR_0>=1))||((i1.u2.CHOIX_2>=1)&&(i3.u1.COMPTEUR_0>=1)))||((u0.CHOIX_1>=1)&&(i3.u1.COMPTEUR_1>=1)))||((i1.u2.CHOIX_2>=1)&&(i3.u1.COMPTEUR_1>=1)))||((u0.CHOIX_1>=1)&&(i3.u1.COMPTEUR_2>=1)))||((i1.u2.CHOIX_2>=1)&&(i3.u1.COMPTEUR_2>=1)))||((u0.CHOIX_1>=1)&&(i3.u1.COMPTEUR_3>=1)))||((i1.u2.CHOIX_2>=1)&&(i3.u1.COMPTEUR_3>=1)))||((u0.CHOIX_1>=1)&&(i3.u1.COMPTEUR_4>=1)))||((i1.u2.CHOIX_2>=1)&&(i3.u1.COMPTEUR_4>=1)))||((u0.CHOIX_1>=1)&&(i3.u1.COMPTEUR_5>=1)))||((i1.u2.CHOIX_2>=1)&&(i3.u1.COMPTEUR_5>=1)))||((u0.CHOIX_1>=1)&&(i3.u1.COMPTEUR_6>=1)))||((i1.u2.CHOIX_2>=1)&&(i3.u1.COMPTEUR_6>=1)))||((u0.CHOIX_1>=1)&&(i3.u1.COMPTEUR_7>=1)))||((i1.u2.CHOIX_2>=1)&&(i3.u1.COMPTEUR_7>=1)))||((u0.CHOIX_1>=1)&&(i3.u1.COMPTEUR_8>=1)))||((i1.u2.CHOIX_2>=1)&&(i3.u1.COMPTEUR_8>=1)))||((u0.CHOIX_1>=1)&&(i3.u1.COMPTEUR_9>=1)))||((i1.u2.CHOIX_2>=1)&&(i3.u1.COMPTEUR_9>=1)))||((((((((((((((((((((((((((((((((((((((((((((((((((((u0.ROUTE_A>=1)&&(u3.NB_ATTENTE_A_0>=1))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_1>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_2>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_3>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_4>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_5>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_6>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_7>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_8>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_9>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_10>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_11>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_12>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_13>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_14>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_15>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_16>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_17>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_18>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_19>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_20>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_21>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_22>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_23>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_24>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_25>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_26>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_27>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_28>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_29>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_30>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_31>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_32>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_33>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_34>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_35>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_36>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_37>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_38>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_39>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_40>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_41>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_42>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_43>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_44>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_45>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_46>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_47>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_48>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_49>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_50>=1))))||((i1.u2.SUR_PONT_B>=1)&&(((u0.CHOIX_1>=1)&&(i3.u1.COMPTEUR_10>=1))||((i1.u2.CHOIX_2>=1)&&(i3.u1.COMPTEUR_10>=1)))))&&(!(((((((((((((((((((((((((((((((((((((((((((((((((((((u0.ROUTE_A>=1)&&(u3.NB_ATTENTE_A_0>=1))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_1>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_2>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_3>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_4>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_5>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_6>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_7>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_8>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_9>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_10>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_11>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_12>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_13>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_14>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_15>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_16>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_17>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_18>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_19>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_20>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_21>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_22>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_23>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_24>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_25>=1)))||((u0.ROUTE_A>=1)&&(u0.NB_ATTENTE_A_26>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_27>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_28>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_29>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_30>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_31>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_32>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_33>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_34>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_35>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_36>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_37>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_38>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_39>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_40>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_41>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_42>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_43>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_44>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_45>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_46>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_47>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_48>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_49>=1)))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_50>=1)))||(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((u0.NB_ATTENTE_A_1>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1))||((((u0.NB_ATTENTE_A_2>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u0.NB_ATTENTE_A_3>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u0.NB_ATTENTE_A_4>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u0.NB_ATTENTE_A_5>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((i3.u4.NB_ATTENTE_A_6>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((i3.u4.NB_ATTENTE_A_7>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((i3.u4.NB_ATTENTE_A_8>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((i3.u4.NB_ATTENTE_A_9>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((i3.u4.NB_ATTENTE_A_10>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u0.NB_ATTENTE_A_11>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u0.NB_ATTENTE_A_12>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u0.NB_ATTENTE_A_13>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u0.NB_ATTENTE_A_14>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u0.NB_ATTENTE_A_15>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u0.NB_ATTENTE_A_16>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u0.NB_ATTENTE_A_17>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u0.NB_ATTENTE_A_18>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u0.NB_ATTENTE_A_19>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u0.NB_ATTENTE_A_20>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u0.NB_ATTENTE_A_21>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u0.NB_ATTENTE_A_22>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u0.NB_ATTENTE_A_23>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u0.NB_ATTENTE_A_24>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u0.NB_ATTENTE_A_25>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u0.NB_ATTENTE_A_26>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((i3.u4.NB_ATTENTE_A_27>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((i3.u4.NB_ATTENTE_A_28>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((i3.u4.NB_ATTENTE_A_29>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((i3.u4.NB_ATTENTE_A_30>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((i3.u4.NB_ATTENTE_A_31>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((i3.u4.NB_ATTENTE_A_32>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((i3.u4.NB_ATTENTE_A_33>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((i3.u4.NB_ATTENTE_A_34>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((i3.u4.NB_ATTENTE_A_35>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((i3.u4.NB_ATTENTE_A_36>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((i3.u4.NB_ATTENTE_A_37>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((i3.u4.NB_ATTENTE_A_38>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((i3.u4.NB_ATTENTE_A_39>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((i3.u4.NB_ATTENTE_A_40>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((i3.u4.NB_ATTENTE_A_41>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((i3.u4.NB_ATTENTE_A_42>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((i3.u4.NB_ATTENTE_A_43>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((i3.u4.NB_ATTENTE_A_44>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((i3.u4.NB_ATTENTE_A_45>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((i3.u4.NB_ATTENTE_A_46>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((i3.u4.NB_ATTENTE_A_47>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((i3.u4.NB_ATTENTE_A_48>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((i3.u4.NB_ATTENTE_A_49>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((i3.u4.NB_ATTENTE_A_50>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u0.NB_ATTENTE_A_1>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u0.NB_ATTENTE_A_2>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u0.NB_ATTENTE_A_3>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u0.NB_ATTENTE_A_4>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u0.NB_ATTENTE_A_5>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_6>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_7>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_8>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_9>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_10>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u0.NB_ATTENTE_A_11>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u0.NB_ATTENTE_A_12>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u0.NB_ATTENTE_A_13>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u0.NB_ATTENTE_A_14>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u0.NB_ATTENTE_A_15>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u0.NB_ATTENTE_A_16>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u0.NB_ATTENTE_A_17>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u0.NB_ATTENTE_A_18>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u0.NB_ATTENTE_A_19>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u0.NB_ATTENTE_A_20>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u0.NB_ATTENTE_A_21>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u0.NB_ATTENTE_A_22>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u0.NB_ATTENTE_A_23>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u0.NB_ATTENTE_A_24>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u0.NB_ATTENTE_A_25>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u0.NB_ATTENTE_A_26>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_27>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_28>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_29>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_30>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_31>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_32>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_33>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_34>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_35>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_36>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_37>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_38>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_39>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_40>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_41>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_42>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_43>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_44>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_45>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_46>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_47>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_48>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_49>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_50>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u0.NB_ATTENTE_A_1>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u0.NB_ATTENTE_A_2>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u0.NB_ATTENTE_A_3>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u0.NB_ATTENTE_A_4>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u0.NB_ATTENTE_A_5>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((i3.u4.NB_ATTENTE_A_6>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((i3.u4.NB_ATTENTE_A_7>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((i3.u4.NB_ATTENTE_A_8>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((i3.u4.NB_ATTENTE_A_9>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((i3.u4.NB_ATTENTE_A_10>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u0.NB_ATTENTE_A_11>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u0.NB_ATTENTE_A_12>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u0.NB_ATTENTE_A_13>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u0.NB_ATTENTE_A_14>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u0.NB_ATTENTE_A_15>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u0.NB_ATTENTE_A_16>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u0.NB_ATTENTE_A_17>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u0.NB_ATTENTE_A_18>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u0.NB_ATTENTE_A_19>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u0.NB_ATTENTE_A_20>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u0.NB_ATTENTE_A_21>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u0.NB_ATTENTE_A_22>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u0.NB_ATTENTE_A_23>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u0.NB_ATTENTE_A_24>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u0.NB_ATTENTE_A_25>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u0.NB_ATTENTE_A_26>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((i3.u4.NB_ATTENTE_A_27>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((i3.u4.NB_ATTENTE_A_28>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((i3.u4.NB_ATTENTE_A_29>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((i3.u4.NB_ATTENTE_A_30>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((i3.u4.NB_ATTENTE_A_31>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((i3.u4.NB_ATTENTE_A_32>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((i3.u4.NB_ATTENTE_A_33>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((i3.u4.NB_ATTENTE_A_34>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((i3.u4.NB_ATTENTE_A_35>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((i3.u4.NB_ATTENTE_A_36>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((i3.u4.NB_ATTENTE_A_37>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((i3.u4.NB_ATTENTE_A_38>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((i3.u4.NB_ATTENTE_A_39>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((i3.u4.NB_ATTENTE_A_40>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((i3.u4.NB_ATTENTE_A_41>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((i3.u4.NB_ATTENTE_A_42>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((i3.u4.NB_ATTENTE_A_43>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((i3.u4.NB_ATTENTE_A_44>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((i3.u4.NB_ATTENTE_A_45>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((i3.u4.NB_ATTENTE_A_46>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((i3.u4.NB_ATTENTE_A_47>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((i3.u4.NB_ATTENTE_A_48>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((i3.u4.NB_ATTENTE_A_49>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((i3.u4.NB_ATTENTE_A_50>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u0.NB_ATTENTE_A_1>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u0.NB_ATTENTE_A_2>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u0.NB_ATTENTE_A_3>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u0.NB_ATTENTE_A_4>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u0.NB_ATTENTE_A_5>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((i3.u4.NB_ATTENTE_A_6>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((i3.u4.NB_ATTENTE_A_7>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((i3.u4.NB_ATTENTE_A_8>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((i3.u4.NB_ATTENTE_A_9>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((i3.u4.NB_ATTENTE_A_10>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u0.NB_ATTENTE_A_11>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u0.NB_ATTENTE_A_12>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u0.NB_ATTENTE_A_13>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u0.NB_ATTENTE_A_14>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u0.NB_ATTENTE_A_15>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u0.NB_ATTENTE_A_16>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u0.NB_ATTENTE_A_17>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u0.NB_ATTENTE_A_18>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u0.NB_ATTENTE_A_19>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u0.NB_ATTENTE_A_20>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u0.NB_ATTENTE_A_21>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u0.NB_ATTENTE_A_22>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u0.NB_ATTENTE_A_23>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u0.NB_ATTENTE_A_24>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u0.NB_ATTENTE_A_25>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u0.NB_ATTENTE_A_26>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((i3.u4.NB_ATTENTE_A_27>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((i3.u4.NB_ATTENTE_A_28>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((i3.u4.NB_ATTENTE_A_29>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((i3.u4.NB_ATTENTE_A_30>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((i3.u4.NB_ATTENTE_A_31>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((i3.u4.NB_ATTENTE_A_32>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((i3.u4.NB_ATTENTE_A_33>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((i3.u4.NB_ATTENTE_A_34>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((i3.u4.NB_ATTENTE_A_35>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((i3.u4.NB_ATTENTE_A_36>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((i3.u4.NB_ATTENTE_A_37>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((i3.u4.NB_ATTENTE_A_38>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((i3.u4.NB_ATTENTE_A_39>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((i3.u4.NB_ATTENTE_A_40>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((i3.u4.NB_ATTENTE_A_41>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((i3.u4.NB_ATTENTE_A_42>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((i3.u4.NB_ATTENTE_A_43>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((i3.u4.NB_ATTENTE_A_44>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((i3.u4.NB_ATTENTE_A_45>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((i3.u4.NB_ATTENTE_A_46>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((i3.u4.NB_ATTENTE_A_47>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((i3.u4.NB_ATTENTE_A_48>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((i3.u4.NB_ATTENTE_A_49>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((i3.u4.NB_ATTENTE_A_50>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u0.NB_ATTENTE_A_1>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u0.NB_ATTENTE_A_2>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u0.NB_ATTENTE_A_3>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u0.NB_ATTENTE_A_4>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u0.NB_ATTENTE_A_5>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((i3.u4.NB_ATTENTE_A_6>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((i3.u4.NB_ATTENTE_A_7>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((i3.u4.NB_ATTENTE_A_8>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((i3.u4.NB_ATTENTE_A_9>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((i3.u4.NB_ATTENTE_A_10>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u0.NB_ATTENTE_A_11>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u0.NB_ATTENTE_A_12>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u0.NB_ATTENTE_A_13>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u0.NB_ATTENTE_A_14>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u0.NB_ATTENTE_A_15>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u0.NB_ATTENTE_A_16>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u0.NB_ATTENTE_A_17>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u0.NB_ATTENTE_A_18>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u0.NB_ATTENTE_A_19>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u0.NB_ATTENTE_A_20>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u0.NB_ATTENTE_A_21>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u0.NB_ATTENTE_A_22>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u0.NB_ATTENTE_A_23>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u0.NB_ATTENTE_A_24>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u0.NB_ATTENTE_A_25>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u0.NB_ATTENTE_A_26>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((i3.u4.NB_ATTENTE_A_27>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((i3.u4.NB_ATTENTE_A_28>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((i3.u4.NB_ATTENTE_A_29>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((i3.u4.NB_ATTENTE_A_30>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((i3.u4.NB_ATTENTE_A_31>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((i3.u4.NB_ATTENTE_A_32>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((i3.u4.NB_ATTENTE_A_33>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((i3.u4.NB_ATTENTE_A_34>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((i3.u4.NB_ATTENTE_A_35>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((i3.u4.NB_ATTENTE_A_36>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((i3.u4.NB_ATTENTE_A_37>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((i3.u4.NB_ATTENTE_A_38>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((i3.u4.NB_ATTENTE_A_39>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((i3.u4.NB_ATTENTE_A_40>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((i3.u4.NB_ATTENTE_A_41>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((i3.u4.NB_ATTENTE_A_42>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((i3.u4.NB_ATTENTE_A_43>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((i3.u4.NB_ATTENTE_A_44>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((i3.u4.NB_ATTENTE_A_45>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((i3.u4.NB_ATTENTE_A_46>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((i3.u4.NB_ATTENTE_A_47>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((i3.u4.NB_ATTENTE_A_48>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((i3.u4.NB_ATTENTE_A_49>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((i3.u4.NB_ATTENTE_A_50>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u0.NB_ATTENTE_A_1>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u0.NB_ATTENTE_A_2>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u0.NB_ATTENTE_A_3>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u0.NB_ATTENTE_A_4>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u0.NB_ATTENTE_A_5>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((i3.u4.NB_ATTENTE_A_6>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((i3.u4.NB_ATTENTE_A_7>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((i3.u4.NB_ATTENTE_A_8>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((i3.u4.NB_ATTENTE_A_9>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((i3.u4.NB_ATTENTE_A_10>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u0.NB_ATTENTE_A_11>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u0.NB_ATTENTE_A_12>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u0.NB_ATTENTE_A_13>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u0.NB_ATTENTE_A_14>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u0.NB_ATTENTE_A_15>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u0.NB_ATTENTE_A_16>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u0.NB_ATTENTE_A_17>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u0.NB_ATTENTE_A_18>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u0.NB_ATTENTE_A_19>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u0.NB_ATTENTE_A_20>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u0.NB_ATTENTE_A_21>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u0.NB_ATTENTE_A_22>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u0.NB_ATTENTE_A_23>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u0.NB_ATTENTE_A_24>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u0.NB_ATTENTE_A_25>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u0.NB_ATTENTE_A_26>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((i3.u4.NB_ATTENTE_A_27>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((i3.u4.NB_ATTENTE_A_28>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((i3.u4.NB_ATTENTE_A_29>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((i3.u4.NB_ATTENTE_A_30>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((i3.u4.NB_ATTENTE_A_31>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((i3.u4.NB_ATTENTE_A_32>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((i3.u4.NB_ATTENTE_A_33>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((i3.u4.NB_ATTENTE_A_34>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((i3.u4.NB_ATTENTE_A_35>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((i3.u4.NB_ATTENTE_A_36>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((i3.u4.NB_ATTENTE_A_37>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((i3.u4.NB_ATTENTE_A_38>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((i3.u4.NB_ATTENTE_A_39>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((i3.u4.NB_ATTENTE_A_40>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((i3.u4.NB_ATTENTE_A_41>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((i3.u4.NB_ATTENTE_A_42>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((i3.u4.NB_ATTENTE_A_43>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((i3.u4.NB_ATTENTE_A_44>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((i3.u4.NB_ATTENTE_A_45>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((i3.u4.NB_ATTENTE_A_46>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((i3.u4.NB_ATTENTE_A_47>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((i3.u4.NB_ATTENTE_A_48>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((i3.u4.NB_ATTENTE_A_49>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((i3.u4.NB_ATTENTE_A_50>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u0.NB_ATTENTE_A_1>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u0.NB_ATTENTE_A_2>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u0.NB_ATTENTE_A_3>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u0.NB_ATTENTE_A_4>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u0.NB_ATTENTE_A_5>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((i3.u4.NB_ATTENTE_A_6>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((i3.u4.NB_ATTENTE_A_7>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((i3.u4.NB_ATTENTE_A_8>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((i3.u4.NB_ATTENTE_A_9>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((i3.u4.NB_ATTENTE_A_10>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u0.NB_ATTENTE_A_11>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u0.NB_ATTENTE_A_12>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u0.NB_ATTENTE_A_13>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u0.NB_ATTENTE_A_14>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u0.NB_ATTENTE_A_15>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u0.NB_ATTENTE_A_16>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u0.NB_ATTENTE_A_17>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u0.NB_ATTENTE_A_18>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u0.NB_ATTENTE_A_19>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u0.NB_ATTENTE_A_20>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u0.NB_ATTENTE_A_21>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u0.NB_ATTENTE_A_22>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u0.NB_ATTENTE_A_23>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u0.NB_ATTENTE_A_24>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u0.NB_ATTENTE_A_25>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u0.NB_ATTENTE_A_26>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((i3.u4.NB_ATTENTE_A_27>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((i3.u4.NB_ATTENTE_A_28>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((i3.u4.NB_ATTENTE_A_29>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((i3.u4.NB_ATTENTE_A_30>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((i3.u4.NB_ATTENTE_A_31>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((i3.u4.NB_ATTENTE_A_32>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((i3.u4.NB_ATTENTE_A_33>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((i3.u4.NB_ATTENTE_A_34>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((i3.u4.NB_ATTENTE_A_35>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((i3.u4.NB_ATTENTE_A_36>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((i3.u4.NB_ATTENTE_A_37>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((i3.u4.NB_ATTENTE_A_38>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((i3.u4.NB_ATTENTE_A_39>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((i3.u4.NB_ATTENTE_A_40>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((i3.u4.NB_ATTENTE_A_41>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((i3.u4.NB_ATTENTE_A_42>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((i3.u4.NB_ATTENTE_A_43>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((i3.u4.NB_ATTENTE_A_44>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((i3.u4.NB_ATTENTE_A_45>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((i3.u4.NB_ATTENTE_A_46>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((i3.u4.NB_ATTENTE_A_47>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((i3.u4.NB_ATTENTE_A_48>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((i3.u4.NB_ATTENTE_A_49>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((i3.u4.NB_ATTENTE_A_50>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u0.NB_ATTENTE_A_1>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u0.NB_ATTENTE_A_2>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u0.NB_ATTENTE_A_3>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u0.NB_ATTENTE_A_4>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u0.NB_ATTENTE_A_5>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((i3.u4.NB_ATTENTE_A_6>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((i3.u4.NB_ATTENTE_A_7>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((i3.u4.NB_ATTENTE_A_8>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((i3.u4.NB_ATTENTE_A_9>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((i3.u4.NB_ATTENTE_A_10>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u0.NB_ATTENTE_A_11>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u0.NB_ATTENTE_A_12>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u0.NB_ATTENTE_A_13>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u0.NB_ATTENTE_A_14>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u0.NB_ATTENTE_A_15>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u0.NB_ATTENTE_A_16>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u0.NB_ATTENTE_A_17>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u0.NB_ATTENTE_A_18>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u0.NB_ATTENTE_A_19>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u0.NB_ATTENTE_A_20>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u0.NB_ATTENTE_A_21>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u0.NB_ATTENTE_A_22>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u0.NB_ATTENTE_A_23>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u0.NB_ATTENTE_A_24>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u0.NB_ATTENTE_A_25>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u0.NB_ATTENTE_A_26>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((i3.u4.NB_ATTENTE_A_27>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((i3.u4.NB_ATTENTE_A_28>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((i3.u4.NB_ATTENTE_A_29>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((i3.u4.NB_ATTENTE_A_30>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((i3.u4.NB_ATTENTE_A_31>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((i3.u4.NB_ATTENTE_A_32>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((i3.u4.NB_ATTENTE_A_33>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((i3.u4.NB_ATTENTE_A_34>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((i3.u4.NB_ATTENTE_A_35>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((i3.u4.NB_ATTENTE_A_36>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((i3.u4.NB_ATTENTE_A_37>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((i3.u4.NB_ATTENTE_A_38>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((i3.u4.NB_ATTENTE_A_39>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((i3.u4.NB_ATTENTE_A_40>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((i3.u4.NB_ATTENTE_A_41>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((i3.u4.NB_ATTENTE_A_42>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((i3.u4.NB_ATTENTE_A_43>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((i3.u4.NB_ATTENTE_A_44>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((i3.u4.NB_ATTENTE_A_45>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((i3.u4.NB_ATTENTE_A_46>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((i3.u4.NB_ATTENTE_A_47>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((i3.u4.NB_ATTENTE_A_48>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((i3.u4.NB_ATTENTE_A_49>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((i3.u4.NB_ATTENTE_A_50>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u0.NB_ATTENTE_A_1>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u0.NB_ATTENTE_A_2>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u0.NB_ATTENTE_A_3>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u0.NB_ATTENTE_A_4>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u0.NB_ATTENTE_A_5>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((i3.u4.NB_ATTENTE_A_6>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((i3.u4.NB_ATTENTE_A_7>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((i3.u4.NB_ATTENTE_A_8>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((i3.u4.NB_ATTENTE_A_9>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((i3.u4.NB_ATTENTE_A_10>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u0.NB_ATTENTE_A_11>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u0.NB_ATTENTE_A_12>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u0.NB_ATTENTE_A_13>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u0.NB_ATTENTE_A_14>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u0.NB_ATTENTE_A_15>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u0.NB_ATTENTE_A_16>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u0.NB_ATTENTE_A_17>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u0.NB_ATTENTE_A_18>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u0.NB_ATTENTE_A_19>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u0.NB_ATTENTE_A_20>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u0.NB_ATTENTE_A_21>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u0.NB_ATTENTE_A_22>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u0.NB_ATTENTE_A_23>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u0.NB_ATTENTE_A_24>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u0.NB_ATTENTE_A_25>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u0.NB_ATTENTE_A_26>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((i3.u4.NB_ATTENTE_A_27>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((i3.u4.NB_ATTENTE_A_28>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((i3.u4.NB_ATTENTE_A_29>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((i3.u4.NB_ATTENTE_A_30>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((i3.u4.NB_ATTENTE_A_31>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((i3.u4.NB_ATTENTE_A_32>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((i3.u4.NB_ATTENTE_A_33>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((i3.u4.NB_ATTENTE_A_34>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((i3.u4.NB_ATTENTE_A_35>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((i3.u4.NB_ATTENTE_A_36>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((i3.u4.NB_ATTENTE_A_37>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((i3.u4.NB_ATTENTE_A_38>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((i3.u4.NB_ATTENTE_A_39>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((i3.u4.NB_ATTENTE_A_40>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((i3.u4.NB_ATTENTE_A_41>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((i3.u4.NB_ATTENTE_A_42>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((i3.u4.NB_ATTENTE_A_43>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((i3.u4.NB_ATTENTE_A_44>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((i3.u4.NB_ATTENTE_A_45>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((i3.u4.NB_ATTENTE_A_46>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((i3.u4.NB_ATTENTE_A_47>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((i3.u4.NB_ATTENTE_A_48>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((i3.u4.NB_ATTENTE_A_49>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((i3.u4.NB_ATTENTE_A_50>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u0.NB_ATTENTE_A_1>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u0.NB_ATTENTE_A_2>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u0.NB_ATTENTE_A_3>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u0.NB_ATTENTE_A_4>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u0.NB_ATTENTE_A_5>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((i3.u4.NB_ATTENTE_A_6>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((i3.u4.NB_ATTENTE_A_7>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((i3.u4.NB_ATTENTE_A_8>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((i3.u4.NB_ATTENTE_A_9>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((i3.u4.NB_ATTENTE_A_10>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u0.NB_ATTENTE_A_11>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u0.NB_ATTENTE_A_12>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u0.NB_ATTENTE_A_13>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u0.NB_ATTENTE_A_14>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u0.NB_ATTENTE_A_15>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u0.NB_ATTENTE_A_16>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u0.NB_ATTENTE_A_17>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u0.NB_ATTENTE_A_18>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u0.NB_ATTENTE_A_19>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u0.NB_ATTENTE_A_20>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u0.NB_ATTENTE_A_21>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u0.NB_ATTENTE_A_22>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u0.NB_ATTENTE_A_23>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u0.NB_ATTENTE_A_24>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u0.NB_ATTENTE_A_25>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u0.NB_ATTENTE_A_26>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((i3.u4.NB_ATTENTE_A_27>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((i3.u4.NB_ATTENTE_A_28>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((i3.u4.NB_ATTENTE_A_29>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((i3.u4.NB_ATTENTE_A_30>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((i3.u4.NB_ATTENTE_A_31>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((i3.u4.NB_ATTENTE_A_32>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((i3.u4.NB_ATTENTE_A_33>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((i3.u4.NB_ATTENTE_A_34>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((i3.u4.NB_ATTENTE_A_35>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((i3.u4.NB_ATTENTE_A_36>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((i3.u4.NB_ATTENTE_A_37>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((i3.u4.NB_ATTENTE_A_38>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((i3.u4.NB_ATTENTE_A_39>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((i3.u4.NB_ATTENTE_A_40>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((i3.u4.NB_ATTENTE_A_41>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((i3.u4.NB_ATTENTE_A_42>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((i3.u4.NB_ATTENTE_A_43>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((i3.u4.NB_ATTENTE_A_44>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((i3.u4.NB_ATTENTE_A_45>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((i3.u4.NB_ATTENTE_A_46>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((i3.u4.NB_ATTENTE_A_47>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((i3.u4.NB_ATTENTE_A_48>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((i3.u4.NB_ATTENTE_A_49>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((i3.u4.NB_ATTENTE_A_50>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u0.NB_ATTENTE_A_1>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u0.NB_ATTENTE_A_2>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u0.NB_ATTENTE_A_3>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u0.NB_ATTENTE_A_4>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u0.NB_ATTENTE_A_5>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((i3.u4.NB_ATTENTE_A_6>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((i3.u4.NB_ATTENTE_A_7>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((i3.u4.NB_ATTENTE_A_8>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((i3.u4.NB_ATTENTE_A_9>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((i3.u4.NB_ATTENTE_A_10>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u0.NB_ATTENTE_A_11>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u0.NB_ATTENTE_A_12>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u0.NB_ATTENTE_A_13>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u0.NB_ATTENTE_A_14>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u0.NB_ATTENTE_A_15>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u0.NB_ATTENTE_A_16>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u0.NB_ATTENTE_A_17>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u0.NB_ATTENTE_A_18>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u0.NB_ATTENTE_A_19>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u0.NB_ATTENTE_A_20>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u0.NB_ATTENTE_A_21>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u0.NB_ATTENTE_A_22>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u0.NB_ATTENTE_A_23>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u0.NB_ATTENTE_A_24>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u0.NB_ATTENTE_A_25>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u0.NB_ATTENTE_A_26>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((i3.u4.NB_ATTENTE_A_27>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((i3.u4.NB_ATTENTE_A_28>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((i3.u4.NB_ATTENTE_A_29>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((i3.u4.NB_ATTENTE_A_30>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((i3.u4.NB_ATTENTE_A_31>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((i3.u4.NB_ATTENTE_A_32>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((i3.u4.NB_ATTENTE_A_33>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((i3.u4.NB_ATTENTE_A_34>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((i3.u4.NB_ATTENTE_A_35>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((i3.u4.NB_ATTENTE_A_36>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((i3.u4.NB_ATTENTE_A_37>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((i3.u4.NB_ATTENTE_A_38>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((i3.u4.NB_ATTENTE_A_39>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((i3.u4.NB_ATTENTE_A_40>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((i3.u4.NB_ATTENTE_A_41>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((i3.u4.NB_ATTENTE_A_42>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((i3.u4.NB_ATTENTE_A_43>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((i3.u4.NB_ATTENTE_A_44>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((i3.u4.NB_ATTENTE_A_45>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((i3.u4.NB_ATTENTE_A_46>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((i3.u4.NB_ATTENTE_A_47>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((i3.u4.NB_ATTENTE_A_48>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((i3.u4.NB_ATTENTE_A_49>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((i3.u4.NB_ATTENTE_A_50>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_10>=1)))))))
Read [invariant] property : BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-06 with value :((((((((((((((((((((((((((((((((((((((((((((((((((((((i3.u4.NB_ATTENTE_B_0>=1)&&(i1.u5.ROUTE_B>=1))||((u3.NB_ATTENTE_B_1>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_2>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_3>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_4>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_5>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_6>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_7>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_8>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_9>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_10>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_11>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_12>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_13>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_14>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_15>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_16>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_17>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_18>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_19>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_20>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_21>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_22>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_23>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_24>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_25>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_26>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_27>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_28>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_29>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_30>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_31>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_32>=1)&&(i1.u5.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_33>=1)&&(i1.u5.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_34>=1)&&(i1.u5.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_35>=1)&&(i1.u5.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_36>=1)&&(i1.u5.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_37>=1)&&(i1.u5.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_38>=1)&&(i1.u5.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_39>=1)&&(i1.u5.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_40>=1)&&(i1.u5.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_41>=1)&&(i1.u5.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_42>=1)&&(i1.u5.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_43>=1)&&(i1.u5.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_44>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_45>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_46>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_47>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_48>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_49>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_50>=1)&&(i1.u5.ROUTE_B>=1)))&&((((((((((((((((((((((((((((((((((((((((((((((((((((((i3.u4.NB_ATTENTE_B_0>=1)&&(i1.u5.ROUTE_B>=1))||((u3.NB_ATTENTE_B_1>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_2>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_3>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_4>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_5>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_6>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_7>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_8>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_9>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_10>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_11>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_12>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_13>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_14>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_15>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_16>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_17>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_18>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_19>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_20>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_21>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_22>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_23>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_24>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_25>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_26>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_27>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_28>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_29>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_30>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_31>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_32>=1)&&(i1.u5.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_33>=1)&&(i1.u5.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_34>=1)&&(i1.u5.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_35>=1)&&(i1.u5.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_36>=1)&&(i1.u5.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_37>=1)&&(i1.u5.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_38>=1)&&(i1.u5.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_39>=1)&&(i1.u5.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_40>=1)&&(i1.u5.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_41>=1)&&(i1.u5.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_42>=1)&&(i1.u5.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_43>=1)&&(i1.u5.ROUTE_B>=1)))||((i1.u5.NB_ATTENTE_B_44>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_45>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_46>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_47>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_48>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_49>=1)&&(i1.u5.ROUTE_B>=1)))||((u3.NB_ATTENTE_B_50>=1)&&(i1.u5.ROUTE_B>=1)))||(i1.u2.SUR_PONT_B>=1))&&((((((((((((((((((((((u0.CHOIX_1>=1)&&(i3.u1.COMPTEUR_0>=1))||((i1.u2.CHOIX_2>=1)&&(i3.u1.COMPTEUR_0>=1)))||((u0.CHOIX_1>=1)&&(i3.u1.COMPTEUR_1>=1)))||((i1.u2.CHOIX_2>=1)&&(i3.u1.COMPTEUR_1>=1)))||((u0.CHOIX_1>=1)&&(i3.u1.COMPTEUR_2>=1)))||((i1.u2.CHOIX_2>=1)&&(i3.u1.COMPTEUR_2>=1)))||((u0.CHOIX_1>=1)&&(i3.u1.COMPTEUR_3>=1)))||((i1.u2.CHOIX_2>=1)&&(i3.u1.COMPTEUR_3>=1)))||((u0.CHOIX_1>=1)&&(i3.u1.COMPTEUR_4>=1)))||((i1.u2.CHOIX_2>=1)&&(i3.u1.COMPTEUR_4>=1)))||((u0.CHOIX_1>=1)&&(i3.u1.COMPTEUR_5>=1)))||((i1.u2.CHOIX_2>=1)&&(i3.u1.COMPTEUR_5>=1)))||((u0.CHOIX_1>=1)&&(i3.u1.COMPTEUR_6>=1)))||((i1.u2.CHOIX_2>=1)&&(i3.u1.COMPTEUR_6>=1)))||((u0.CHOIX_1>=1)&&(i3.u1.COMPTEUR_7>=1)))||((i1.u2.CHOIX_2>=1)&&(i3.u1.COMPTEUR_7>=1)))||((u0.CHOIX_1>=1)&&(i3.u1.COMPTEUR_8>=1)))||((i1.u2.CHOIX_2>=1)&&(i3.u1.COMPTEUR_8>=1)))||((u0.CHOIX_1>=1)&&(i3.u1.COMPTEUR_9>=1)))||((i1.u2.CHOIX_2>=1)&&(i3.u1.COMPTEUR_9>=1)))&&(i1.u2.SUR_PONT_B>=1))))||(!(((u0.SUR_PONT_A>=1)&&(i1.u2.SUR_PONT_B>=1))&&((((i1.u2.CAPACITE>=20)&&(i3.u4.VIDANGE_1>=1))||((i1.u2.CAPACITE>=20)&&(u3.VIDANGE_2>=1)))||(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_1>=1))&&(i3.u1.COMPTEUR_0>=1))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_1>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_1>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_1>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_1>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_1>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_1>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_1>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_1>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_1>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_1>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_2>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_2>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_2>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_2>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_2>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_2>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_2>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_2>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_2>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_2>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_2>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_3>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_3>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_3>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_3>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_3>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_3>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_3>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_3>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_3>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_3>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_3>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_4>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_4>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_4>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_4>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_4>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_4>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_4>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_4>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_4>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_4>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_4>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_5>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_5>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_5>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_5>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_5>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_5>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_5>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_5>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_5>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_5>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_5>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_6>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_6>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_6>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_6>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_6>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_6>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_6>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_6>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_6>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_6>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_6>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_7>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_7>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_7>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_7>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_7>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_7>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_7>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_7>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_7>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_7>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_7>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_8>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_8>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_8>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_8>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_8>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_8>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_8>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_8>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_8>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_8>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_8>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_9>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_9>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_9>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_9>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_9>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_9>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_9>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_9>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_9>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_9>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_9>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_10>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_10>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_10>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_10>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_10>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_10>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_10>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_10>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_10>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_10>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_10>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_11>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_11>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_11>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_11>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_11>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_11>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_11>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_11>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_11>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_11>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_11>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_12>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_12>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_12>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_12>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_12>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_12>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_12>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_12>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_12>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_12>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_12>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_13>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_13>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_13>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_13>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_13>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_13>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_13>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_13>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_13>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_13>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_13>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_14>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_14>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_14>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_14>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_14>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_14>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_14>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_14>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_14>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_14>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_14>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_15>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_15>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_15>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_15>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_15>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_15>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_15>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_15>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_15>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_15>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_15>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_16>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_16>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_16>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_16>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_16>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_16>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_16>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_16>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_16>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_16>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_16>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_17>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_17>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_17>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_17>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_17>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_17>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_17>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_17>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_17>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_17>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_17>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_18>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_18>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_18>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_18>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_18>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_18>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_18>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_18>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_18>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_18>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_18>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_19>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_19>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_19>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_19>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_19>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_19>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_19>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_19>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_19>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_19>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_19>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_20>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_20>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_20>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_20>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_20>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_20>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_20>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_20>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_20>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_20>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_20>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_21>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_21>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_21>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_21>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_21>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_21>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_21>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_21>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_21>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_21>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_21>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_22>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_22>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_22>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_22>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_22>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_22>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_22>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_22>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_22>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_22>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_22>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_23>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_23>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_23>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_23>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_23>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_23>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_23>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_23>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_23>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_23>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_23>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_24>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_24>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_24>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_24>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_24>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_24>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_24>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_24>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_24>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_24>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_24>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_25>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_25>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_25>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_25>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_25>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_25>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_25>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_25>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_25>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_25>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_25>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_26>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_26>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_26>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_26>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_26>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_26>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_26>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_26>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_26>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_26>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_26>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_27>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_27>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_27>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_27>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_27>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_27>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_27>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_27>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_27>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_27>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_27>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_28>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_28>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_28>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_28>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_28>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_28>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_28>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_28>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_28>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_28>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_28>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_29>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_29>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_29>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_29>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_29>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_29>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_29>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_29>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_29>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_29>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_29>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_30>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_30>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_30>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_30>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_30>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_30>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_30>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_30>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_30>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_30>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_30>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_31>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_31>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_31>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_31>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_31>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_31>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_31>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_31>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_31>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_31>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_31>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_32>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_32>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_32>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_32>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_32>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_32>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_32>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_32>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_32>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_32>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_32>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_33>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_33>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_33>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_33>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_33>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_33>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_33>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_33>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_33>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_33>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_33>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_34>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_34>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_34>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_34>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_34>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_34>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_34>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_34>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_34>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_34>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_34>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_35>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_35>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_35>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_35>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_35>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_35>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_35>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_35>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_35>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_35>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_35>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_36>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_36>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_36>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_36>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_36>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_36>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_36>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_36>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_36>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_36>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_36>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_37>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_37>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_37>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_37>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_37>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_37>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_37>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_37>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_37>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_37>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_37>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_38>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_38>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_38>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_38>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_38>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_38>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_38>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_38>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_38>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_38>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_38>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_39>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_39>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_39>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_39>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_39>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_39>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_39>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_39>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_39>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_39>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_39>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_40>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_40>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_40>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_40>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_40>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_40>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_40>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_40>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_40>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_40>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_40>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_41>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_41>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_41>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_41>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_41>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_41>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_41>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_41>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_41>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_41>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_41>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_42>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_42>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_42>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_42>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_42>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_42>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_42>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_42>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_42>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_42>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_42>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_43>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_43>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_43>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_43>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_43>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_43>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_43>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_43>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_43>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_43>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_43>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_44>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_44>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_44>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_44>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_44>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_44>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_44>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_44>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_44>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_44>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_44>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_45>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_45>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_45>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_45>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_45>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_45>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_45>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_45>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_45>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_45>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_45>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_46>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_46>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_46>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_46>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_46>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_46>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_46>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_46>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_46>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_46>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_46>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_47>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_47>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_47>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_47>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_47>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_47>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_47>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_47>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_47>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_47>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_47>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_48>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_48>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_48>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_48>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_48>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_48>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_48>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_48>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_48>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_48>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_48>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_49>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_49>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_49>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_49>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_49>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_49>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_49>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_49>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_49>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_49>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_49>=1))&&(i3.u1.COMPTEUR_10>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_50>=1))&&(i3.u1.COMPTEUR_0>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_50>=1))&&(i3.u1.COMPTEUR_1>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_50>=1))&&(i3.u1.COMPTEUR_2>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_50>=1))&&(i3.u1.COMPTEUR_3>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_50>=1))&&(i3.u1.COMPTEUR_4>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_50>=1))&&(i3.u1.COMPTEUR_5>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_50>=1))&&(i3.u1.COMPTEUR_6>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_50>=1))&&(i3.u1.COMPTEUR_7>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_50>=1))&&(i3.u1.COMPTEUR_8>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_50>=1))&&(i3.u1.COMPTEUR_9>=1)))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_50>=1))&&(i3.u1.COMPTEUR_10>=1)))))))
Read [reachable] property : BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-07 with value :((!((!(((((((((((((((((((((((((((((((((((((((((((((((((((((u0.NB_ATTENTE_A_1>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1))||((((u0.NB_ATTENTE_A_2>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((u0.NB_ATTENTE_A_3>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((u0.NB_ATTENTE_A_4>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((u0.NB_ATTENTE_A_5>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_6>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_7>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_8>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_9>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_10>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((u0.NB_ATTENTE_A_11>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((u0.NB_ATTENTE_A_12>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((u0.NB_ATTENTE_A_13>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((u0.NB_ATTENTE_A_14>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((u0.NB_ATTENTE_A_15>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((u0.NB_ATTENTE_A_16>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((u0.NB_ATTENTE_A_17>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((u0.NB_ATTENTE_A_18>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((u0.NB_ATTENTE_A_19>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((u0.NB_ATTENTE_A_20>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((u0.NB_ATTENTE_A_21>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((u0.NB_ATTENTE_A_22>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((u0.NB_ATTENTE_A_23>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((u0.NB_ATTENTE_A_24>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((u0.NB_ATTENTE_A_25>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((u0.NB_ATTENTE_A_26>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_27>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_28>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_29>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_30>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_31>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_32>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_33>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_34>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_35>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_36>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_37>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_38>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_39>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_40>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_41>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_42>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_43>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_44>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_45>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_46>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_47>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_48>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_49>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1)))||((((i3.u4.NB_ATTENTE_A_50>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1))))||(!(((u0.CHOIX_1>=1)&&(i3.u1.COMPTEUR_10>=1))||((i1.u2.CHOIX_2>=1)&&(i3.u1.COMPTEUR_10>=1))))))&&(((((((((((((((((((((u0.CHOIX_1>=1)&&(i3.u1.COMPTEUR_0>=1))||((i1.u2.CHOIX_2>=1)&&(i3.u1.COMPTEUR_0>=1)))||((u0.CHOIX_1>=1)&&(i3.u1.COMPTEUR_1>=1)))||((i1.u2.CHOIX_2>=1)&&(i3.u1.COMPTEUR_1>=1)))||((u0.CHOIX_1>=1)&&(i3.u1.COMPTEUR_2>=1)))||((i1.u2.CHOIX_2>=1)&&(i3.u1.COMPTEUR_2>=1)))||((u0.CHOIX_1>=1)&&(i3.u1.COMPTEUR_3>=1)))||((i1.u2.CHOIX_2>=1)&&(i3.u1.COMPTEUR_3>=1)))||((u0.CHOIX_1>=1)&&(i3.u1.COMPTEUR_4>=1)))||((i1.u2.CHOIX_2>=1)&&(i3.u1.COMPTEUR_4>=1)))||((u0.CHOIX_1>=1)&&(i3.u1.COMPTEUR_5>=1)))||((i1.u2.CHOIX_2>=1)&&(i3.u1.COMPTEUR_5>=1)))||((u0.CHOIX_1>=1)&&(i3.u1.COMPTEUR_6>=1)))||((i1.u2.CHOIX_2>=1)&&(i3.u1.COMPTEUR_6>=1)))||((u0.CHOIX_1>=1)&&(i3.u1.COMPTEUR_7>=1)))||((i1.u2.CHOIX_2>=1)&&(i3.u1.COMPTEUR_7>=1)))||((u0.CHOIX_1>=1)&&(i3.u1.COMPTEUR_8>=1)))||((i1.u2.CHOIX_2>=1)&&(i3.u1.COMPTEUR_8>=1)))||((u0.CHOIX_1>=1)&&(i3.u1.COMPTEUR_9>=1)))||((i1.u2.CHOIX_2>=1)&&(i3.u1.COMPTEUR_9>=1))))
Read [invariant] property : BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-08 with value :((!(((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_23>=1))&&(i3.u1.COMPTEUR_10>=1))||(((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_25>=1))&&(i3.u1.COMPTEUR_1>=1))&&((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_6>=1)))))||((!((((u0.NB_ATTENTE_A_24>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))&&(!(((((i3.u4.NB_ATTENTE_A_40>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_3>=1))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_6>=1))&&(i3.u1.COMPTEUR_9>=1))))))
Read [reachable] property : BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-09 with value :((((((((u0.NB_ATTENTE_A_16>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1))&&((((i3.u4.NB_ATTENTE_A_29>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1)))&&(((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_33>=1))&&(i3.u1.COMPTEUR_4>=1))&&((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(u3.NB_ATTENTE_B_18>=1))&&(i1.u5.ATTENTE_B>=1))))&&(!(((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(u3.NB_ATTENTE_B_50>=1))&&(i1.u5.ATTENTE_B>=1))&&((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_8>=1))&&(i3.u1.COMPTEUR_6>=1)))))||((((i3.u4.NB_ATTENTE_A_33>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1)))
Read [reachable] property : BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-10 with value :(((((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_22>=1))&&(i3.u1.COMPTEUR_0>=1))||(!((((u0.NB_ATTENTE_A_16>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1))))&&(((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(u3.NB_ATTENTE_B_29>=1))&&(i1.u5.ATTENTE_B>=1))||(((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_38>=1))&&(i3.u1.COMPTEUR_1>=1))||((((i3.u4.NB_ATTENTE_A_8>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_6>=1)))))||(((((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_24>=1))&&(i3.u1.COMPTEUR_6>=1))&&((((i3.u4.NB_ATTENTE_A_39>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_4>=1)))||(((((i3.u4.NB_ATTENTE_A_49>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_2>=1))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_36>=1))&&(i3.u1.COMPTEUR_7>=1))))&&((((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_33>=1))&&(i3.u1.COMPTEUR_8>=1))||((u0.ROUTE_A>=1)&&(i3.u4.NB_ATTENTE_A_6>=1)))||(((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_40>=1))&&(i3.u1.COMPTEUR_0>=1))&&((((i3.u4.NB_ATTENTE_A_38>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_9>=1))))))
Read [invariant] property : BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-11 with value :(!((i1.u5.NB_ATTENTE_B_36>=1)&&(i1.u5.ROUTE_B>=1)))
Read [invariant] property : BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-12 with value :(!(((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_41>=1))&&(i3.u1.COMPTEUR_8>=1))&&(!(((i1.u5.NB_ATTENTE_B_43>=1)&&(i1.u5.ROUTE_B>=1))&&((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_44>=1))&&(i3.u1.COMPTEUR_0>=1))))))
Read [reachable] property : BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-13 with value :((!((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_35>=1))&&(i3.u1.COMPTEUR_4>=1)))&&((((u0.NB_ATTENTE_A_13>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1)))
Read [invariant] property : BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-14 with value :(!(((((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_40>=1))&&(i3.u1.COMPTEUR_9>=1))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_41>=1))&&(i3.u1.COMPTEUR_3>=1)))||(((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_43>=1))&&(i3.u1.COMPTEUR_1>=1))||((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_26>=1))&&(i3.u1.COMPTEUR_7>=1))))&&((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(u3.NB_ATTENTE_B_19>=1))&&(i1.u5.ATTENTE_B>=1))))
Read [reachable] property : BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-15 with value :((((((((i3.u4.NB_ATTENTE_A_7>=1)&&(u0.ATTENTE_A>=1))&&(i1.u2.CAPACITE>=1))&&(u3.CONTROLEUR_1>=1))||((((i1.u2.CAPACITE>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(u3.NB_ATTENTE_B_4>=1))&&(i1.u5.ATTENTE_B>=1)))||((((u0.NB_ATTENTE_A_12>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))||(!((((i3.u4.NB_ATTENTE_A_38>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_7>=1))))&&(((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(u3.NB_ATTENTE_B_20>=1))&&(i3.u1.COMPTEUR_0>=1))||((((((i3.u4.NB_ATTENTE_A_27>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1))||((((u0.NB_ATTENTE_A_16>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_1>=1)))&&(((((u3.NB_ATTENTE_A_0>=1)&&(u3.CONTROLEUR_1>=1))&&(i1.u5.NB_ATTENTE_B_38>=1))&&(i3.u1.COMPTEUR_9>=1))&&((((u0.NB_ATTENTE_A_14>=1)&&(i3.u4.CONTROLEUR_2>=1))&&(i3.u4.NB_ATTENTE_B_0>=1))&&(i3.u1.COMPTEUR_5>=1))))))
built 12 ordering constraints for composite.
built 286 ordering constraints for composite.
built 1236 ordering constraints for composite.
FORMULA BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-07 FALSE TECHNIQUES SAT_SMT K_INDUCTION(0)
FORMULA BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-08 TRUE TECHNIQUES SAT_SMT K_INDUCTION(0)
FORMULA BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-14 TRUE TECHNIQUES SAT_SMT K_INDUCTION(0)
Running compilation step : CommandLine [args=[gcc, -c, -I/home/mcc/BenchKit//lts_install_dir//include, -I., -std=c99, -fPIC, -O3, model.c], workingDir=/home/mcc/execution]
Compilation finished in 79147 ms.
Running link step : CommandLine [args=[gcc, -shared, -o, gal.so, model.o], workingDir=/home/mcc/execution]
Link finished in 115 ms.
Running LTSmin : CommandLine [args=[/home/mcc/BenchKit//lts_install_dir//bin/pins2lts-mc, ./gal.so, --threads=1, -p, --pins-guards, --when, -i, BridgeAndVehiclesPTV50P20N10ReachabilityFireability01==true], workingDir=/home/mcc/execution]
WARNING : LTSmin timed out (>225 s) on command CommandLine [args=[/home/mcc/BenchKit//lts_install_dir//bin/pins2lts-mc, ./gal.so, --threads=1, -p, --pins-guards, --when, -i, BridgeAndVehiclesPTV50P20N10ReachabilityFireability01==true], workingDir=/home/mcc/execution]
Running LTSmin : CommandLine [args=[/home/mcc/BenchKit//lts_install_dir//bin/pins2lts-mc, ./gal.so, --threads=1, -p, --pins-guards, --when, -i, BridgeAndVehiclesPTV50P20N10ReachabilityFireability03==true], workingDir=/home/mcc/execution]
WARNING : LTSmin timed out (>225 s) on command CommandLine [args=[/home/mcc/BenchKit//lts_install_dir//bin/pins2lts-mc, ./gal.so, --threads=1, -p, --pins-guards, --when, -i, BridgeAndVehiclesPTV50P20N10ReachabilityFireability03==true], workingDir=/home/mcc/execution]
Running LTSmin : CommandLine [args=[/home/mcc/BenchKit//lts_install_dir//bin/pins2lts-mc, ./gal.so, --threads=1, -p, --pins-guards, --when, -i, BridgeAndVehiclesPTV50P20N10ReachabilityFireability04==true], workingDir=/home/mcc/execution]
WARNING : LTSmin timed out (>225 s) on command CommandLine [args=[/home/mcc/BenchKit//lts_install_dir//bin/pins2lts-mc, ./gal.so, --threads=1, -p, --pins-guards, --when, -i, BridgeAndVehiclesPTV50P20N10ReachabilityFireability04==true], workingDir=/home/mcc/execution]
Running LTSmin : CommandLine [args=[/home/mcc/BenchKit//lts_install_dir//bin/pins2lts-mc, ./gal.so, --threads=1, -p, --pins-guards, --when, -i, BridgeAndVehiclesPTV50P20N10ReachabilityFireability05==true], workingDir=/home/mcc/execution]
WARNING : LTSmin timed out (>225 s) on command CommandLine [args=[/home/mcc/BenchKit//lts_install_dir//bin/pins2lts-mc, ./gal.so, --threads=1, -p, --pins-guards, --when, -i, BridgeAndVehiclesPTV50P20N10ReachabilityFireability05==true], workingDir=/home/mcc/execution]
Running LTSmin : CommandLine [args=[/home/mcc/BenchKit//lts_install_dir//bin/pins2lts-mc, ./gal.so, --threads=1, -p, --pins-guards, --when, -i, BridgeAndVehiclesPTV50P20N10ReachabilityFireability06==true], workingDir=/home/mcc/execution]
WARNING : LTSmin timed out (>225 s) on command CommandLine [args=[/home/mcc/BenchKit//lts_install_dir//bin/pins2lts-mc, ./gal.so, --threads=1, -p, --pins-guards, --when, -i, BridgeAndVehiclesPTV50P20N10ReachabilityFireability06==true], workingDir=/home/mcc/execution]
Running LTSmin : CommandLine [args=[/home/mcc/BenchKit//lts_install_dir//bin/pins2lts-mc, ./gal.so, --threads=1, -p, --pins-guards, --when, -i, BridgeAndVehiclesPTV50P20N10ReachabilityFireability09==true], workingDir=/home/mcc/execution]
WARNING : LTSmin timed out (>225 s) on command CommandLine [args=[/home/mcc/BenchKit//lts_install_dir//bin/pins2lts-mc, ./gal.so, --threads=1, -p, --pins-guards, --when, -i, BridgeAndVehiclesPTV50P20N10ReachabilityFireability09==true], workingDir=/home/mcc/execution]
Running LTSmin : CommandLine [args=[/home/mcc/BenchKit//lts_install_dir//bin/pins2lts-mc, ./gal.so, --threads=1, -p, --pins-guards, --when, -i, BridgeAndVehiclesPTV50P20N10ReachabilityFireability10==true], workingDir=/home/mcc/execution]
WARNING : LTSmin timed out (>225 s) on command CommandLine [args=[/home/mcc/BenchKit//lts_install_dir//bin/pins2lts-mc, ./gal.so, --threads=1, -p, --pins-guards, --when, -i, BridgeAndVehiclesPTV50P20N10ReachabilityFireability10==true], workingDir=/home/mcc/execution]
Running LTSmin : CommandLine [args=[/home/mcc/BenchKit//lts_install_dir//bin/pins2lts-mc, ./gal.so, --threads=1, -p, --pins-guards, --when, -i, BridgeAndVehiclesPTV50P20N10ReachabilityFireability11==true], workingDir=/home/mcc/execution]
WARNING : LTSmin timed out (>225 s) on command CommandLine [args=[/home/mcc/BenchKit//lts_install_dir//bin/pins2lts-mc, ./gal.so, --threads=1, -p, --pins-guards, --when, -i, BridgeAndVehiclesPTV50P20N10ReachabilityFireability11==true], workingDir=/home/mcc/execution]
Running LTSmin : CommandLine [args=[/home/mcc/BenchKit//lts_install_dir//bin/pins2lts-mc, ./gal.so, --threads=1, -p, --pins-guards, --when, -i, BridgeAndVehiclesPTV50P20N10ReachabilityFireability12==true], workingDir=/home/mcc/execution]
WARNING : LTSmin timed out (>225 s) on command CommandLine [args=[/home/mcc/BenchKit//lts_install_dir//bin/pins2lts-mc, ./gal.so, --threads=1, -p, --pins-guards, --when, -i, BridgeAndVehiclesPTV50P20N10ReachabilityFireability12==true], workingDir=/home/mcc/execution]
Running LTSmin : CommandLine [args=[/home/mcc/BenchKit//lts_install_dir//bin/pins2lts-mc, ./gal.so, --threads=1, -p, --pins-guards, --when, -i, BridgeAndVehiclesPTV50P20N10ReachabilityFireability13==true], workingDir=/home/mcc/execution]
WARNING : LTSmin timed out (>225 s) on command CommandLine [args=[/home/mcc/BenchKit//lts_install_dir//bin/pins2lts-mc, ./gal.so, --threads=1, -p, --pins-guards, --when, -i, BridgeAndVehiclesPTV50P20N10ReachabilityFireability13==true], workingDir=/home/mcc/execution]
Running LTSmin : CommandLine [args=[/home/mcc/BenchKit//lts_install_dir//bin/pins2lts-mc, ./gal.so, --threads=1, -p, --pins-guards, --when, -i, BridgeAndVehiclesPTV50P20N10ReachabilityFireability15==true], workingDir=/home/mcc/execution]
WARNING : LTSmin timed out (>225 s) on command CommandLine [args=[/home/mcc/BenchKit//lts_install_dir//bin/pins2lts-mc, ./gal.so, --threads=1, -p, --pins-guards, --when, -i, BridgeAndVehiclesPTV50P20N10ReachabilityFireability15==true], workingDir=/home/mcc/execution]
Retrying LTSmin with larger timeout 1800 s
Running LTSmin : CommandLine [args=[/home/mcc/BenchKit//lts_install_dir//bin/pins2lts-mc, ./gal.so, --threads=1, -p, --pins-guards, --when, -i, BridgeAndVehiclesPTV50P20N10ReachabilityFireability01==true], workingDir=/home/mcc/execution]

BK_TIME_CONFINEMENT_REACHED

--------------------
content from stderr:

+ export BINDIR=/home/mcc/BenchKit/
+ BINDIR=/home/mcc/BenchKit/
++ pwd
+ export MODEL=/home/mcc/execution
+ MODEL=/home/mcc/execution
+ /home/mcc/BenchKit//runeclipse.sh /home/mcc/execution ReachabilityFireability -its -ltsminpath /home/mcc/BenchKit//lts_install_dir/ -louvain -smt
+ ulimit -s 65536
+ [[ -z '' ]]
+ export LTSMIN_MEM_SIZE=8589934592
+ LTSMIN_MEM_SIZE=8589934592
+ /home/mcc/BenchKit//itstools/its-tools -consoleLog -data /home/mcc/execution/workspace -pnfolder /home/mcc/execution -examination ReachabilityFireability -z3path /home/mcc/BenchKit//z3/bin/z3 -yices2path /home/mcc/BenchKit//yices/bin/yices -its -ltsminpath /home/mcc/BenchKit//lts_install_dir/ -louvain -smt -vmargs -Dosgi.locking=none -Declipse.stateSaveDelayInterval=-1 -Dosgi.configuration.area=/tmp/.eclipse -Xss8m -Xms40m -Xmx8192m -Dfile.encoding=UTF-8 -Dosgi.requiredJavaVersion=1.6
May 26, 2018 5:21:11 PM fr.lip6.move.gal.application.Application start
INFO: Running its-tools with arguments : [-pnfolder, /home/mcc/execution, -examination, ReachabilityFireability, -z3path, /home/mcc/BenchKit//z3/bin/z3, -yices2path, /home/mcc/BenchKit//yices/bin/yices, -its, -ltsminpath, /home/mcc/BenchKit//lts_install_dir/, -louvain, -smt]
May 26, 2018 5:21:11 PM fr.lip6.move.gal.application.MccTranslator transformPNML
INFO: Parsing pnml file : /home/mcc/execution/model.pnml
May 26, 2018 5:21:12 PM fr.lip6.move.gal.nupn.PTNetReader loadFromXML
INFO: Load time of PNML (sax parser for PT used): 456 ms
May 26, 2018 5:21:12 PM fr.lip6.move.gal.pnml.togal.PTGALTransformer handlePage
INFO: Transformed 128 places.
May 26, 2018 5:21:12 PM fr.lip6.move.gal.pnml.togal.PTGALTransformer handlePage
INFO: Transformed 1328 transitions.
May 26, 2018 5:21:14 PM fr.lip6.move.gal.instantiate.GALRewriter flatten
INFO: Flatten gal took : 644 ms
May 26, 2018 5:21:14 PM fr.lip6.move.gal.application.MccTranslator applyOrder
INFO: Applying decomposition
May 26, 2018 5:21:15 PM fr.lip6.move.gal.instantiate.GALRewriter flatten
INFO: Flatten gal took : 728 ms
May 26, 2018 5:21:15 PM fr.lip6.move.gal.instantiate.GALRewriter flatten
INFO: Flatten gal took : 644 ms
May 26, 2018 5:21:15 PM fr.lip6.move.gal.instantiate.GALRewriter flatten
INFO: Flatten gal took : 493 ms
May 26, 2018 5:21:16 PM fr.lip6.move.gal.semantics.DeterministicNextBuilder getDeterministicNext
INFO: Input system was already deterministic with 1328 transitions.
May 26, 2018 5:21:16 PM fr.lip6.move.gal.semantics.DeterministicNextBuilder getDeterministicNext
INFO: Input system was already deterministic with 1328 transitions.
Begin: Sat May 26 17:21:16 2018

Computation of communities with the Newman-Girvan Modularity quality function

level 0:
start computation: Sat May 26 17:21:16 2018
network size: 128 nodes, 2030 links, 2656 weight
quality increased from -0.0795692 to 0.220094
end computation: Sat May 26 17:21:16 2018
level 1:
start computation: Sat May 26 17:21:16 2018
network size: 6 nodes, 34 links, 2656 weight
quality increased from 0.220094 to 0.243615
end computation: Sat May 26 17:21:16 2018
level 2:
start computation: Sat May 26 17:21:16 2018
network size: 4 nodes, 16 links, 2656 weight
quality increased from 0.243615 to 0.243615
end computation: Sat May 26 17:21:16 2018
End: Sat May 26 17:21:16 2018
Total duration: 0 sec
0.243615
May 26, 2018 5:21:16 PM fr.lip6.move.gal.instantiate.CompositeBuilder decomposeWithOrder
INFO: Decomposing Gal with order
May 26, 2018 5:21:17 PM fr.lip6.move.gal.instantiate.GALRewriter flatten
INFO: Flatten gal took : 533 ms
May 26, 2018 5:21:17 PM fr.lip6.move.gal.instantiate.CompositeBuilder rewriteArraysToAllowPartition
INFO: Rewriting arrays to variables to allow decomposition.
May 26, 2018 5:21:17 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd checkProperties
INFO: Result for false tautology is UNSAT, reachability predicate is unrealizable BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-00
May 26, 2018 5:21:18 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd checkProperties
INFO: Result for false tautology is UNSAT, reachability predicate is unrealizable BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-02
May 26, 2018 5:21:18 PM fr.lip6.move.gal.gal2smt.bmc.KInductionSolver computeAndDeclareInvariants
INFO: Computed 7 place invariants in 198 ms
May 26, 2018 5:21:18 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd checkProperties
INFO: Ran tautology test, simplified 2 / 16 in 2892 ms.
May 26, 2018 5:21:18 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-01(UNSAT) depth K=0 took 20 ms
May 26, 2018 5:21:18 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-03(UNSAT) depth K=0 took 3 ms
May 26, 2018 5:21:18 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-04(UNSAT) depth K=0 took 18 ms
May 26, 2018 5:21:18 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-05(UNSAT) depth K=0 took 109 ms
May 26, 2018 5:21:18 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-06(UNSAT) depth K=0 took 59 ms
May 26, 2018 5:21:18 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-07(UNSAT) depth K=0 took 26 ms
May 26, 2018 5:21:18 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-08(UNSAT) depth K=0 took 15 ms
May 26, 2018 5:21:18 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-09(UNSAT) depth K=0 took 15 ms
May 26, 2018 5:21:18 PM fr.lip6.move.gal.semantics.DeterministicNextBuilder getDeterministicNext
INFO: Input system was already deterministic with 1328 transitions.
May 26, 2018 5:21:18 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-10(UNSAT) depth K=0 took 11 ms
May 26, 2018 5:21:18 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-11(UNSAT) depth K=0 took 15 ms
May 26, 2018 5:21:18 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-12(UNSAT) depth K=0 took 12 ms
May 26, 2018 5:21:18 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-13(UNSAT) depth K=0 took 12 ms
May 26, 2018 5:21:18 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-14(UNSAT) depth K=0 took 15 ms
May 26, 2018 5:21:18 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-15(UNSAT) depth K=0 took 7 ms
May 26, 2018 5:21:18 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-01(UNSAT) depth K=1 took 52 ms
May 26, 2018 5:21:18 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-03(UNSAT) depth K=1 took 33 ms
May 26, 2018 5:21:18 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-04(UNSAT) depth K=1 took 57 ms
May 26, 2018 5:21:18 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-05(UNSAT) depth K=1 took 182 ms
May 26, 2018 5:21:19 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-06(UNSAT) depth K=1 took 84 ms
May 26, 2018 5:21:19 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-07(UNSAT) depth K=1 took 19 ms
May 26, 2018 5:21:19 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-08(UNSAT) depth K=1 took 9 ms
May 26, 2018 5:21:19 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-09(UNSAT) depth K=1 took 10 ms
May 26, 2018 5:21:19 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-10(UNSAT) depth K=1 took 13 ms
May 26, 2018 5:21:19 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-11(UNSAT) depth K=1 took 8 ms
May 26, 2018 5:21:19 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-12(UNSAT) depth K=1 took 10 ms
May 26, 2018 5:21:19 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-13(UNSAT) depth K=1 took 8 ms
May 26, 2018 5:21:19 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-14(UNSAT) depth K=1 took 15 ms
May 26, 2018 5:21:19 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-15(UNSAT) depth K=1 took 23 ms
May 26, 2018 5:21:19 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-01(UNSAT) depth K=2 took 296 ms
May 26, 2018 5:21:19 PM fr.lip6.move.gal.gal2smt.bmc.KInductionSolver computeAndDeclareInvariants
INFO: Computed 7 place invariants in 99 ms
May 26, 2018 5:21:19 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-03(UNSAT) depth K=2 took 472 ms
May 26, 2018 5:21:20 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-04(UNSAT) depth K=2 took 241 ms
May 26, 2018 5:21:20 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-05(UNSAT) depth K=2 took 709 ms
May 26, 2018 5:21:21 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-06(UNSAT) depth K=2 took 321 ms
May 26, 2018 5:21:21 PM fr.lip6.move.gal.gal2smt.bmc.KInductionSolver init
INFO: Proved 128 variables to be positive in 3410 ms
May 26, 2018 5:21:21 PM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver computeAblingMatrix
INFO: Computing symmetric may disable matrix : 1328 transitions.
May 26, 2018 5:21:21 PM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of disable matrix completed :0/1328 took 1 ms. Total solver calls (SAT/UNSAT): 0(0/0)
May 26, 2018 5:21:21 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-07(UNSAT) depth K=2 took 184 ms
May 26, 2018 5:21:21 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-08(UNSAT) depth K=2 took 161 ms
May 26, 2018 5:21:21 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-09(UNSAT) depth K=2 took 97 ms
May 26, 2018 5:21:21 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-10(UNSAT) depth K=2 took 311 ms
May 26, 2018 5:21:22 PM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Complete disable matrix. took 695 ms. Total solver calls (SAT/UNSAT): 0(0/0)
May 26, 2018 5:21:22 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-11(UNSAT) depth K=2 took 125 ms
May 26, 2018 5:21:22 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-12(UNSAT) depth K=2 took 83 ms
May 26, 2018 5:21:22 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-13(UNSAT) depth K=2 took 95 ms
May 26, 2018 5:21:22 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-14(UNSAT) depth K=2 took 93 ms
May 26, 2018 5:21:22 PM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver computeAblingMatrix
INFO: Computing symmetric may enable matrix : 1328 transitions.
May 26, 2018 5:21:22 PM fr.lip6.move.gal.gal2smt.bmc.KInductionSolver init
INFO: Proved 128 variables to be positive in 3001 ms
May 26, 2018 5:21:22 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-15(UNSAT) depth K=2 took 167 ms
May 26, 2018 5:21:22 PM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Complete enable matrix. took 373 ms. Total solver calls (SAT/UNSAT): 0(0/0)
May 26, 2018 5:21:23 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-01
May 26, 2018 5:21:23 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-01(SAT) depth K=0 took 764 ms
May 26, 2018 5:21:23 PM fr.lip6.move.gal.instantiate.Instantiator fuseIsomorphicEffects
INFO: Removed a total of 2820 redundant transitions.
May 26, 2018 5:21:23 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-01(UNSAT) depth K=3 took 983 ms
May 26, 2018 5:21:23 PM fr.lip6.move.serialization.SerializationUtil systemToFile
INFO: Time to serialize gal into /home/mcc/execution/ReachabilityFireability.pnml.gal : 32 ms
May 26, 2018 5:21:23 PM fr.lip6.move.serialization.SerializationUtil serializePropertiesForITSTools
INFO: Time to serialize properties into /home/mcc/execution/ReachabilityFireability.prop : 154 ms
May 26, 2018 5:21:24 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-03
May 26, 2018 5:21:24 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-03(SAT) depth K=0 took 886 ms
May 26, 2018 5:21:24 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-03(UNSAT) depth K=3 took 1178 ms
May 26, 2018 5:21:24 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-04
May 26, 2018 5:21:24 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-04(SAT) depth K=0 took 624 ms
May 26, 2018 5:21:25 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-05
May 26, 2018 5:21:25 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-05(SAT) depth K=0 took 574 ms
May 26, 2018 5:21:25 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-04(UNSAT) depth K=3 took 750 ms
May 26, 2018 5:21:26 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-05(UNSAT) depth K=3 took 980 ms
May 26, 2018 5:21:26 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-06
May 26, 2018 5:21:26 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-06(SAT) depth K=0 took 1112 ms
May 26, 2018 5:21:26 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-06(UNSAT) depth K=3 took 459 ms
May 26, 2018 5:21:27 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is UNSAT, proved UNreachability of reachability predicate BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-07
May 26, 2018 5:21:27 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is UNSAT, successfully proved induction at step 0 for BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-07
May 26, 2018 5:21:27 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-07(FALSE) depth K=0 took 908 ms
May 26, 2018 5:21:27 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is UNSAT, proved invariant BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-08
May 26, 2018 5:21:27 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is UNSAT, successfully proved induction at step 0 for BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-08
May 26, 2018 5:21:27 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-08(TRUE) depth K=0 took 494 ms
May 26, 2018 5:21:28 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-09
May 26, 2018 5:21:28 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-09(SAT) depth K=0 took 382 ms
May 26, 2018 5:21:28 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-10
May 26, 2018 5:21:28 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-10(SAT) depth K=0 took 359 ms
May 26, 2018 5:21:28 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-07(UNSAT) depth K=3 took 1931 ms
May 26, 2018 5:21:29 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-08(UNSAT) depth K=3 took 278 ms
May 26, 2018 5:21:29 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-11
May 26, 2018 5:21:29 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-11(SAT) depth K=0 took 584 ms
May 26, 2018 5:21:29 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-09(UNSAT) depth K=3 took 686 ms
May 26, 2018 5:21:29 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-12
May 26, 2018 5:21:29 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-12(SAT) depth K=0 took 621 ms
May 26, 2018 5:21:30 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-13
May 26, 2018 5:21:30 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-13(SAT) depth K=0 took 476 ms
May 26, 2018 5:21:30 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-10(UNSAT) depth K=3 took 613 ms
May 26, 2018 5:21:30 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is UNSAT, proved invariant BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-14
May 26, 2018 5:21:30 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is UNSAT, successfully proved induction at step 0 for BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-14
May 26, 2018 5:21:30 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-14(TRUE) depth K=0 took 397 ms
May 26, 2018 5:21:30 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-11(UNSAT) depth K=3 took 366 ms
May 26, 2018 5:21:31 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-12(UNSAT) depth K=3 took 504 ms
May 26, 2018 5:21:31 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-15
May 26, 2018 5:21:31 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-15(SAT) depth K=0 took 556 ms
May 26, 2018 5:21:31 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-13(UNSAT) depth K=3 took 530 ms
May 26, 2018 5:21:32 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-14(UNSAT) depth K=3 took 200 ms
May 26, 2018 5:21:32 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-15(UNSAT) depth K=3 took 299 ms
May 26, 2018 5:21:33 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-01
May 26, 2018 5:21:33 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-01(SAT) depth K=1 took 1759 ms
May 26, 2018 5:21:33 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-03
May 26, 2018 5:21:33 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-03(SAT) depth K=1 took 879 ms
May 26, 2018 5:21:35 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-04
May 26, 2018 5:21:35 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-04(SAT) depth K=1 took 1595 ms
May 26, 2018 5:21:42 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-01(UNSAT) depth K=4 took 10195 ms
May 26, 2018 5:21:45 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-05
May 26, 2018 5:21:45 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-05(SAT) depth K=1 took 9609 ms
May 26, 2018 5:21:51 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-03(UNSAT) depth K=4 took 8973 ms
May 26, 2018 5:21:53 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-06
May 26, 2018 5:21:53 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-06(SAT) depth K=1 took 8427 ms
May 26, 2018 5:21:54 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-09
May 26, 2018 5:21:54 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-09(SAT) depth K=1 took 1105 ms
May 26, 2018 5:21:57 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-04(UNSAT) depth K=4 took 5964 ms
May 26, 2018 5:22:03 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-10
May 26, 2018 5:22:03 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-10(SAT) depth K=1 took 8769 ms
May 26, 2018 5:22:07 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-11
May 26, 2018 5:22:07 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-11(SAT) depth K=1 took 3593 ms
May 26, 2018 5:22:10 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-05(UNSAT) depth K=4 took 13387 ms
May 26, 2018 5:22:12 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-12
May 26, 2018 5:22:12 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-12(SAT) depth K=1 took 5320 ms
May 26, 2018 5:22:13 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-13
May 26, 2018 5:22:13 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-13(SAT) depth K=1 took 1232 ms
May 26, 2018 5:22:14 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-06(UNSAT) depth K=4 took 3703 ms
May 26, 2018 5:22:17 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-15
May 26, 2018 5:22:17 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-15(SAT) depth K=1 took 4015 ms
May 26, 2018 5:22:24 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-09(UNSAT) depth K=4 took 10107 ms
May 26, 2018 5:22:33 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-10(UNSAT) depth K=4 took 8671 ms
May 26, 2018 5:22:33 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-01
May 26, 2018 5:22:33 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-01(SAT) depth K=2 took 15966 ms
May 26, 2018 5:22:38 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-11(UNSAT) depth K=4 took 5073 ms
May 26, 2018 5:22:45 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-12(UNSAT) depth K=4 took 7214 ms
May 26, 2018 5:22:50 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-03
May 26, 2018 5:22:50 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-03(SAT) depth K=2 took 16515 ms
May 26, 2018 5:22:52 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-13(UNSAT) depth K=4 took 7351 ms
May 26, 2018 5:23:06 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-15(UNSAT) depth K=4 took 13927 ms
May 26, 2018 5:23:15 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-04
May 26, 2018 5:23:15 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-04(SAT) depth K=2 took 25742 ms
May 26, 2018 5:23:23 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-01(UNSAT) depth K=5 took 16364 ms
May 26, 2018 5:23:35 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-05
May 26, 2018 5:23:35 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-05(SAT) depth K=2 took 19566 ms
May 26, 2018 5:23:39 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-03(UNSAT) depth K=5 took 16266 ms
May 26, 2018 5:24:00 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-04(UNSAT) depth K=5 took 20982 ms
May 26, 2018 5:24:04 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-06
May 26, 2018 5:24:04 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-06(SAT) depth K=2 took 29368 ms
May 26, 2018 5:24:17 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-09
May 26, 2018 5:24:17 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-09(SAT) depth K=2 took 12239 ms
May 26, 2018 5:24:30 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-05(UNSAT) depth K=5 took 30405 ms
May 26, 2018 5:24:37 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-10
May 26, 2018 5:24:37 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-10(SAT) depth K=2 took 20817 ms
Skipping mayMatrices nes/nds SMT solver raised an error :unknown
java.lang.RuntimeException: SMT solver raised an error :unknown
at fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver.computeAblingForPredicate(NecessaryEnablingsolver.java:766)
at fr.lip6.move.gal.gal2pins.Gal2PinsTransformerNext.printLabels(Gal2PinsTransformerNext.java:512)
at fr.lip6.move.gal.gal2pins.Gal2PinsTransformerNext.printDependencyMatrix(Gal2PinsTransformerNext.java:209)
at fr.lip6.move.gal.gal2pins.Gal2PinsTransformerNext.buildBodyFile(Gal2PinsTransformerNext.java:85)
at fr.lip6.move.gal.gal2pins.Gal2PinsTransformerNext.transform(Gal2PinsTransformerNext.java:830)
at fr.lip6.move.gal.application.LTSminRunner$1.run(LTSminRunner.java:71)
at java.lang.Thread.run(Thread.java:748)
May 26, 2018 5:24:42 PM fr.lip6.move.gal.gal2pins.Gal2PinsTransformerNext transform
INFO: Built C files in 207803ms conformant to PINS in folder :/home/mcc/execution
May 26, 2018 5:24:47 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-06(UNSAT) depth K=5 took 17001 ms
May 26, 2018 5:24:52 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-11
May 26, 2018 5:24:52 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-11(SAT) depth K=2 took 15038 ms
May 26, 2018 5:25:03 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-12
May 26, 2018 5:25:03 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-12(SAT) depth K=2 took 10593 ms
May 26, 2018 5:25:05 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-13
May 26, 2018 5:25:05 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-13(SAT) depth K=2 took 2137 ms
May 26, 2018 5:25:09 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-15
May 26, 2018 5:25:09 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-15(SAT) depth K=2 took 3619 ms
May 26, 2018 5:25:11 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-09(UNSAT) depth K=5 took 23180 ms
May 26, 2018 5:25:29 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-01
May 26, 2018 5:25:29 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-01(SAT) depth K=3 took 20573 ms
May 26, 2018 5:25:32 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-03
May 26, 2018 5:25:32 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-03(SAT) depth K=3 took 2595 ms
May 26, 2018 5:25:38 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-10(UNSAT) depth K=5 took 27839 ms
May 26, 2018 5:25:41 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-04
May 26, 2018 5:25:41 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-04(SAT) depth K=3 took 9215 ms
May 26, 2018 5:25:55 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-11(UNSAT) depth K=5 took 16232 ms
May 26, 2018 5:26:17 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-12(UNSAT) depth K=5 took 22363 ms
May 26, 2018 5:26:30 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-13(UNSAT) depth K=5 took 13061 ms
May 26, 2018 5:26:44 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-15(UNSAT) depth K=5 took 14349 ms
May 26, 2018 5:27:20 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-05
May 26, 2018 5:27:20 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-05(SAT) depth K=3 took 98445 ms
May 26, 2018 5:27:28 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-01(UNSAT) depth K=6 took 43659 ms
May 26, 2018 5:28:24 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-03(UNSAT) depth K=6 took 55744 ms
May 26, 2018 5:28:56 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-06
May 26, 2018 5:28:56 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-06(SAT) depth K=3 took 96813 ms
May 26, 2018 5:29:14 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-04(UNSAT) depth K=6 took 50367 ms
May 26, 2018 5:29:30 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-09
May 26, 2018 5:29:30 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-09(SAT) depth K=3 took 33845 ms
May 26, 2018 5:30:01 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-10
May 26, 2018 5:30:01 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-10(SAT) depth K=3 took 30628 ms
May 26, 2018 5:30:16 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-05(UNSAT) depth K=6 took 61594 ms
May 26, 2018 5:30:24 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-11
May 26, 2018 5:30:24 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-11(SAT) depth K=3 took 22636 ms
May 26, 2018 5:30:47 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-06(UNSAT) depth K=6 took 31495 ms
May 26, 2018 5:31:06 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-12
May 26, 2018 5:31:06 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-12(SAT) depth K=3 took 42368 ms
May 26, 2018 5:31:24 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-09(UNSAT) depth K=6 took 36775 ms
May 26, 2018 5:31:53 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-13
May 26, 2018 5:31:53 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-13(SAT) depth K=3 took 47610 ms
May 26, 2018 5:32:18 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-10(UNSAT) depth K=6 took 54117 ms
May 26, 2018 5:32:20 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-15
May 26, 2018 5:32:20 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-15(SAT) depth K=3 took 26628 ms
May 26, 2018 5:32:28 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-01
May 26, 2018 5:32:28 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-01(SAT) depth K=4 took 8169 ms
May 26, 2018 5:32:47 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-11(UNSAT) depth K=6 took 28865 ms
May 26, 2018 5:33:01 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-03
May 26, 2018 5:33:01 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-03(SAT) depth K=4 took 33071 ms
May 26, 2018 5:33:28 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-12(UNSAT) depth K=6 took 40670 ms
May 26, 2018 5:33:55 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-13(UNSAT) depth K=6 took 27565 ms
May 26, 2018 5:34:28 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-04
May 26, 2018 5:34:28 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-04(SAT) depth K=4 took 86513 ms
May 26, 2018 5:35:03 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-15(UNSAT) depth K=6 took 68017 ms
May 26, 2018 5:36:29 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-05
May 26, 2018 5:36:29 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-05(SAT) depth K=4 took 120719 ms
May 26, 2018 5:36:57 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-01(UNSAT) depth K=7 took 113115 ms
May 26, 2018 5:39:31 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-03(UNSAT) depth K=7 took 154347 ms
May 26, 2018 5:40:23 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-06
May 26, 2018 5:40:23 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-06(SAT) depth K=4 took 234360 ms
May 26, 2018 5:42:24 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-09
May 26, 2018 5:42:24 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-09(SAT) depth K=4 took 120580 ms
May 26, 2018 5:42:27 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-04(UNSAT) depth K=7 took 175927 ms
May 26, 2018 5:44:09 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-10
May 26, 2018 5:44:09 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-10(SAT) depth K=4 took 105741 ms
May 26, 2018 5:44:36 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-05(UNSAT) depth K=7 took 129236 ms
May 26, 2018 5:45:34 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-11
May 26, 2018 5:45:34 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-11(SAT) depth K=4 took 84615 ms
May 26, 2018 5:46:04 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-06(UNSAT) depth K=7 took 88113 ms
May 26, 2018 5:46:39 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-12
May 26, 2018 5:46:39 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-12(SAT) depth K=4 took 64755 ms
May 26, 2018 5:47:30 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-09(UNSAT) depth K=7 took 85754 ms
May 26, 2018 5:48:29 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-13
May 26, 2018 5:48:29 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-13(SAT) depth K=4 took 110580 ms
May 26, 2018 5:49:37 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-15
May 26, 2018 5:49:37 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-15(SAT) depth K=4 took 68189 ms
May 26, 2018 5:49:44 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-10(UNSAT) depth K=7 took 134201 ms
May 26, 2018 5:50:58 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-11(UNSAT) depth K=7 took 73839 ms
May 26, 2018 5:52:10 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-12(UNSAT) depth K=7 took 71804 ms
May 26, 2018 5:52:19 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-01
May 26, 2018 5:52:19 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-01(SAT) depth K=5 took 161643 ms
May 26, 2018 5:52:26 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-03
May 26, 2018 5:52:26 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-03(SAT) depth K=5 took 6629 ms
May 26, 2018 5:53:18 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-04
May 26, 2018 5:53:18 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-04(SAT) depth K=5 took 52457 ms
May 26, 2018 5:53:18 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-13(UNSAT) depth K=7 took 68697 ms
May 26, 2018 5:54:51 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-05
May 26, 2018 5:54:51 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-05(SAT) depth K=5 took 92821 ms
May 26, 2018 5:55:04 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-15(UNSAT) depth K=7 took 105077 ms
May 26, 2018 5:58:07 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-01(UNSAT) depth K=8 took 183912 ms
May 26, 2018 5:58:23 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-06
May 26, 2018 5:58:23 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-06(SAT) depth K=5 took 211783 ms
May 26, 2018 6:00:20 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-09
May 26, 2018 6:00:20 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-09(SAT) depth K=5 took 117618 ms
May 26, 2018 6:01:35 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-03(UNSAT) depth K=8 took 207269 ms
May 26, 2018 6:03:49 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-10
May 26, 2018 6:03:49 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-10(SAT) depth K=5 took 208517 ms
May 26, 2018 6:06:12 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-11
May 26, 2018 6:06:12 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-11(SAT) depth K=5 took 142833 ms
May 26, 2018 6:06:56 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-04(UNSAT) depth K=8 took 321475 ms
May 26, 2018 6:09:08 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-12
May 26, 2018 6:09:08 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-12(SAT) depth K=5 took 176454 ms
May 26, 2018 6:11:56 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-13
May 26, 2018 6:11:56 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-13(SAT) depth K=5 took 167872 ms
May 26, 2018 6:14:12 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-05(UNSAT) depth K=8 took 435566 ms
May 26, 2018 6:14:47 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-15
May 26, 2018 6:14:47 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-15(SAT) depth K=5 took 170463 ms
May 26, 2018 6:17:14 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-06(UNSAT) depth K=8 took 182025 ms
May 26, 2018 6:18:52 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: Induction result is SAT, non conclusive we might be starting from unreachable statesBridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-01
May 26, 2018 6:18:52 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runKInduction
INFO: KInduction solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-01(SAT) depth K=6 took 245300 ms
May 26, 2018 6:20:43 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd runBMC
INFO: BMC solution for property BridgeAndVehicles-PT-V50P20N10-ReachabilityFireability-09(UNSAT) depth K=8 took 209231 ms

Sequence of Actions to be Executed by the VM

This is useful if one wants to reexecute the tool in the VM from the submitted image disk.

set -x
# this is for BenchKit: configuration of major elements for the test
export BK_INPUT="BridgeAndVehicles-PT-V50P20N10"
export BK_EXAMINATION="ReachabilityFireability"
export BK_TOOL="itstoolsl"
export BK_RESULT_DIR="/tmp/BK_RESULTS/OUTPUTS"
export BK_TIME_CONFINEMENT="3600"
export BK_MEMORY_CONFINEMENT="16384"

# this is specific to your benchmark or test

export BIN_DIR="$HOME/BenchKit/bin"

# remove the execution directoty if it exists (to avoid increse of .vmdk images)
if [ -d execution ] ; then
rm -rf execution
fi

tar xzf /home/mcc/BenchKit/INPUTS/BridgeAndVehicles-PT-V50P20N10.tgz
mv BridgeAndVehicles-PT-V50P20N10 execution
cd execution
pwd
ls -lh

# this is for BenchKit: explicit launching of the test
echo "====================================================================="
echo " Generated by BenchKit 2-3637"
echo " Executing tool itstoolsl"
echo " Input is BridgeAndVehicles-PT-V50P20N10, examination is ReachabilityFireability"
echo " Time confinement is $BK_TIME_CONFINEMENT seconds"
echo " Memory confinement is 16384 MBytes"
echo " Number of cores is 4"
echo " Run identifier is r033-ebro-152646311200315"
echo "====================================================================="
echo
echo "--------------------"
echo "content from stdout:"
echo
echo "=== Data for post analysis generated by BenchKit (invocation template)"
echo
if [ "ReachabilityFireability" = "UpperBounds" ] ; then
echo "The expected result is a vector of positive values"
echo NUM_VECTOR
elif [ "ReachabilityFireability" != "StateSpace" ] ; then
echo "The expected result is a vector of booleans"
echo BOOL_VECTOR
else
echo "no data necessary for post analysis"
fi
echo
if [ -f "ReachabilityFireability.txt" ] ; then
echo "here is the order used to build the result vector(from text file)"
for x in $(grep Property ReachabilityFireability.txt | cut -d ' ' -f 2 | sort -u) ; do
echo "FORMULA_NAME $x"
done
elif [ -f "ReachabilityFireability.xml" ] ; then # for cunf (txt files deleted;-)
echo echo "here is the order used to build the result vector(from xml file)"
for x in $(grep '' ReachabilityFireability.xml | cut -d '>' -f 2 | cut -d '<' -f 1 | sort -u) ; do
echo "FORMULA_NAME $x"
done
fi
echo
echo "=== Now, execution of the tool begins"
echo
echo -n "BK_START "
date -u +%s%3N
echo
timeout -s 9 $BK_TIME_CONFINEMENT bash -c "/home/mcc/BenchKit/BenchKit_head.sh 2> STDERR ; echo ; echo -n \"BK_STOP \" ; date -u +%s%3N"
if [ $? -eq 137 ] ; then
echo
echo "BK_TIME_CONFINEMENT_REACHED"
fi
echo
echo "--------------------"
echo "content from stderr:"
echo
cat STDERR ;