fond
Model Checking Contest @ Petri Nets 2017
7th edition, Zaragoza, Spain, June 27, 2017
Execution of r150-csrt-149443434900258
Last Updated
June 27, 2017

About the Execution of ITS-Tools for S_SafeBus-PT-15

Execution Summary
Max Memory
Used (MB)
Time wait (ms) CPU Usage (ms) I/O Wait (ms) Computed Result Execution
Status
3959.290 3600000.00 7138074.00 312.00 [undef] Time out reached

Execution Chart

We display below the execution chart for this examination (boot time has been removed).

Trace from the execution

Waiting for the VM to be ready (probing ssh)
.......
=====================================================================
Generated by BenchKit 2-3254
Executing tool itstools
Input is S_SafeBus-PT-15, examination is LTLFireability
Time confinement is 3600 seconds
Memory confinement is 16384 MBytes
Number of cores is 4
Run identifier is r150-csrt-149443434900258
=====================================================================


--------------------
content from stdout:

=== Data for post analysis generated by BenchKit (invocation template)

The expected result is a vector of booleans
BOOL_VECTOR

here is the order used to build the result vector(from text file)
FORMULA_NAME SafeBus-COL-15-LTLFireability-0
FORMULA_NAME SafeBus-COL-15-LTLFireability-1
FORMULA_NAME SafeBus-COL-15-LTLFireability-10
FORMULA_NAME SafeBus-COL-15-LTLFireability-11
FORMULA_NAME SafeBus-COL-15-LTLFireability-12
FORMULA_NAME SafeBus-COL-15-LTLFireability-13
FORMULA_NAME SafeBus-COL-15-LTLFireability-14
FORMULA_NAME SafeBus-COL-15-LTLFireability-15
FORMULA_NAME SafeBus-COL-15-LTLFireability-2
FORMULA_NAME SafeBus-COL-15-LTLFireability-3
FORMULA_NAME SafeBus-COL-15-LTLFireability-4
FORMULA_NAME SafeBus-COL-15-LTLFireability-5
FORMULA_NAME SafeBus-COL-15-LTLFireability-6
FORMULA_NAME SafeBus-COL-15-LTLFireability-7
FORMULA_NAME SafeBus-COL-15-LTLFireability-8
FORMULA_NAME SafeBus-COL-15-LTLFireability-9

=== Now, execution of the tool begins

BK_START 1496662005526


Using solver YICES2 to compute partial order matrices.
Built C files in :
/home/mcc/execution
its-ltl command run as :

/home/mcc/BenchKit/eclipse/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.201705302212/bin/its-ltl-linux64 --gc-threshold 2000000 -i /home/mcc/execution/LTLFireability.pnml.gal -t CGAL -LTL /home/mcc/execution/LTLFireability.ltl -c -stutter-deadlock
Read 16 LTL properties
Checking formula 0 : !((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((("ACK>=1")&&("wait_ack_2_1>=1"))&&("FMCb>=1"))||((("ACK>=1")&&("wait_ack_3_1>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_4_1>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_5_1>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_6_1>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_7_1>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_8_1>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_9_1>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_10_1>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_11_1>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_12_1>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_13_1>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_14_1>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_15_1>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_1_2>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_3_2>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_4_2>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_5_2>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_6_2>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_7_2>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_8_2>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_9_2>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_10_2>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_11_2>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_12_2>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_13_2>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_14_2>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_15_2>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_1_3>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_2_3>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_4_3>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_5_3>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_6_3>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_7_3>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_8_3>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_9_3>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_10_3>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_11_3>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_12_3>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_13_3>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_14_3>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_15_3>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_1_4>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_2_4>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_3_4>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_5_4>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_6_4>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_7_4>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_8_4>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_9_4>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_10_4>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_11_4>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_12_4>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_13_4>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_14_4>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_15_4>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_1_5>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_2_5>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_3_5>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_4_5>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_6_5>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_7_5>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_8_5>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_9_5>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_10_5>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_11_5>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_12_5>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_13_5>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_14_5>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_15_5>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_1_6>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_2_6>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_3_6>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_4_6>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_5_6>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_7_6>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_8_6>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_9_6>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_10_6>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_11_6>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_12_6>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_13_6>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_14_6>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_15_6>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_1_7>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_2_7>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_3_7>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_4_7>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_5_7>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_6_7>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_8_7>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_9_7>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_10_7>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_11_7>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_12_7>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_13_7>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_14_7>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_15_7>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_1_8>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_2_8>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_3_8>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_4_8>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_5_8>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_6_8>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_7_8>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_9_8>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_10_8>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_11_8>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_12_8>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_13_8>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_14_8>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_15_8>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_1_9>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_2_9>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_3_9>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_4_9>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_5_9>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_6_9>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_7_9>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_8_9>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_10_9>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_11_9>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_12_9>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_13_9>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_14_9>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_15_9>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_1_10>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_2_10>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_3_10>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_4_10>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_5_10>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_6_10>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_7_10>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_8_10>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_9_10>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_11_10>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_12_10>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_13_10>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_14_10>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_15_10>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_1_11>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_2_11>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_3_11>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_4_11>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_5_11>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_6_11>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_7_11>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_8_11>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_9_11>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_10_11>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_12_11>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_13_11>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_14_11>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_15_11>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_1_12>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_2_12>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_3_12>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_4_12>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_5_12>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_6_12>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_7_12>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_8_12>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_9_12>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_10_12>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_11_12>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_13_12>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_14_12>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_15_12>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_1_13>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_2_13>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_3_13>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_4_13>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_5_13>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_6_13>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_7_13>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_8_13>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_9_13>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_10_13>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_11_13>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_12_13>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_14_13>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_15_13>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_1_14>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_2_14>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_3_14>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_4_14>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_5_14>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_6_14>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_7_14>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_8_14>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_9_14>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_10_14>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_11_14>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_12_14>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_13_14>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_15_14>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_1_15>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_2_15>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_3_15>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_4_15>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_5_15>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_6_15>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_7_15>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_8_15>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_9_15>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_10_15>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_11_15>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_12_15>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_13_15>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_14_15>=1"))&&("FMCb>=1"))))
Formula 0 simplified : !(("ACK>=1" & "FMCb>=1" & "wait_ack_2_1>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_3_1>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_4_1>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_5_1>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_6_1>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_7_1>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_8_1>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_9_1>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_10_1>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_11_1>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_12_1>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_13_1>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_14_1>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_15_1>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_1_2>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_3_2>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_4_2>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_5_2>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_6_2>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_7_2>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_8_2>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_9_2>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_10_2>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_11_2>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_12_2>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_13_2>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_14_2>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_15_2>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_1_3>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_2_3>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_4_3>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_5_3>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_6_3>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_7_3>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_8_3>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_9_3>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_10_3>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_11_3>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_12_3>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_13_3>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_14_3>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_15_3>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_1_4>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_2_4>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_3_4>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_5_4>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_6_4>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_7_4>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_8_4>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_9_4>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_10_4>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_11_4>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_12_4>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_13_4>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_14_4>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_15_4>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_1_5>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_2_5>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_3_5>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_4_5>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_6_5>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_7_5>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_8_5>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_9_5>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_10_5>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_11_5>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_12_5>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_13_5>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_14_5>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_15_5>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_1_6>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_2_6>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_3_6>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_4_6>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_5_6>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_7_6>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_8_6>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_9_6>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_10_6>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_11_6>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_12_6>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_13_6>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_14_6>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_15_6>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_1_7>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_2_7>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_3_7>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_4_7>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_5_7>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_6_7>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_8_7>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_9_7>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_10_7>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_11_7>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_12_7>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_13_7>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_14_7>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_15_7>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_1_8>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_2_8>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_3_8>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_4_8>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_5_8>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_6_8>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_7_8>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_9_8>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_10_8>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_11_8>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_12_8>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_13_8>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_14_8>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_15_8>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_1_9>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_2_9>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_3_9>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_4_9>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_5_9>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_6_9>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_7_9>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_8_9>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_10_9>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_11_9>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_12_9>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_13_9>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_14_9>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_15_9>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_1_10>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_2_10>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_3_10>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_4_10>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_5_10>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_6_10>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_7_10>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_8_10>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_9_10>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_11_10>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_12_10>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_13_10>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_14_10>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_15_10>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_1_11>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_2_11>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_3_11>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_4_11>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_5_11>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_6_11>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_7_11>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_8_11>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_9_11>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_10_11>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_12_11>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_13_11>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_14_11>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_15_11>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_1_12>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_2_12>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_3_12>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_4_12>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_5_12>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_6_12>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_7_12>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_8_12>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_9_12>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_10_12>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_11_12>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_13_12>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_14_12>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_15_12>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_1_13>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_2_13>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_3_13>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_4_13>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_5_13>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_6_13>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_7_13>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_8_13>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_9_13>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_10_13>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_11_13>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_12_13>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_14_13>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_15_13>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_1_14>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_2_14>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_3_14>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_4_14>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_5_14>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_6_14>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_7_14>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_8_14>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_9_14>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_10_14>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_11_14>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_12_14>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_13_14>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_15_14>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_1_15>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_2_15>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_3_15>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_4_15>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_5_15>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_6_15>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_7_15>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_8_15>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_9_15>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_10_15>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_11_15>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_12_15>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_13_15>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_14_15>=1"))
Presburger conditions satisfied. Using coverability to approximate state space in K-Induction.
// Phase 1: matrix 4771 rows 606 cols
invariant : 1'wait_ack_7_1 + 1'wait_ack_7_2 + 1'wait_ack_7_3 + 1'wait_ack_7_4 + 1'wait_ack_7_5 + 1'wait_ack_7_6 + 1'wait_ack_7_8 + 1'wait_ack_7_9 + 1'wait_ack_7_10 + 1'wait_ack_7_11 + 1'wait_ack_7_12 + 1'wait_ack_7_13 + 1'wait_ack_7_14 + 1'wait_ack_7_15 + -1'cable_used_7 + 1'FMC_7 + 1'PMC_7= 0
invariant : -1'Cpt2_6 + -1'AMC_1_5 + -1'AMC_2_5 + -1'AMC_3_5 + -1'AMC_4_5 + -1'AMC_5_5 + -1'AMC_6_5 + -1'AMC_7_5 + -1'AMC_8_5 + -1'AMC_9_5 + -1'AMC_10_5 + -1'AMC_11_5 + -1'AMC_12_5 + -1'AMC_13_5 + -1'AMC_14_5 + -1'AMC_15_5 + 1'AMC_1_6 + 1'AMC_2_6 + 1'AMC_3_6 + 1'AMC_4_6 + 1'AMC_5_6 + 1'AMC_6_6 + 1'AMC_7_6 + 1'AMC_8_6 + 1'AMC_9_6 + 1'AMC_10_6 + 1'AMC_11_6 + 1'AMC_12_6 + 1'AMC_13_6 + 1'AMC_14_6 + 1'AMC_15_6 + 1'Cpt1_6= 0
invariant : 1'wait_ack_1_2 + 1'wait_ack_1_3 + 1'wait_ack_1_4 + 1'wait_ack_1_5 + 1'wait_ack_1_6 + 1'wait_ack_1_7 + 1'wait_ack_1_8 + 1'wait_ack_1_9 + 1'wait_ack_1_10 + 1'wait_ack_1_11 + 1'wait_ack_1_12 + 1'wait_ack_1_13 + 1'wait_ack_1_14 + 1'wait_ack_1_15 + -1'cable_used_1 + 1'FMC_1 + 1'PMC_1= 0
invariant : 1'wait_ack_14_1 + 1'wait_ack_14_2 + 1'wait_ack_14_3 + 1'wait_ack_14_4 + 1'wait_ack_14_5 + 1'wait_ack_14_6 + 1'wait_ack_14_7 + 1'wait_ack_14_8 + 1'wait_ack_14_9 + 1'wait_ack_14_10 + 1'wait_ack_14_11 + 1'wait_ack_14_12 + 1'wait_ack_14_13 + 1'wait_ack_14_15 + -1'cable_used_14 + 1'FMC_14 + 1'PMC_14= 0
invariant : 1'wait_ack_11_1 + 1'wait_ack_11_2 + 1'wait_ack_11_3 + 1'wait_ack_11_4 + 1'wait_ack_11_5 + 1'wait_ack_11_6 + 1'wait_ack_11_7 + 1'wait_ack_11_8 + 1'wait_ack_11_9 + 1'wait_ack_11_10 + 1'wait_ack_11_12 + 1'wait_ack_11_13 + 1'wait_ack_11_14 + 1'wait_ack_11_15 + -1'AMC_11_1 + -1'AMC_11_2 + -1'AMC_11_3 + -1'AMC_11_4 + -1'AMC_11_5 + -1'AMC_11_6 + -1'AMC_11_7 + -1'AMC_11_8 + -1'AMC_11_9 + -1'AMC_11_10 + -1'AMC_11_11 + -1'AMC_11_12 + -1'AMC_11_13 + -1'AMC_11_14 + -1'AMC_11_15 + -1'cable_used_11 + -1'RMC_11 + 1'FMC_11 + 1'wait_cable_11= 0
invariant : -1'Cpt2_4 + -1'AMC_1_3 + -1'AMC_2_3 + -1'AMC_3_3 + -1'AMC_4_3 + -1'AMC_5_3 + -1'AMC_6_3 + -1'AMC_7_3 + -1'AMC_8_3 + -1'AMC_9_3 + -1'AMC_10_3 + -1'AMC_11_3 + -1'AMC_12_3 + -1'AMC_13_3 + -1'AMC_14_3 + -1'AMC_15_3 + 1'AMC_1_4 + 1'AMC_2_4 + 1'AMC_3_4 + 1'AMC_4_4 + 1'AMC_5_4 + 1'AMC_6_4 + 1'AMC_7_4 + 1'AMC_8_4 + 1'AMC_9_4 + 1'AMC_10_4 + 1'AMC_11_4 + 1'AMC_12_4 + 1'AMC_13_4 + 1'AMC_14_4 + 1'AMC_15_4 + 1'Cpt1_4= 0
invariant : 1'wait_ack_4_1 + 1'wait_ack_4_2 + 1'wait_ack_4_3 + 1'wait_ack_4_5 + 1'wait_ack_4_6 + 1'wait_ack_4_7 + 1'wait_ack_4_8 + 1'wait_ack_4_9 + 1'wait_ack_4_10 + 1'wait_ack_4_11 + 1'wait_ack_4_12 + 1'wait_ack_4_13 + 1'wait_ack_4_14 + 1'wait_ack_4_15 + -1'cable_used_4 + 1'FMC_4 + 1'PMC_4= 0
invariant : 1'AMC_9_1 + 1'AMC_9_2 + 1'AMC_9_3 + 1'AMC_9_4 + 1'AMC_9_5 + 1'AMC_9_6 + 1'AMC_9_7 + 1'AMC_9_8 + 1'AMC_9_9 + 1'AMC_9_10 + 1'AMC_9_11 + 1'AMC_9_12 + 1'AMC_9_13 + 1'AMC_9_14 + 1'AMC_9_15 + 1'cable_used_9 + 1'RMC_9 + -1'FMC_9 + 1'listen_9 + 1'wait_msg_9 + 1'loop_em_9= 1
invariant : 1'R_tout + 1'S_tout= 1
invariant : 1'AMC_15_1 + 1'AMC_15_2 + 1'AMC_15_3 + 1'AMC_15_4 + 1'AMC_15_5 + 1'AMC_15_6 + 1'AMC_15_7 + 1'AMC_15_8 + 1'AMC_15_9 + 1'AMC_15_10 + 1'AMC_15_11 + 1'AMC_15_12 + 1'AMC_15_13 + 1'AMC_15_14 + 1'AMC_15_15 + 1'cable_used_15 + 1'FMCb + 1'RMC_15 + 1'FMC_1 + 1'FMC_2 + 1'FMC_3 + 1'FMC_4 + 1'FMC_5 + 1'FMC_6 + 1'FMC_7 + 1'FMC_8 + 1'FMC_9 + 1'FMC_10 + 1'FMC_11 + 1'FMC_12 + 1'FMC_13 + 1'FMC_14 + 1'listen_15 + 1'wait_msg_15 + 1'loop_em_15= 2
invariant : 1'AMC_13_1 + 1'AMC_13_2 + 1'AMC_13_3 + 1'AMC_13_4 + 1'AMC_13_5 + 1'AMC_13_6 + 1'AMC_13_7 + 1'AMC_13_8 + 1'AMC_13_9 + 1'AMC_13_10 + 1'AMC_13_11 + 1'AMC_13_12 + 1'AMC_13_13 + 1'AMC_13_14 + 1'AMC_13_15 + 1'cable_used_13 + 1'RMC_13 + -1'FMC_13 + 1'listen_13 + 1'wait_msg_13 + 1'loop_em_13= 1
invariant : 1'wait_ack_8_1 + 1'wait_ack_8_2 + 1'wait_ack_8_3 + 1'wait_ack_8_4 + 1'wait_ack_8_5 + 1'wait_ack_8_6 + 1'wait_ack_8_7 + 1'wait_ack_8_9 + 1'wait_ack_8_10 + 1'wait_ack_8_11 + 1'wait_ack_8_12 + 1'wait_ack_8_13 + 1'wait_ack_8_14 + 1'wait_ack_8_15 + -1'cable_used_8 + 1'FMC_8 + 1'PMC_8= 0
invariant : 1'wait_ack_2_1 + 1'wait_ack_2_3 + 1'wait_ack_2_4 + 1'wait_ack_2_5 + 1'wait_ack_2_6 + 1'wait_ack_2_7 + 1'wait_ack_2_8 + 1'wait_ack_2_9 + 1'wait_ack_2_10 + 1'wait_ack_2_11 + 1'wait_ack_2_12 + 1'wait_ack_2_13 + 1'wait_ack_2_14 + 1'wait_ack_2_15 + -1'AMC_2_1 + -1'AMC_2_2 + -1'AMC_2_3 + -1'AMC_2_4 + -1'AMC_2_5 + -1'AMC_2_6 + -1'AMC_2_7 + -1'AMC_2_8 + -1'AMC_2_9 + -1'AMC_2_10 + -1'AMC_2_11 + -1'AMC_2_12 + -1'AMC_2_13 + -1'AMC_2_14 + -1'AMC_2_15 + -1'cable_used_2 + -1'RMC_2 + 1'FMC_2 + 1'wait_cable_2= 0
invariant : -1'Cpt2_14 + -1'AMC_1_13 + -1'AMC_2_13 + -1'AMC_3_13 + -1'AMC_4_13 + -1'AMC_5_13 + -1'AMC_6_13 + -1'AMC_7_13 + -1'AMC_8_13 + -1'AMC_9_13 + -1'AMC_10_13 + -1'AMC_11_13 + -1'AMC_12_13 + -1'AMC_13_13 + -1'AMC_14_13 + -1'AMC_15_13 + 1'AMC_1_14 + 1'AMC_2_14 + 1'AMC_3_14 + 1'AMC_4_14 + 1'AMC_5_14 + 1'AMC_6_14 + 1'AMC_7_14 + 1'AMC_8_14 + 1'AMC_9_14 + 1'AMC_10_14 + 1'AMC_11_14 + 1'AMC_12_14 + 1'AMC_13_14 + 1'AMC_14_14 + 1'AMC_15_14 + 1'Cpt1_14= 0
invariant : 1'wait_ack_14_1 + 1'wait_ack_14_2 + 1'wait_ack_14_3 + 1'wait_ack_14_4 + 1'wait_ack_14_5 + 1'wait_ack_14_6 + 1'wait_ack_14_7 + 1'wait_ack_14_8 + 1'wait_ack_14_9 + 1'wait_ack_14_10 + 1'wait_ack_14_11 + 1'wait_ack_14_12 + 1'wait_ack_14_13 + 1'wait_ack_14_15 + -1'AMC_14_1 + -1'AMC_14_2 + -1'AMC_14_3 + -1'AMC_14_4 + -1'AMC_14_5 + -1'AMC_14_6 + -1'AMC_14_7 + -1'AMC_14_8 + -1'AMC_14_9 + -1'AMC_14_10 + -1'AMC_14_11 + -1'AMC_14_12 + -1'AMC_14_13 + -1'AMC_14_14 + -1'AMC_14_15 + -1'cable_used_14 + -1'RMC_14 + 1'FMC_14 + 1'wait_cable_14= 0
invariant : 1'AMC_3_1 + 1'AMC_3_2 + 1'AMC_3_3 + 1'AMC_3_4 + 1'AMC_3_5 + 1'AMC_3_6 + 1'AMC_3_7 + 1'AMC_3_8 + 1'AMC_3_9 + 1'AMC_3_10 + 1'AMC_3_11 + 1'AMC_3_12 + 1'AMC_3_13 + 1'AMC_3_14 + 1'AMC_3_15 + 1'cable_used_3 + 1'RMC_3 + -1'FMC_3 + 1'listen_3 + 1'wait_msg_3 + 1'loop_em_3= 1
invariant : 1'wait_ack_10_1 + 1'wait_ack_10_2 + 1'wait_ack_10_3 + 1'wait_ack_10_4 + 1'wait_ack_10_5 + 1'wait_ack_10_6 + 1'wait_ack_10_7 + 1'wait_ack_10_8 + 1'wait_ack_10_9 + 1'wait_ack_10_11 + 1'wait_ack_10_12 + 1'wait_ack_10_13 + 1'wait_ack_10_14 + 1'wait_ack_10_15 + -1'AMC_10_1 + -1'AMC_10_2 + -1'AMC_10_3 + -1'AMC_10_4 + -1'AMC_10_5 + -1'AMC_10_6 + -1'AMC_10_7 + -1'AMC_10_8 + -1'AMC_10_9 + -1'AMC_10_10 + -1'AMC_10_11 + -1'AMC_10_12 + -1'AMC_10_13 + -1'AMC_10_14 + -1'AMC_10_15 + -1'cable_used_10 + -1'RMC_10 + 1'FMC_10 + 1'wait_cable_10= 0
invariant : 1'wait_ack_6_1 + 1'wait_ack_6_2 + 1'wait_ack_6_3 + 1'wait_ack_6_4 + 1'wait_ack_6_5 + 1'wait_ack_6_7 + 1'wait_ack_6_8 + 1'wait_ack_6_9 + 1'wait_ack_6_10 + 1'wait_ack_6_11 + 1'wait_ack_6_12 + 1'wait_ack_6_13 + 1'wait_ack_6_14 + 1'wait_ack_6_15 + -1'cable_used_6 + 1'FMC_6 + 1'PMC_6= 0
invariant : 1'AMC_4_1 + 1'AMC_4_2 + 1'AMC_4_3 + 1'AMC_4_4 + 1'AMC_4_5 + 1'AMC_4_6 + 1'AMC_4_7 + 1'AMC_4_8 + 1'AMC_4_9 + 1'AMC_4_10 + 1'AMC_4_11 + 1'AMC_4_12 + 1'AMC_4_13 + 1'AMC_4_14 + 1'AMC_4_15 + 1'cable_used_4 + 1'RMC_4 + -1'FMC_4 + 1'listen_4 + 1'wait_msg_4 + 1'loop_em_4= 1
invariant : 1'FMCb + 1'FMC_1 + 1'FMC_2 + 1'FMC_3 + 1'FMC_4 + 1'FMC_5 + 1'FMC_6 + 1'FMC_7 + 1'FMC_8 + 1'FMC_9 + 1'FMC_10 + 1'FMC_11 + 1'FMC_12 + 1'FMC_13 + 1'FMC_14 + 1'FMC_15= 1
invariant : 1'wait_ack_7_1 + 1'wait_ack_7_2 + 1'wait_ack_7_3 + 1'wait_ack_7_4 + 1'wait_ack_7_5 + 1'wait_ack_7_6 + 1'wait_ack_7_8 + 1'wait_ack_7_9 + 1'wait_ack_7_10 + 1'wait_ack_7_11 + 1'wait_ack_7_12 + 1'wait_ack_7_13 + 1'wait_ack_7_14 + 1'wait_ack_7_15 + -1'AMC_7_1 + -1'AMC_7_2 + -1'AMC_7_3 + -1'AMC_7_4 + -1'AMC_7_5 + -1'AMC_7_6 + -1'AMC_7_7 + -1'AMC_7_8 + -1'AMC_7_9 + -1'AMC_7_10 + -1'AMC_7_11 + -1'AMC_7_12 + -1'AMC_7_13 + -1'AMC_7_14 + -1'AMC_7_15 + -1'cable_used_7 + -1'RMC_7 + 1'FMC_7 + 1'wait_cable_7= 0
invariant : 1'AMC_11_1 + 1'AMC_11_2 + 1'AMC_11_3 + 1'AMC_11_4 + 1'AMC_11_5 + 1'AMC_11_6 + 1'AMC_11_7 + 1'AMC_11_8 + 1'AMC_11_9 + 1'AMC_11_10 + 1'AMC_11_11 + 1'AMC_11_12 + 1'AMC_11_13 + 1'AMC_11_14 + 1'AMC_11_15 + 1'cable_used_11 + 1'RMC_11 + -1'FMC_11 + 1'listen_11 + 1'wait_msg_11 + 1'loop_em_11= 1
invariant : 1'wait_ack_15_1 + 1'wait_ack_15_2 + 1'wait_ack_15_3 + 1'wait_ack_15_4 + 1'wait_ack_15_5 + 1'wait_ack_15_6 + 1'wait_ack_15_7 + 1'wait_ack_15_8 + 1'wait_ack_15_9 + 1'wait_ack_15_10 + 1'wait_ack_15_11 + 1'wait_ack_15_12 + 1'wait_ack_15_13 + 1'wait_ack_15_14 + -1'AMC_15_1 + -1'AMC_15_2 + -1'AMC_15_3 + -1'AMC_15_4 + -1'AMC_15_5 + -1'AMC_15_6 + -1'AMC_15_7 + -1'AMC_15_8 + -1'AMC_15_9 + -1'AMC_15_10 + -1'AMC_15_11 + -1'AMC_15_12 + -1'AMC_15_13 + -1'AMC_15_14 + -1'AMC_15_15 + -1'cable_used_15 + -1'FMCb + -1'RMC_15 + -1'FMC_1 + -1'FMC_2 + -1'FMC_3 + -1'FMC_4 + -1'FMC_5 + -1'FMC_6 + -1'FMC_7 + -1'FMC_8 + -1'FMC_9 + -1'FMC_10 + -1'FMC_11 + -1'FMC_12 + -1'FMC_13 + -1'FMC_14 + 1'wait_cable_15= -1
invariant : -1'Cpt2_3 + -1'AMC_1_2 + -1'AMC_2_2 + -1'AMC_3_2 + -1'AMC_4_2 + -1'AMC_5_2 + -1'AMC_6_2 + -1'AMC_7_2 + -1'AMC_8_2 + -1'AMC_9_2 + -1'AMC_10_2 + -1'AMC_11_2 + -1'AMC_12_2 + -1'AMC_13_2 + -1'AMC_14_2 + -1'AMC_15_2 + 1'AMC_1_3 + 1'AMC_2_3 + 1'AMC_3_3 + 1'AMC_4_3 + 1'AMC_5_3 + 1'AMC_6_3 + 1'AMC_7_3 + 1'AMC_8_3 + 1'AMC_9_3 + 1'AMC_10_3 + 1'AMC_11_3 + 1'AMC_12_3 + 1'AMC_13_3 + 1'AMC_14_3 + 1'AMC_15_3 + 1'Cpt1_3= 0
invariant : 1'AMC_1_1 + 1'AMC_1_2 + 1'AMC_1_3 + 1'AMC_1_4 + 1'AMC_1_5 + 1'AMC_1_6 + 1'AMC_1_7 + 1'AMC_1_8 + 1'AMC_1_9 + 1'AMC_1_10 + 1'AMC_1_11 + 1'AMC_1_12 + 1'AMC_1_13 + 1'AMC_1_14 + 1'AMC_1_15 + 1'cable_used_1 + 1'RMC_1 + -1'FMC_1 + 1'listen_1 + 1'wait_msg_1 + 1'loop_em_1= 1
invariant : 1'wait_ack_8_1 + 1'wait_ack_8_2 + 1'wait_ack_8_3 + 1'wait_ack_8_4 + 1'wait_ack_8_5 + 1'wait_ack_8_6 + 1'wait_ack_8_7 + 1'wait_ack_8_9 + 1'wait_ack_8_10 + 1'wait_ack_8_11 + 1'wait_ack_8_12 + 1'wait_ack_8_13 + 1'wait_ack_8_14 + 1'wait_ack_8_15 + -1'AMC_8_1 + -1'AMC_8_2 + -1'AMC_8_3 + -1'AMC_8_4 + -1'AMC_8_5 + -1'AMC_8_6 + -1'AMC_8_7 + -1'AMC_8_8 + -1'AMC_8_9 + -1'AMC_8_10 + -1'AMC_8_11 + -1'AMC_8_12 + -1'AMC_8_13 + -1'AMC_8_14 + -1'AMC_8_15 + -1'cable_used_8 + -1'RMC_8 + 1'FMC_8 + 1'wait_cable_8= 0
invariant : -1'Cpt2_2 + -1'AMC_1_1 + -1'AMC_2_1 + -1'AMC_3_1 + -1'AMC_4_1 + -1'AMC_5_1 + -1'AMC_6_1 + -1'AMC_7_1 + -1'AMC_8_1 + -1'AMC_9_1 + -1'AMC_10_1 + -1'AMC_11_1 + -1'AMC_12_1 + -1'AMC_13_1 + -1'AMC_14_1 + -1'AMC_15_1 + 1'AMC_1_2 + 1'AMC_2_2 + 1'AMC_3_2 + 1'AMC_4_2 + 1'AMC_5_2 + 1'AMC_6_2 + 1'AMC_7_2 + 1'AMC_8_2 + 1'AMC_9_2 + 1'AMC_10_2 + 1'AMC_11_2 + 1'AMC_12_2 + 1'AMC_13_2 + 1'AMC_14_2 + 1'AMC_15_2 + 1'Cpt1_2= 0
invariant : 1'AMC_14_1 + 1'AMC_14_2 + 1'AMC_14_3 + 1'AMC_14_4 + 1'AMC_14_5 + 1'AMC_14_6 + 1'AMC_14_7 + 1'AMC_14_8 + 1'AMC_14_9 + 1'AMC_14_10 + 1'AMC_14_11 + 1'AMC_14_12 + 1'AMC_14_13 + 1'AMC_14_14 + 1'AMC_14_15 + 1'cable_used_14 + 1'RMC_14 + -1'FMC_14 + 1'listen_14 + 1'wait_msg_14 + 1'loop_em_14= 1
invariant : 1'wait_ack_6_1 + 1'wait_ack_6_2 + 1'wait_ack_6_3 + 1'wait_ack_6_4 + 1'wait_ack_6_5 + 1'wait_ack_6_7 + 1'wait_ack_6_8 + 1'wait_ack_6_9 + 1'wait_ack_6_10 + 1'wait_ack_6_11 + 1'wait_ack_6_12 + 1'wait_ack_6_13 + 1'wait_ack_6_14 + 1'wait_ack_6_15 + -1'AMC_6_1 + -1'AMC_6_2 + -1'AMC_6_3 + -1'AMC_6_4 + -1'AMC_6_5 + -1'AMC_6_6 + -1'AMC_6_7 + -1'AMC_6_8 + -1'AMC_6_9 + -1'AMC_6_10 + -1'AMC_6_11 + -1'AMC_6_12 + -1'AMC_6_13 + -1'AMC_6_14 + -1'AMC_6_15 + -1'cable_used_6 + -1'RMC_6 + 1'FMC_6 + 1'wait_cable_6= 0
invariant : 1'AMC_5_1 + 1'AMC_5_2 + 1'AMC_5_3 + 1'AMC_5_4 + 1'AMC_5_5 + 1'AMC_5_6 + 1'AMC_5_7 + 1'AMC_5_8 + 1'AMC_5_9 + 1'AMC_5_10 + 1'AMC_5_11 + 1'AMC_5_12 + 1'AMC_5_13 + 1'AMC_5_14 + 1'AMC_5_15 + 1'cable_used_5 + 1'RMC_5 + -1'FMC_5 + 1'listen_5 + 1'wait_msg_5 + 1'loop_em_5= 1
invariant : -1'Cpt2_12 + -1'AMC_1_11 + -1'AMC_2_11 + -1'AMC_3_11 + -1'AMC_4_11 + -1'AMC_5_11 + -1'AMC_6_11 + -1'AMC_7_11 + -1'AMC_8_11 + -1'AMC_9_11 + -1'AMC_10_11 + -1'AMC_11_11 + -1'AMC_12_11 + -1'AMC_13_11 + -1'AMC_14_11 + -1'AMC_15_11 + 1'AMC_1_12 + 1'AMC_2_12 + 1'AMC_3_12 + 1'AMC_4_12 + 1'AMC_5_12 + 1'AMC_6_12 + 1'AMC_7_12 + 1'AMC_8_12 + 1'AMC_9_12 + 1'AMC_10_12 + 1'AMC_11_12 + 1'AMC_12_12 + 1'AMC_13_12 + 1'AMC_14_12 + 1'AMC_15_12 + 1'Cpt1_12= 0
invariant : -1'Cpt2_7 + -1'AMC_1_6 + -1'AMC_2_6 + -1'AMC_3_6 + -1'AMC_4_6 + -1'AMC_5_6 + -1'AMC_6_6 + -1'AMC_7_6 + -1'AMC_8_6 + -1'AMC_9_6 + -1'AMC_10_6 + -1'AMC_11_6 + -1'AMC_12_6 + -1'AMC_13_6 + -1'AMC_14_6 + -1'AMC_15_6 + 1'AMC_1_7 + 1'AMC_2_7 + 1'AMC_3_7 + 1'AMC_4_7 + 1'AMC_5_7 + 1'AMC_6_7 + 1'AMC_7_7 + 1'AMC_8_7 + 1'AMC_9_7 + 1'AMC_10_7 + 1'AMC_11_7 + 1'AMC_12_7 + 1'AMC_13_7 + 1'AMC_14_7 + 1'AMC_15_7 + 1'Cpt1_7= 0
invariant : 1'wait_ack_12_1 + 1'wait_ack_12_2 + 1'wait_ack_12_3 + 1'wait_ack_12_4 + 1'wait_ack_12_5 + 1'wait_ack_12_6 + 1'wait_ack_12_7 + 1'wait_ack_12_8 + 1'wait_ack_12_9 + 1'wait_ack_12_10 + 1'wait_ack_12_11 + 1'wait_ack_12_13 + 1'wait_ack_12_14 + 1'wait_ack_12_15 + -1'cable_used_12 + 1'FMC_12 + 1'PMC_12= 0
invariant : 1'wait_ack_1_2 + 1'wait_ack_1_3 + 1'wait_ack_1_4 + 1'wait_ack_1_5 + 1'wait_ack_1_6 + 1'wait_ack_1_7 + 1'wait_ack_1_8 + 1'wait_ack_1_9 + 1'wait_ack_1_10 + 1'wait_ack_1_11 + 1'wait_ack_1_12 + 1'wait_ack_1_13 + 1'wait_ack_1_14 + 1'wait_ack_1_15 + -1'AMC_1_1 + -1'AMC_1_2 + -1'AMC_1_3 + -1'AMC_1_4 + -1'AMC_1_5 + -1'AMC_1_6 + -1'AMC_1_7 + -1'AMC_1_8 + -1'AMC_1_9 + -1'AMC_1_10 + -1'AMC_1_11 + -1'AMC_1_12 + -1'AMC_1_13 + -1'AMC_1_14 + -1'AMC_1_15 + -1'cable_used_1 + -1'RMC_1 + 1'FMC_1 + 1'wait_cable_1= 0
invariant : 1'wait_ack_2_1 + 1'wait_ack_2_3 + 1'wait_ack_2_4 + 1'wait_ack_2_5 + 1'wait_ack_2_6 + 1'wait_ack_2_7 + 1'wait_ack_2_8 + 1'wait_ack_2_9 + 1'wait_ack_2_10 + 1'wait_ack_2_11 + 1'wait_ack_2_12 + 1'wait_ack_2_13 + 1'wait_ack_2_14 + 1'wait_ack_2_15 + -1'cable_used_2 + 1'FMC_2 + 1'PMC_2= 0
invariant : 1'wait_ack_3_1 + 1'wait_ack_3_2 + 1'wait_ack_3_4 + 1'wait_ack_3_5 + 1'wait_ack_3_6 + 1'wait_ack_3_7 + 1'wait_ack_3_8 + 1'wait_ack_3_9 + 1'wait_ack_3_10 + 1'wait_ack_3_11 + 1'wait_ack_3_12 + 1'wait_ack_3_13 + 1'wait_ack_3_14 + 1'wait_ack_3_15 + -1'cable_used_3 + 1'FMC_3 + 1'PMC_3= 0
invariant : 1'wait_ack_5_1 + 1'wait_ack_5_2 + 1'wait_ack_5_3 + 1'wait_ack_5_4 + 1'wait_ack_5_6 + 1'wait_ack_5_7 + 1'wait_ack_5_8 + 1'wait_ack_5_9 + 1'wait_ack_5_10 + 1'wait_ack_5_11 + 1'wait_ack_5_12 + 1'wait_ack_5_13 + 1'wait_ack_5_14 + 1'wait_ack_5_15 + -1'AMC_5_1 + -1'AMC_5_2 + -1'AMC_5_3 + -1'AMC_5_4 + -1'AMC_5_5 + -1'AMC_5_6 + -1'AMC_5_7 + -1'AMC_5_8 + -1'AMC_5_9 + -1'AMC_5_10 + -1'AMC_5_11 + -1'AMC_5_12 + -1'AMC_5_13 + -1'AMC_5_14 + -1'AMC_5_15 + -1'cable_used_5 + -1'RMC_5 + 1'FMC_5 + 1'wait_cable_5= 0
invariant : 1'wait_ack_3_1 + 1'wait_ack_3_2 + 1'wait_ack_3_4 + 1'wait_ack_3_5 + 1'wait_ack_3_6 + 1'wait_ack_3_7 + 1'wait_ack_3_8 + 1'wait_ack_3_9 + 1'wait_ack_3_10 + 1'wait_ack_3_11 + 1'wait_ack_3_12 + 1'wait_ack_3_13 + 1'wait_ack_3_14 + 1'wait_ack_3_15 + -1'AMC_3_1 + -1'AMC_3_2 + -1'AMC_3_3 + -1'AMC_3_4 + -1'AMC_3_5 + -1'AMC_3_6 + -1'AMC_3_7 + -1'AMC_3_8 + -1'AMC_3_9 + -1'AMC_3_10 + -1'AMC_3_11 + -1'AMC_3_12 + -1'AMC_3_13 + -1'AMC_3_14 + -1'AMC_3_15 + -1'cable_used_3 + -1'RMC_3 + 1'FMC_3 + 1'wait_cable_3= 0
invariant : 1'AMC_2_1 + 1'AMC_2_2 + 1'AMC_2_3 + 1'AMC_2_4 + 1'AMC_2_5 + 1'AMC_2_6 + 1'AMC_2_7 + 1'AMC_2_8 + 1'AMC_2_9 + 1'AMC_2_10 + 1'AMC_2_11 + 1'AMC_2_12 + 1'AMC_2_13 + 1'AMC_2_14 + 1'AMC_2_15 + 1'cable_used_2 + 1'RMC_2 + -1'FMC_2 + 1'listen_2 + 1'wait_msg_2 + 1'loop_em_2= 1
invariant : 1'AMC_10_1 + 1'AMC_10_2 + 1'AMC_10_3 + 1'AMC_10_4 + 1'AMC_10_5 + 1'AMC_10_6 + 1'AMC_10_7 + 1'AMC_10_8 + 1'AMC_10_9 + 1'AMC_10_10 + 1'AMC_10_11 + 1'AMC_10_12 + 1'AMC_10_13 + 1'AMC_10_14 + 1'AMC_10_15 + 1'cable_used_10 + 1'RMC_10 + -1'FMC_10 + 1'listen_10 + 1'wait_msg_10 + 1'loop_em_10= 1
invariant : -1'Cpt2_5 + -1'AMC_1_4 + -1'AMC_2_4 + -1'AMC_3_4 + -1'AMC_4_4 + -1'AMC_5_4 + -1'AMC_6_4 + -1'AMC_7_4 + -1'AMC_8_4 + -1'AMC_9_4 + -1'AMC_10_4 + -1'AMC_11_4 + -1'AMC_12_4 + -1'AMC_13_4 + -1'AMC_14_4 + -1'AMC_15_4 + 1'AMC_1_5 + 1'AMC_2_5 + 1'AMC_3_5 + 1'AMC_4_5 + 1'AMC_5_5 + 1'AMC_6_5 + 1'AMC_7_5 + 1'AMC_8_5 + 1'AMC_9_5 + 1'AMC_10_5 + 1'AMC_11_5 + 1'AMC_12_5 + 1'AMC_13_5 + 1'AMC_14_5 + 1'AMC_15_5 + 1'Cpt1_5= 0
invariant : 1'wait_ack_4_1 + 1'wait_ack_4_2 + 1'wait_ack_4_3 + 1'wait_ack_4_5 + 1'wait_ack_4_6 + 1'wait_ack_4_7 + 1'wait_ack_4_8 + 1'wait_ack_4_9 + 1'wait_ack_4_10 + 1'wait_ack_4_11 + 1'wait_ack_4_12 + 1'wait_ack_4_13 + 1'wait_ack_4_14 + 1'wait_ack_4_15 + -1'AMC_4_1 + -1'AMC_4_2 + -1'AMC_4_3 + -1'AMC_4_4 + -1'AMC_4_5 + -1'AMC_4_6 + -1'AMC_4_7 + -1'AMC_4_8 + -1'AMC_4_9 + -1'AMC_4_10 + -1'AMC_4_11 + -1'AMC_4_12 + -1'AMC_4_13 + -1'AMC_4_14 + -1'AMC_4_15 + -1'cable_used_4 + -1'RMC_4 + 1'FMC_4 + 1'wait_cable_4= 0
invariant : 1'AMC_6_1 + 1'AMC_6_2 + 1'AMC_6_3 + 1'AMC_6_4 + 1'AMC_6_5 + 1'AMC_6_6 + 1'AMC_6_7 + 1'AMC_6_8 + 1'AMC_6_9 + 1'AMC_6_10 + 1'AMC_6_11 + 1'AMC_6_12 + 1'AMC_6_13 + 1'AMC_6_14 + 1'AMC_6_15 + 1'cable_used_6 + 1'RMC_6 + -1'FMC_6 + 1'listen_6 + 1'wait_msg_6 + 1'loop_em_6= 1
invariant : 1'AMC_12_1 + 1'AMC_12_2 + 1'AMC_12_3 + 1'AMC_12_4 + 1'AMC_12_5 + 1'AMC_12_6 + 1'AMC_12_7 + 1'AMC_12_8 + 1'AMC_12_9 + 1'AMC_12_10 + 1'AMC_12_11 + 1'AMC_12_12 + 1'AMC_12_13 + 1'AMC_12_14 + 1'AMC_12_15 + 1'cable_used_12 + 1'RMC_12 + -1'FMC_12 + 1'listen_12 + 1'wait_msg_12 + 1'loop_em_12= 1
invariant : 1'wait_ack_15_1 + 1'wait_ack_15_2 + 1'wait_ack_15_3 + 1'wait_ack_15_4 + 1'wait_ack_15_5 + 1'wait_ack_15_6 + 1'wait_ack_15_7 + 1'wait_ack_15_8 + 1'wait_ack_15_9 + 1'wait_ack_15_10 + 1'wait_ack_15_11 + 1'wait_ack_15_12 + 1'wait_ack_15_13 + 1'wait_ack_15_14 + -1'cable_used_15 + -1'FMCb + -1'FMC_1 + -1'FMC_2 + -1'FMC_3 + -1'FMC_4 + -1'FMC_5 + -1'FMC_6 + -1'FMC_7 + -1'FMC_8 + -1'FMC_9 + -1'FMC_10 + -1'FMC_11 + -1'FMC_12 + -1'FMC_13 + -1'FMC_14 + 1'PMC_15= -1
invariant : 1'wait_ack_13_1 + 1'wait_ack_13_2 + 1'wait_ack_13_3 + 1'wait_ack_13_4 + 1'wait_ack_13_5 + 1'wait_ack_13_6 + 1'wait_ack_13_7 + 1'wait_ack_13_8 + 1'wait_ack_13_9 + 1'wait_ack_13_10 + 1'wait_ack_13_11 + 1'wait_ack_13_12 + 1'wait_ack_13_14 + 1'wait_ack_13_15 + -1'AMC_13_1 + -1'AMC_13_2 + -1'AMC_13_3 + -1'AMC_13_4 + -1'AMC_13_5 + -1'AMC_13_6 + -1'AMC_13_7 + -1'AMC_13_8 + -1'AMC_13_9 + -1'AMC_13_10 + -1'AMC_13_11 + -1'AMC_13_12 + -1'AMC_13_13 + -1'AMC_13_14 + -1'AMC_13_15 + -1'cable_used_13 + -1'RMC_13 + 1'FMC_13 + 1'wait_cable_13= 0
invariant : 1'AMC_7_1 + 1'AMC_7_2 + 1'AMC_7_3 + 1'AMC_7_4 + 1'AMC_7_5 + 1'AMC_7_6 + 1'AMC_7_7 + 1'AMC_7_8 + 1'AMC_7_9 + 1'AMC_7_10 + 1'AMC_7_11 + 1'AMC_7_12 + 1'AMC_7_13 + 1'AMC_7_14 + 1'AMC_7_15 + 1'cable_used_7 + 1'RMC_7 + -1'FMC_7 + 1'listen_7 + 1'wait_msg_7 + 1'loop_em_7= 1
invariant : 1'Cpt2_1 + 1'Cpt2_2 + 1'Cpt2_3 + 1'Cpt2_4 + 1'Cpt2_5 + 1'Cpt2_6 + 1'Cpt2_7 + 1'Cpt2_8 + 1'Cpt2_9 + 1'Cpt2_10 + 1'Cpt2_11 + 1'Cpt2_12 + 1'Cpt2_13 + 1'Cpt2_14 + -1'AMC_1_14 + -1'AMC_2_14 + -1'AMC_3_14 + -1'AMC_4_14 + -1'AMC_5_14 + -1'AMC_6_14 + -1'AMC_7_14 + -1'AMC_8_14 + -1'AMC_9_14 + -1'AMC_10_14 + -1'AMC_11_14 + -1'AMC_12_14 + -1'AMC_13_14 + -1'AMC_14_14 + -1'AMC_15_14 + 1'AMC_1_15 + 1'AMC_2_15 + 1'AMC_3_15 + 1'AMC_4_15 + 1'AMC_5_15 + 1'AMC_6_15 + 1'AMC_7_15 + 1'AMC_8_15 + 1'AMC_9_15 + 1'AMC_10_15 + 1'AMC_11_15 + 1'AMC_12_15 + 1'AMC_13_15 + 1'AMC_14_15 + 1'AMC_15_15 + 1'Cpt1_15= 1
invariant : 1'wait_ack_9_1 + 1'wait_ack_9_2 + 1'wait_ack_9_3 + 1'wait_ack_9_4 + 1'wait_ack_9_5 + 1'wait_ack_9_6 + 1'wait_ack_9_7 + 1'wait_ack_9_8 + 1'wait_ack_9_10 + 1'wait_ack_9_11 + 1'wait_ack_9_12 + 1'wait_ack_9_13 + 1'wait_ack_9_14 + 1'wait_ack_9_15 + -1'AMC_9_1 + -1'AMC_9_2 + -1'AMC_9_3 + -1'AMC_9_4 + -1'AMC_9_5 + -1'AMC_9_6 + -1'AMC_9_7 + -1'AMC_9_8 + -1'AMC_9_9 + -1'AMC_9_10 + -1'AMC_9_11 + -1'AMC_9_12 + -1'AMC_9_13 + -1'AMC_9_14 + -1'AMC_9_15 + -1'cable_used_9 + -1'RMC_9 + 1'FMC_9 + 1'wait_cable_9= 0
invariant : -1'Cpt2_11 + -1'AMC_1_10 + -1'AMC_2_10 + -1'AMC_3_10 + -1'AMC_4_10 + -1'AMC_5_10 + -1'AMC_6_10 + -1'AMC_7_10 + -1'AMC_8_10 + -1'AMC_9_10 + -1'AMC_10_10 + -1'AMC_11_10 + -1'AMC_12_10 + -1'AMC_13_10 + -1'AMC_14_10 + -1'AMC_15_10 + 1'AMC_1_11 + 1'AMC_2_11 + 1'AMC_3_11 + 1'AMC_4_11 + 1'AMC_5_11 + 1'AMC_6_11 + 1'AMC_7_11 + 1'AMC_8_11 + 1'AMC_9_11 + 1'AMC_10_11 + 1'AMC_11_11 + 1'AMC_12_11 + 1'AMC_13_11 + 1'AMC_14_11 + 1'AMC_15_11 + 1'Cpt1_11= 0
invariant : -1'Cpt2_8 + -1'AMC_1_7 + -1'AMC_2_7 + -1'AMC_3_7 + -1'AMC_4_7 + -1'AMC_5_7 + -1'AMC_6_7 + -1'AMC_7_7 + -1'AMC_8_7 + -1'AMC_9_7 + -1'AMC_10_7 + -1'AMC_11_7 + -1'AMC_12_7 + -1'AMC_13_7 + -1'AMC_14_7 + -1'AMC_15_7 + 1'AMC_1_8 + 1'AMC_2_8 + 1'AMC_3_8 + 1'AMC_4_8 + 1'AMC_5_8 + 1'AMC_6_8 + 1'AMC_7_8 + 1'AMC_8_8 + 1'AMC_9_8 + 1'AMC_10_8 + 1'AMC_11_8 + 1'AMC_12_8 + 1'AMC_13_8 + 1'AMC_14_8 + 1'AMC_15_8 + 1'Cpt1_8= 0
invariant : 1'wait_ack_5_1 + 1'wait_ack_5_2 + 1'wait_ack_5_3 + 1'wait_ack_5_4 + 1'wait_ack_5_6 + 1'wait_ack_5_7 + 1'wait_ack_5_8 + 1'wait_ack_5_9 + 1'wait_ack_5_10 + 1'wait_ack_5_11 + 1'wait_ack_5_12 + 1'wait_ack_5_13 + 1'wait_ack_5_14 + 1'wait_ack_5_15 + -1'cable_used_5 + 1'FMC_5 + 1'PMC_5= 0
invariant : -1'Cpt2_9 + -1'AMC_1_8 + -1'AMC_2_8 + -1'AMC_3_8 + -1'AMC_4_8 + -1'AMC_5_8 + -1'AMC_6_8 + -1'AMC_7_8 + -1'AMC_8_8 + -1'AMC_9_8 + -1'AMC_10_8 + -1'AMC_11_8 + -1'AMC_12_8 + -1'AMC_13_8 + -1'AMC_14_8 + -1'AMC_15_8 + 1'AMC_1_9 + 1'AMC_2_9 + 1'AMC_3_9 + 1'AMC_4_9 + 1'AMC_5_9 + 1'AMC_6_9 + 1'AMC_7_9 + 1'AMC_8_9 + 1'AMC_9_9 + 1'AMC_10_9 + 1'AMC_11_9 + 1'AMC_12_9 + 1'AMC_13_9 + 1'AMC_14_9 + 1'AMC_15_9 + 1'Cpt1_9= 0
invariant : -1'Cpt2_1 + 1'AMC_1_1 + 1'AMC_2_1 + 1'AMC_3_1 + 1'AMC_4_1 + 1'AMC_5_1 + 1'AMC_6_1 + 1'AMC_7_1 + 1'AMC_8_1 + 1'AMC_9_1 + 1'AMC_10_1 + 1'AMC_11_1 + 1'AMC_12_1 + 1'AMC_13_1 + 1'AMC_14_1 + 1'AMC_15_1 + -1'AMC_1_15 + -1'AMC_2_15 + -1'AMC_3_15 + -1'AMC_4_15 + -1'AMC_5_15 + -1'AMC_6_15 + -1'AMC_7_15 + -1'AMC_8_15 + -1'AMC_9_15 + -1'AMC_10_15 + -1'AMC_11_15 + -1'AMC_12_15 + -1'AMC_13_15 + -1'AMC_14_15 + -1'AMC_15_15 + 1'Cpt1_1= 0
invariant : 1'Cpt2_1 + 1'Cpt2_2 + 1'Cpt2_3 + 1'Cpt2_4 + 1'Cpt2_5 + 1'Cpt2_6 + 1'Cpt2_7 + 1'Cpt2_8 + 1'Cpt2_9 + 1'Cpt2_10 + 1'Cpt2_11 + 1'Cpt2_12 + 1'Cpt2_13 + 1'Cpt2_14 + 1'Cpt2_15= 1
invariant : -1'Cpt2_13 + -1'AMC_1_12 + -1'AMC_2_12 + -1'AMC_3_12 + -1'AMC_4_12 + -1'AMC_5_12 + -1'AMC_6_12 + -1'AMC_7_12 + -1'AMC_8_12 + -1'AMC_9_12 + -1'AMC_10_12 + -1'AMC_11_12 + -1'AMC_12_12 + -1'AMC_13_12 + -1'AMC_14_12 + -1'AMC_15_12 + 1'AMC_1_13 + 1'AMC_2_13 + 1'AMC_3_13 + 1'AMC_4_13 + 1'AMC_5_13 + 1'AMC_6_13 + 1'AMC_7_13 + 1'AMC_8_13 + 1'AMC_9_13 + 1'AMC_10_13 + 1'AMC_11_13 + 1'AMC_12_13 + 1'AMC_13_13 + 1'AMC_14_13 + 1'AMC_15_13 + 1'Cpt1_13= 0
invariant : 1'ACK + 1'T_out + -1'wait_ack_2_1 + -1'wait_ack_3_1 + -1'wait_ack_4_1 + -1'wait_ack_5_1 + -1'wait_ack_6_1 + -1'wait_ack_7_1 + -1'wait_ack_8_1 + -1'wait_ack_9_1 + -1'wait_ack_10_1 + -1'wait_ack_11_1 + -1'wait_ack_12_1 + -1'wait_ack_13_1 + -1'wait_ack_14_1 + -1'wait_ack_15_1 + -1'wait_ack_1_2 + -1'wait_ack_3_2 + -1'wait_ack_4_2 + -1'wait_ack_5_2 + -1'wait_ack_6_2 + -1'wait_ack_7_2 + -1'wait_ack_8_2 + -1'wait_ack_9_2 + -1'wait_ack_10_2 + -1'wait_ack_11_2 + -1'wait_ack_12_2 + -1'wait_ack_13_2 + -1'wait_ack_14_2 + -1'wait_ack_15_2 + -1'wait_ack_1_3 + -1'wait_ack_2_3 + -1'wait_ack_4_3 + -1'wait_ack_5_3 + -1'wait_ack_6_3 + -1'wait_ack_7_3 + -1'wait_ack_8_3 + -1'wait_ack_9_3 + -1'wait_ack_10_3 + -1'wait_ack_11_3 + -1'wait_ack_12_3 + -1'wait_ack_13_3 + -1'wait_ack_14_3 + -1'wait_ack_15_3 + -1'wait_ack_1_4 + -1'wait_ack_2_4 + -1'wait_ack_3_4 + -1'wait_ack_5_4 + -1'wait_ack_6_4 + -1'wait_ack_7_4 + -1'wait_ack_8_4 + -1'wait_ack_9_4 + -1'wait_ack_10_4 + -1'wait_ack_11_4 + -1'wait_ack_12_4 + -1'wait_ack_13_4 + -1'wait_ack_14_4 + -1'wait_ack_15_4 + -1'wait_ack_1_5 + -1'wait_ack_2_5 + -1'wait_ack_3_5 + -1'wait_ack_4_5 + -1'wait_ack_6_5 + -1'wait_ack_7_5 + -1'wait_ack_8_5 + -1'wait_ack_9_5 + -1'wait_ack_10_5 + -1'wait_ack_11_5 + -1'wait_ack_12_5 + -1'wait_ack_13_5 + -1'wait_ack_14_5 + -1'wait_ack_15_5 + -1'wait_ack_1_6 + -1'wait_ack_2_6 + -1'wait_ack_3_6 + -1'wait_ack_4_6 + -1'wait_ack_5_6 + -1'wait_ack_7_6 + -1'wait_ack_8_6 + -1'wait_ack_9_6 + -1'wait_ack_10_6 + -1'wait_ack_11_6 + -1'wait_ack_12_6 + -1'wait_ack_13_6 + -1'wait_ack_14_6 + -1'wait_ack_15_6 + -1'wait_ack_1_7 + -1'wait_ack_2_7 + -1'wait_ack_3_7 + -1'wait_ack_4_7 + -1'wait_ack_5_7 + -1'wait_ack_6_7 + -1'wait_ack_8_7 + -1'wait_ack_9_7 + -1'wait_ack_10_7 + -1'wait_ack_11_7 + -1'wait_ack_12_7 + -1'wait_ack_13_7 + -1'wait_ack_14_7 + -1'wait_ack_15_7 + -1'wait_ack_1_8 + -1'wait_ack_2_8 + -1'wait_ack_3_8 + -1'wait_ack_4_8 + -1'wait_ack_5_8 + -1'wait_ack_6_8 + -1'wait_ack_7_8 + -1'wait_ack_9_8 + -1'wait_ack_10_8 + -1'wait_ack_11_8 + -1'wait_ack_12_8 + -1'wait_ack_13_8 + -1'wait_ack_14_8 + -1'wait_ack_15_8 + -1'wait_ack_1_9 + -1'wait_ack_2_9 + -1'wait_ack_3_9 + -1'wait_ack_4_9 + -1'wait_ack_5_9 + -1'wait_ack_6_9 + -1'wait_ack_7_9 + -1'wait_ack_8_9 + -1'wait_ack_10_9 + -1'wait_ack_11_9 + -1'wait_ack_12_9 + -1'wait_ack_13_9 + -1'wait_ack_14_9 + -1'wait_ack_15_9 + -1'wait_ack_1_10 + -1'wait_ack_2_10 + -1'wait_ack_3_10 + -1'wait_ack_4_10 + -1'wait_ack_5_10 + -1'wait_ack_6_10 + -1'wait_ack_7_10 + -1'wait_ack_8_10 + -1'wait_ack_9_10 + -1'wait_ack_11_10 + -1'wait_ack_12_10 + -1'wait_ack_13_10 + -1'wait_ack_14_10 + -1'wait_ack_15_10 + -1'wait_ack_1_11 + -1'wait_ack_2_11 + -1'wait_ack_3_11 + -1'wait_ack_4_11 + -1'wait_ack_5_11 + -1'wait_ack_6_11 + -1'wait_ack_7_11 + -1'wait_ack_8_11 + -1'wait_ack_9_11 + -1'wait_ack_10_11 + -1'wait_ack_12_11 + -1'wait_ack_13_11 + -1'wait_ack_14_11 + -1'wait_ack_15_11 + -1'wait_ack_1_12 + -1'wait_ack_2_12 + -1'wait_ack_3_12 + -1'wait_ack_4_12 + -1'wait_ack_5_12 + -1'wait_ack_6_12 + -1'wait_ack_7_12 + -1'wait_ack_8_12 + -1'wait_ack_9_12 + -1'wait_ack_10_12 + -1'wait_ack_11_12 + -1'wait_ack_13_12 + -1'wait_ack_14_12 + -1'wait_ack_15_12 + -1'wait_ack_1_13 + -1'wait_ack_2_13 + -1'wait_ack_3_13 + -1'wait_ack_4_13 + -1'wait_ack_5_13 + -1'wait_ack_6_13 + -1'wait_ack_7_13 + -1'wait_ack_8_13 + -1'wait_ack_9_13 + -1'wait_ack_10_13 + -1'wait_ack_11_13 + -1'wait_ack_12_13 + -1'wait_ack_14_13 + -1'wait_ack_15_13 + -1'wait_ack_1_14 + -1'wait_ack_2_14 + -1'wait_ack_3_14 + -1'wait_ack_4_14 + -1'wait_ack_5_14 + -1'wait_ack_6_14 + -1'wait_ack_7_14 + -1'wait_ack_8_14 + -1'wait_ack_9_14 + -1'wait_ack_10_14 + -1'wait_ack_11_14 + -1'wait_ack_12_14 + -1'wait_ack_13_14 + -1'wait_ack_15_14 + -1'wait_ack_1_15 + -1'wait_ack_2_15 + -1'wait_ack_3_15 + -1'wait_ack_4_15 + -1'wait_ack_5_15 + -1'wait_ack_6_15 + -1'wait_ack_7_15 + -1'wait_ack_8_15 + -1'wait_ack_9_15 + -1'wait_ack_10_15 + -1'wait_ack_11_15 + -1'wait_ack_12_15 + -1'wait_ack_13_15 + -1'wait_ack_14_15 + 1'MSG_1 + 1'MSG_2 + 1'MSG_3 + 1'MSG_4 + 1'MSG_5 + 1'MSG_6 + 1'MSG_7 + 1'MSG_8 + 1'MSG_9 + 1'MSG_10 + 1'MSG_11 + 1'MSG_12 + 1'MSG_13 + 1'MSG_14 + 1'MSG_15= 0
invariant : 1'wait_ack_10_1 + 1'wait_ack_10_2 + 1'wait_ack_10_3 + 1'wait_ack_10_4 + 1'wait_ack_10_5 + 1'wait_ack_10_6 + 1'wait_ack_10_7 + 1'wait_ack_10_8 + 1'wait_ack_10_9 + 1'wait_ack_10_11 + 1'wait_ack_10_12 + 1'wait_ack_10_13 + 1'wait_ack_10_14 + 1'wait_ack_10_15 + -1'cable_used_10 + 1'FMC_10 + 1'PMC_10= 0
invariant : 1'wait_ack_11_1 + 1'wait_ack_11_2 + 1'wait_ack_11_3 + 1'wait_ack_11_4 + 1'wait_ack_11_5 + 1'wait_ack_11_6 + 1'wait_ack_11_7 + 1'wait_ack_11_8 + 1'wait_ack_11_9 + 1'wait_ack_11_10 + 1'wait_ack_11_12 + 1'wait_ack_11_13 + 1'wait_ack_11_14 + 1'wait_ack_11_15 + -1'cable_used_11 + 1'FMC_11 + 1'PMC_11= 0
invariant : 1'wait_ack_9_1 + 1'wait_ack_9_2 + 1'wait_ack_9_3 + 1'wait_ack_9_4 + 1'wait_ack_9_5 + 1'wait_ack_9_6 + 1'wait_ack_9_7 + 1'wait_ack_9_8 + 1'wait_ack_9_10 + 1'wait_ack_9_11 + 1'wait_ack_9_12 + 1'wait_ack_9_13 + 1'wait_ack_9_14 + 1'wait_ack_9_15 + -1'cable_used_9 + 1'FMC_9 + 1'PMC_9= 0
invariant : 1'wait_ack_13_1 + 1'wait_ack_13_2 + 1'wait_ack_13_3 + 1'wait_ack_13_4 + 1'wait_ack_13_5 + 1'wait_ack_13_6 + 1'wait_ack_13_7 + 1'wait_ack_13_8 + 1'wait_ack_13_9 + 1'wait_ack_13_10 + 1'wait_ack_13_11 + 1'wait_ack_13_12 + 1'wait_ack_13_14 + 1'wait_ack_13_15 + -1'cable_used_13 + 1'FMC_13 + 1'PMC_13= 0
invariant : 1'wait_ack_12_1 + 1'wait_ack_12_2 + 1'wait_ack_12_3 + 1'wait_ack_12_4 + 1'wait_ack_12_5 + 1'wait_ack_12_6 + 1'wait_ack_12_7 + 1'wait_ack_12_8 + 1'wait_ack_12_9 + 1'wait_ack_12_10 + 1'wait_ack_12_11 + 1'wait_ack_12_13 + 1'wait_ack_12_14 + 1'wait_ack_12_15 + -1'AMC_12_1 + -1'AMC_12_2 + -1'AMC_12_3 + -1'AMC_12_4 + -1'AMC_12_5 + -1'AMC_12_6 + -1'AMC_12_7 + -1'AMC_12_8 + -1'AMC_12_9 + -1'AMC_12_10 + -1'AMC_12_11 + -1'AMC_12_12 + -1'AMC_12_13 + -1'AMC_12_14 + -1'AMC_12_15 + -1'cable_used_12 + -1'RMC_12 + 1'FMC_12 + 1'wait_cable_12= 0
invariant : 1'AMC_8_1 + 1'AMC_8_2 + 1'AMC_8_3 + 1'AMC_8_4 + 1'AMC_8_5 + 1'AMC_8_6 + 1'AMC_8_7 + 1'AMC_8_8 + 1'AMC_8_9 + 1'AMC_8_10 + 1'AMC_8_11 + 1'AMC_8_12 + 1'AMC_8_13 + 1'AMC_8_14 + 1'AMC_8_15 + 1'cable_used_8 + 1'RMC_8 + -1'FMC_8 + 1'listen_8 + 1'wait_msg_8 + 1'loop_em_8= 1
invariant : 1'cable_used_1 + 1'cable_used_2 + 1'cable_used_3 + 1'cable_used_4 + 1'cable_used_5 + 1'cable_used_6 + 1'cable_used_7 + 1'cable_used_8 + 1'cable_used_9 + 1'cable_used_10 + 1'cable_used_11 + 1'cable_used_12 + 1'cable_used_13 + 1'cable_used_14 + 1'cable_used_15 + 1'cable_free= 1
invariant : -1'Cpt2_10 + -1'AMC_1_9 + -1'AMC_2_9 + -1'AMC_3_9 + -1'AMC_4_9 + -1'AMC_5_9 + -1'AMC_6_9 + -1'AMC_7_9 + -1'AMC_8_9 + -1'AMC_9_9 + -1'AMC_10_9 + -1'AMC_11_9 + -1'AMC_12_9 + -1'AMC_13_9 + -1'AMC_14_9 + -1'AMC_15_9 + 1'AMC_1_10 + 1'AMC_2_10 + 1'AMC_3_10 + 1'AMC_4_10 + 1'AMC_5_10 + 1'AMC_6_10 + 1'AMC_7_10 + 1'AMC_8_10 + 1'AMC_9_10 + 1'AMC_10_10 + 1'AMC_11_10 + 1'AMC_12_10 + 1'AMC_13_10 + 1'AMC_14_10 + 1'AMC_15_10 + 1'Cpt1_10= 0
Detected timeout of ITS tools.

BK_TIME_CONFINEMENT_REACHED

--------------------
content from stderr:

+ export BINDIR=/home/mcc/BenchKit/
+ BINDIR=/home/mcc/BenchKit/
++ pwd
+ export MODEL=/home/mcc/execution
+ MODEL=/home/mcc/execution
+ [[ LTLFireability = StateSpace ]]
+ /home/mcc/BenchKit//runeclipse.sh /home/mcc/execution LTLFireability -its -ltsminpath /home/mcc/BenchKit//lts_install_dir/ -smt
+ ulimit -s 65536
+ java -Dosgi.requiredJavaVersion=1.6 -Xss8m -Xms40m -Xmx8192m -Declipse.pde.launch=true -Dfile.encoding=UTF-8 -classpath /home/mcc/BenchKit//eclipse/plugins/org.eclipse.equinox.launcher_1.3.201.v20161025-1711.jar org.eclipse.equinox.launcher.Main -application fr.lip6.move.gal.application.pnmcc -data /home/mcc/BenchKit//workspace -os linux -ws gtk -arch x86_64 -nl en_US -consoleLog -pnfolder /home/mcc/execution -examination LTLFireability -yices2path /home/mcc/BenchKit//yices/bin/yices -its -ltsminpath /home/mcc/BenchKit//lts_install_dir/ -smt
Jun 05, 2017 11:26:47 AM fr.lip6.move.gal.application.MccTranslator transformPNML
INFO: Parsing pnml file : /home/mcc/execution/model.pnml
Jun 05, 2017 11:26:47 AM fr.lip6.move.gal.nupn.PTNetReader loadFromXML
INFO: Load time of PNML (sax parser for PT used): 338 ms
Jun 05, 2017 11:26:47 AM fr.lip6.move.gal.pnml.togal.PTGALTransformer handlePage
INFO: Transformed 621 places.
Jun 05, 2017 11:26:47 AM fr.lip6.move.gal.pnml.togal.PTGALTransformer handlePage
INFO: Transformed 4771 transitions.
Jun 05, 2017 11:26:49 AM fr.lip6.move.gal.instantiate.DomainAnalyzer computeVariableDomains
INFO: Found a total of 15 fixed domain variables (out of 621 variables) in GAL type SafeBus_PT_15
Jun 05, 2017 11:26:49 AM fr.lip6.move.gal.instantiate.Simplifier simplifyConstantVariables
INFO: Found a total of 15 constant array cells/variables (out of 621 variables) in type SafeBus_PT_15
Jun 05, 2017 11:26:49 AM fr.lip6.move.gal.instantiate.Simplifier simplifyConstantVariables
INFO: msgl_10,msgl_3,msgl_1,msgl_12,msgl_4,msgl_5,msgl_14,msgl_6,msgl_8,msgl_2,msgl_7,msgl_11,msgl_13,msgl_15,msgl_9,
Jun 05, 2017 11:26:49 AM fr.lip6.move.gal.instantiate.Simplifier simplifyConstantVariables
INFO: Removed 15 constant variables :msgl_10, msgl_3, msgl_1, msgl_12, msgl_4, msgl_5, msgl_14, msgl_6, msgl_8, msgl_2, msgl_7, msgl_11, msgl_13, msgl_15, msgl_9
Jun 05, 2017 11:26:49 AM fr.lip6.move.gal.instantiate.Simplifier simplifyConstantVariables
INFO: Simplified 630 expressions due to constant valuations.
Jun 05, 2017 11:26:50 AM fr.lip6.move.gal.instantiate.GALRewriter flatten
INFO: Flatten gal took : 1540 ms
Jun 05, 2017 11:26:50 AM fr.lip6.move.serialization.SerializationUtil systemToFile
INFO: Time to serialize gal into /home/mcc/execution/LTLFireability.pnml.gal : 86 ms
Jun 05, 2017 11:26:50 AM fr.lip6.move.serialization.SerializationUtil serializePropertiesForITSLTLTools
INFO: Time to serialize properties into /home/mcc/execution/LTLFireability.ltl : 8 ms
Jun 05, 2017 11:26:54 AM fr.lip6.move.gal.gal2smt.bmc.KInductionSolver computeAndDeclareInvariants
INFO: Computed 65 place invariants in 1171 ms
Jun 05, 2017 11:32:42 AM fr.lip6.move.gal.gal2smt.bmc.KInductionSolver init
INFO: Proved 606 variables to be positive in 349455 ms
Jun 05, 2017 11:32:42 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver computeAblingMatrix
INFO: Computing symmetric may disable matrix : 4771 transitions.
Jun 05, 2017 11:32:42 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :0/4771 took 178 ms. Total solver calls (SAT/UNSAT): 74(29/45)
Jun 05, 2017 11:32:43 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :7/4771 took 1203 ms. Total solver calls (SAT/UNSAT): 592(232/360)
Jun 05, 2017 11:32:44 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :14/4771 took 2230 ms. Total solver calls (SAT/UNSAT): 1110(435/675)
Jun 05, 2017 11:32:45 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :21/4771 took 3332 ms. Total solver calls (SAT/UNSAT): 1628(638/990)
Jun 05, 2017 11:32:46 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :28/4771 took 4416 ms. Total solver calls (SAT/UNSAT): 2146(841/1305)
Jun 05, 2017 11:32:47 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :35/4771 took 5455 ms. Total solver calls (SAT/UNSAT): 2664(1044/1620)
Jun 05, 2017 11:32:48 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :42/4771 took 6504 ms. Total solver calls (SAT/UNSAT): 3182(1247/1935)
Jun 05, 2017 11:32:49 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :49/4771 took 7653 ms. Total solver calls (SAT/UNSAT): 3700(1450/2250)
Jun 05, 2017 11:32:51 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :56/4771 took 8769 ms. Total solver calls (SAT/UNSAT): 4218(1653/2565)
Jun 05, 2017 11:32:52 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :63/4771 took 9839 ms. Total solver calls (SAT/UNSAT): 4736(1856/2880)
Jun 05, 2017 11:32:53 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :70/4771 took 10912 ms. Total solver calls (SAT/UNSAT): 5254(2059/3195)
Jun 05, 2017 11:32:54 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :77/4771 took 12028 ms. Total solver calls (SAT/UNSAT): 5772(2262/3510)
Jun 05, 2017 11:32:55 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :84/4771 took 13136 ms. Total solver calls (SAT/UNSAT): 6290(2465/3825)
Jun 05, 2017 11:32:56 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :91/4771 took 14204 ms. Total solver calls (SAT/UNSAT): 6808(2668/4140)
Jun 05, 2017 11:32:57 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :98/4771 took 15265 ms. Total solver calls (SAT/UNSAT): 7326(2871/4455)
Jun 05, 2017 11:32:58 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :105/4771 took 16332 ms. Total solver calls (SAT/UNSAT): 7844(3074/4770)
Jun 05, 2017 11:32:59 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :112/4771 took 17376 ms. Total solver calls (SAT/UNSAT): 8362(3277/5085)
Jun 05, 2017 11:33:00 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :119/4771 took 18524 ms. Total solver calls (SAT/UNSAT): 8880(3480/5400)
Jun 05, 2017 11:33:01 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :126/4771 took 19620 ms. Total solver calls (SAT/UNSAT): 9398(3683/5715)
Jun 05, 2017 11:33:02 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :133/4771 took 20671 ms. Total solver calls (SAT/UNSAT): 9916(3886/6030)
Jun 05, 2017 11:33:04 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :140/4771 took 21727 ms. Total solver calls (SAT/UNSAT): 10434(4089/6345)
Jun 05, 2017 11:33:05 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :147/4771 took 22787 ms. Total solver calls (SAT/UNSAT): 10952(4292/6660)
Jun 05, 2017 11:33:06 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :154/4771 took 23818 ms. Total solver calls (SAT/UNSAT): 11470(4495/6975)
Jun 05, 2017 11:33:07 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :161/4771 took 24852 ms. Total solver calls (SAT/UNSAT): 11988(4698/7290)
Jun 05, 2017 11:33:08 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :168/4771 took 25888 ms. Total solver calls (SAT/UNSAT): 12506(4901/7605)
Jun 05, 2017 11:33:09 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :175/4771 took 26982 ms. Total solver calls (SAT/UNSAT): 13024(5104/7920)
Jun 05, 2017 11:33:10 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :182/4771 took 28060 ms. Total solver calls (SAT/UNSAT): 13542(5307/8235)
Jun 05, 2017 11:33:11 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :189/4771 took 29203 ms. Total solver calls (SAT/UNSAT): 14060(5510/8550)
Jun 05, 2017 11:33:12 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :196/4771 took 30296 ms. Total solver calls (SAT/UNSAT): 14578(5713/8865)
Jun 05, 2017 11:33:13 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :203/4771 took 31426 ms. Total solver calls (SAT/UNSAT): 15096(5916/9180)
Jun 05, 2017 11:33:14 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :210/4771 took 32539 ms. Total solver calls (SAT/UNSAT): 15614(6119/9495)
Jun 05, 2017 11:33:15 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :217/4771 took 33641 ms. Total solver calls (SAT/UNSAT): 16132(6322/9810)
Jun 05, 2017 11:33:17 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :223/4771 took 34797 ms. Total solver calls (SAT/UNSAT): 16576(6496/10080)
Jun 05, 2017 11:33:18 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :255/4771 took 35830 ms. Total solver calls (SAT/UNSAT): 17881(6588/11293)
Jun 05, 2017 11:33:19 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :271/4771 took 36839 ms. Total solver calls (SAT/UNSAT): 18909(6688/12221)
Jun 05, 2017 11:33:20 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :278/4771 took 37895 ms. Total solver calls (SAT/UNSAT): 19518(6891/12627)
Jun 05, 2017 11:33:21 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :285/4771 took 38957 ms. Total solver calls (SAT/UNSAT): 20127(7094/13033)
Jun 05, 2017 11:33:22 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :292/4771 took 40050 ms. Total solver calls (SAT/UNSAT): 20736(7297/13439)
Jun 05, 2017 11:33:23 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :299/4771 took 41129 ms. Total solver calls (SAT/UNSAT): 21345(7500/13845)
Jun 05, 2017 11:33:24 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :306/4771 took 42204 ms. Total solver calls (SAT/UNSAT): 21954(7703/14251)
Jun 05, 2017 11:33:25 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :313/4771 took 43270 ms. Total solver calls (SAT/UNSAT): 22563(7906/14657)
Jun 05, 2017 11:33:26 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :320/4771 took 44338 ms. Total solver calls (SAT/UNSAT): 23172(8109/15063)
Jun 05, 2017 11:33:27 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :327/4771 took 45465 ms. Total solver calls (SAT/UNSAT): 23781(8312/15469)
Jun 05, 2017 11:33:28 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :334/4771 took 46548 ms. Total solver calls (SAT/UNSAT): 24390(8515/15875)
Jun 05, 2017 11:33:29 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :341/4771 took 47584 ms. Total solver calls (SAT/UNSAT): 24999(8718/16281)
Jun 05, 2017 11:33:30 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :348/4771 took 48635 ms. Total solver calls (SAT/UNSAT): 25608(8921/16687)
Jun 05, 2017 11:33:31 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :355/4771 took 49670 ms. Total solver calls (SAT/UNSAT): 26217(9124/17093)
Jun 05, 2017 11:33:33 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :362/4771 took 50704 ms. Total solver calls (SAT/UNSAT): 26826(9327/17499)
Jun 05, 2017 11:33:34 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :369/4771 took 51726 ms. Total solver calls (SAT/UNSAT): 27435(9530/17905)
Jun 05, 2017 11:33:35 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :376/4771 took 52763 ms. Total solver calls (SAT/UNSAT): 28044(9733/18311)
Jun 05, 2017 11:33:36 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :383/4771 took 53782 ms. Total solver calls (SAT/UNSAT): 28653(9936/18717)
Jun 05, 2017 11:33:37 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :390/4771 took 54810 ms. Total solver calls (SAT/UNSAT): 29262(10139/19123)
Jun 05, 2017 11:33:38 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :397/4771 took 55879 ms. Total solver calls (SAT/UNSAT): 29871(10342/19529)
Jun 05, 2017 11:33:39 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :404/4771 took 56989 ms. Total solver calls (SAT/UNSAT): 30480(10545/19935)
Jun 05, 2017 11:33:40 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :411/4771 took 58051 ms. Total solver calls (SAT/UNSAT): 31089(10748/20341)
Jun 05, 2017 11:33:41 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :418/4771 took 59110 ms. Total solver calls (SAT/UNSAT): 31698(10951/20747)
Jun 05, 2017 11:33:42 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :425/4771 took 60176 ms. Total solver calls (SAT/UNSAT): 32307(11154/21153)
Jun 05, 2017 11:33:43 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :432/4771 took 61222 ms. Total solver calls (SAT/UNSAT): 32916(11357/21559)
Jun 05, 2017 11:33:44 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :439/4771 took 62273 ms. Total solver calls (SAT/UNSAT): 33525(11560/21965)
Jun 05, 2017 11:33:45 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :446/4771 took 63291 ms. Total solver calls (SAT/UNSAT): 34134(11763/22371)
Jun 05, 2017 11:33:46 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :453/4771 took 64307 ms. Total solver calls (SAT/UNSAT): 34743(11966/22777)
Jun 05, 2017 11:33:47 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :460/4771 took 65335 ms. Total solver calls (SAT/UNSAT): 35352(12169/23183)
Jun 05, 2017 11:33:48 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :467/4771 took 66376 ms. Total solver calls (SAT/UNSAT): 35961(12372/23589)
Jun 05, 2017 11:33:49 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :474/4771 took 67458 ms. Total solver calls (SAT/UNSAT): 36570(12575/23995)
Jun 05, 2017 11:33:50 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :481/4771 took 68539 ms. Total solver calls (SAT/UNSAT): 37179(12778/24401)
Jun 05, 2017 11:33:51 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :488/4771 took 69605 ms. Total solver calls (SAT/UNSAT): 37788(12981/24807)
Jun 05, 2017 11:33:53 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :495/4771 took 70685 ms. Total solver calls (SAT/UNSAT): 38580(13156/25424)
Jun 05, 2017 11:33:54 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :507/4771 took 71718 ms. Total solver calls (SAT/UNSAT): 41820(13168/28652)
Jun 05, 2017 11:33:55 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :520/4771 took 72750 ms. Total solver calls (SAT/UNSAT): 43020(13324/29696)
Jun 05, 2017 11:33:56 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :533/4771 took 73778 ms. Total solver calls (SAT/UNSAT): 43800(13506/30294)
Jun 05, 2017 11:33:57 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :546/4771 took 74810 ms. Total solver calls (SAT/UNSAT): 44580(13688/30892)
Jun 05, 2017 11:33:58 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :559/4771 took 75842 ms. Total solver calls (SAT/UNSAT): 45360(13870/31490)
Jun 05, 2017 11:33:59 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :572/4771 took 76874 ms. Total solver calls (SAT/UNSAT): 46140(14052/32088)
Jun 05, 2017 11:34:00 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :585/4771 took 77901 ms. Total solver calls (SAT/UNSAT): 46920(14234/32686)
Jun 05, 2017 11:34:01 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :598/4771 took 78933 ms. Total solver calls (SAT/UNSAT): 47700(14416/33284)
Jun 05, 2017 11:34:02 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :611/4771 took 79965 ms. Total solver calls (SAT/UNSAT): 48480(14598/33882)
Jun 05, 2017 11:34:03 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :624/4771 took 80997 ms. Total solver calls (SAT/UNSAT): 49260(14780/34480)
Jun 05, 2017 11:34:04 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :636/4771 took 82005 ms. Total solver calls (SAT/UNSAT): 49980(14948/35032)
Jun 05, 2017 11:34:05 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :648/4771 took 83023 ms. Total solver calls (SAT/UNSAT): 50700(15116/35584)
Jun 05, 2017 11:34:06 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :661/4771 took 84094 ms. Total solver calls (SAT/UNSAT): 51480(15298/36182)
Jun 05, 2017 11:34:07 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :674/4771 took 85140 ms. Total solver calls (SAT/UNSAT): 52260(15480/36780)
Jun 05, 2017 11:34:08 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :687/4771 took 86184 ms. Total solver calls (SAT/UNSAT): 53040(15662/37378)
Jun 05, 2017 11:34:09 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :700/4771 took 87228 ms. Total solver calls (SAT/UNSAT): 53820(15844/37976)
Jun 05, 2017 11:34:10 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :713/4771 took 88270 ms. Total solver calls (SAT/UNSAT): 54600(16026/38574)
Jun 05, 2017 12:25:16 PM fr.lip6.move.gal.itstools.ProcessController$1 run
WARNING: null
Jun 05, 2017 12:25:16 PM fr.lip6.move.gal.itstools.ProcessController forwardStream
WARNING: Stream closed
Jun 05, 2017 12:25:16 PM fr.lip6.move.gal.itstools.ProcessController forwardStream
WARNING: Stream closed

Sequence of Actions to be Executed by the VM

This is useful if one wants to reexecute the tool in the VM from the submitted image disk.

set -x
# this is for BenchKit: configuration of major elements for the test
export BK_INPUT="S_SafeBus-PT-15"
export BK_EXAMINATION="LTLFireability"
export BK_TOOL="itstools"
export BK_RESULT_DIR="/tmp/BK_RESULTS/OUTPUTS"
export BK_TIME_CONFINEMENT="3600"
export BK_MEMORY_CONFINEMENT="16384"

# this is specific to your benchmark or test

export BIN_DIR="$HOME/BenchKit/bin"

# remove the execution directoty if it exists (to avoid increse of .vmdk images)
if [ -d execution ] ; then
rm -rf execution
fi

tar xzf /home/mcc/BenchKit/INPUTS/S_SafeBus-PT-15.tgz
mv S_SafeBus-PT-15 execution

# this is for BenchKit: explicit launching of the test

cd execution
echo "====================================================================="
echo " Generated by BenchKit 2-3254"
echo " Executing tool itstools"
echo " Input is S_SafeBus-PT-15, examination is LTLFireability"
echo " Time confinement is $BK_TIME_CONFINEMENT seconds"
echo " Memory confinement is 16384 MBytes"
echo " Number of cores is 4"
echo " Run identifier is r150-csrt-149443434900258"
echo "====================================================================="
echo
echo "--------------------"
echo "content from stdout:"
echo
echo "=== Data for post analysis generated by BenchKit (invocation template)"
echo
if [ "LTLFireability" = "UpperBounds" ] ; then
echo "The expected result is a vector of positive values"
echo NUM_VECTOR
elif [ "LTLFireability" != "StateSpace" ] ; then
echo "The expected result is a vector of booleans"
echo BOOL_VECTOR
else
echo "no data necessary for post analysis"
fi
echo
if [ -f "LTLFireability.txt" ] ; then
echo "here is the order used to build the result vector(from text file)"
for x in $(grep Property LTLFireability.txt | cut -d ' ' -f 2 | sort -u) ; do
echo "FORMULA_NAME $x"
done
elif [ -f "LTLFireability.xml" ] ; then # for cunf (txt files deleted;-)
echo echo "here is the order used to build the result vector(from xml file)"
for x in $(grep '' LTLFireability.xml | cut -d '>' -f 2 | cut -d '<' -f 1 | sort -u) ; do
echo "FORMULA_NAME $x"
done
fi
echo
echo "=== Now, execution of the tool begins"
echo
echo -n "BK_START "
date -u +%s%3N
echo
timeout -s 9 $BK_TIME_CONFINEMENT bash -c "/home/mcc/BenchKit/BenchKit_head.sh 2> STDERR ; echo ; echo -n \"BK_STOP \" ; date -u +%s%3N"
if [ $? -eq 137 ] ; then
echo
echo "BK_TIME_CONFINEMENT_REACHED"
fi
echo
echo "--------------------"
echo "content from stderr:"
echo
cat STDERR ;