fond
Model Checking Contest @ Petri Nets 2017
7th edition, Zaragoza, Spain, June 27, 2017
Execution of r129-smll-149441682100081
Last Updated
June 27, 2017

About the Execution of Tapaal for S_Peterson-PT-6

Execution Summary
Max Memory
Used (MB)
Time wait (ms) CPU Usage (ms) I/O Wait (ms) Computed Result Execution
Status
4306.530 3600000.00 4350046.00 12936.40 TF?FTFTFTFF?TT?F normal

Execution Chart

We display below the execution chart for this examination (boot time has been removed).

Trace from the execution

Waiting for the VM to be ready (probing ssh)
.............
=====================================================================
Generated by BenchKit 2-3254
Executing tool tapaal
Input is S_Peterson-PT-6, examination is ReachabilityFireability
Time confinement is 3600 seconds
Memory confinement is 16384 MBytes
Number of cores is 4
Run identifier is r129-smll-149441682100081
=====================================================================


--------------------
content from stdout:

=== Data for post analysis generated by BenchKit (invocation template)

The expected result is a vector of booleans
BOOL_VECTOR

here is the order used to build the result vector(from text file)
FORMULA_NAME Peterson-COL-6-ReachabilityFireability-0
FORMULA_NAME Peterson-COL-6-ReachabilityFireability-1
FORMULA_NAME Peterson-COL-6-ReachabilityFireability-10
FORMULA_NAME Peterson-COL-6-ReachabilityFireability-11
FORMULA_NAME Peterson-COL-6-ReachabilityFireability-12
FORMULA_NAME Peterson-COL-6-ReachabilityFireability-13
FORMULA_NAME Peterson-COL-6-ReachabilityFireability-14
FORMULA_NAME Peterson-COL-6-ReachabilityFireability-15
FORMULA_NAME Peterson-COL-6-ReachabilityFireability-2
FORMULA_NAME Peterson-COL-6-ReachabilityFireability-3
FORMULA_NAME Peterson-COL-6-ReachabilityFireability-4
FORMULA_NAME Peterson-COL-6-ReachabilityFireability-5
FORMULA_NAME Peterson-COL-6-ReachabilityFireability-6
FORMULA_NAME Peterson-COL-6-ReachabilityFireability-7
FORMULA_NAME Peterson-COL-6-ReachabilityFireability-8
FORMULA_NAME Peterson-COL-6-ReachabilityFireability-9

=== Now, execution of the tool begins

BK_START 1494791279731

---> tapaal --- TAPAAL
Total timeout: 3600

**********************************************
* TAPAAL verifying ReachabilityFireability *
**********************************************
---------------------------------------------------
Step 1: Parallel processing
---------------------------------------------------
Doing parallel verification of individual queries (16 in total)
Each query is verified by 4 parallel strategies for 60 seconds
------------------- QUERY 1 ----------------------
Solution found
perl: warning: Setting locale failed.
perl: warning: Please check that your locale settings:
LANGUAGE = (unset),
LC_ALL = (unset),
LANG = "en_US.UTF-8"
are supported and installed on your system.
perl: warning: Falling back to the standard locale ("C").
perl: warning: Setting locale failed.
perl: warning: Please check that your locale settings:
LANGUAGE = (unset),
LC_ALL = (unset),
LANG = "en_US.UTF-8"
are supported and installed on your system.
perl: warning: Falling back to the standard locale ("C").

Parameters: -s DFS -q 0 -d 10 ./model.pnml ./ReachabilityFireability.xml -x 1

Parameters: -s BFS -q 0 -d 10 ./model.pnml ./ReachabilityFireability.xml -x 1

Parameters: -s BestFS -q 0 -d 10 ./model.pnml ./ReachabilityFireability.xml -x 1

Parameters: -s BestFS -d 10 ./model.pnml ./ReachabilityFireability.xml -x 1

Search=HEUR,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=DISABLED,Siphon_Trap=DISABLED,LPSolve_Timeout=10
Size of net before structural reductions: 1330 places, 2030 transitions
Size of net after structural reductions: 454 places, 1154 transitions
Structural reduction finished after 0.011796 seconds

Net reduction is enabled.
Removed transitions: 876
Removed places: 876
Applications of rule A: 876
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0

Search=DFS,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=DISABLED,Siphon_Trap=DISABLED,LPSolve_Timeout=10
Size of net before structural reductions: 1330 places, 2030 transitions
Size of net after structural reductions: 454 places, 1154 transitions
Structural reduction finished after 0.012095 seconds

Net reduction is enabled.
Removed transitions: 876
Removed places: 876
Applications of rule A: 876
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0

Search=BFS,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=DISABLED,Siphon_Trap=DISABLED,LPSolve_Timeout=10
Size of net before structural reductions: 1330 places, 2030 transitions
Size of net after structural reductions: 454 places, 1154 transitions
Structural reduction finished after 0.014935 seconds

Net reduction is enabled.
Removed transitions: 876
Removed places: 876
Applications of rule A: 876
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0


Query is satisfied.

STATS:
discovered states: 88007
explored states: 29064
expanded states: 12588
max tokens: 20

parallel: This job succeeded:
/home/mcc/BenchKit/start.sh 14500000 /home/mcc/BenchKit/bin/verifypn-linux64 -s\ BFS\ -q\ 0\ -d\ 10 ./model.pnml ./ReachabilityFireability.xml -x 1

FORMULA Peterson-COL-6-ReachabilityFireability-0 TRUE TECHNIQUES COLLATERAL_PROCESSING EXPLICIT STRUCTURAL_REDUCTION STATE_COMPRESSION STUBBORN_SETS
------------------- QUERY 2 ----------------------
No solution found
perl: warning: Setting locale failed.
perl: warning: Please check that your locale settings:
LANGUAGE = (unset),
LC_ALL = (unset),
LANG = "en_US.UTF-8"
are supported and installed on your system.
perl: warning: Falling back to the standard locale ("C").
perl: warning: Setting locale failed.
perl: warning: Please check that your locale settings:
LANGUAGE = (unset),
LC_ALL = (unset),
LANG = "en_US.UTF-8"
are supported and installed on your system.
perl: warning: Falling back to the standard locale ("C").

Parameters: -s BestFS -d 10 ./model.pnml ./ReachabilityFireability.xml -x 2

Parameters: -s BestFS -q 0 -d 10 ./model.pnml ./ReachabilityFireability.xml -x 2

Parameters: -s DFS -q 0 -d 10 ./model.pnml ./ReachabilityFireability.xml -x 2

Parameters: -s BFS -q 0 -d 10 ./model.pnml ./ReachabilityFireability.xml -x 2

Search=HEUR,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=ENABLED,QSTimeout=30,Siphon_Trap=DISABLED,LPSolve_Timeout=10


Search=DFS,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=DISABLED,Siphon_Trap=DISABLED,LPSolve_Timeout=10
Size of net before structural reductions: 1330 places, 2030 transitions
Size of net after structural reductions: 1022 places, 1722 transitions
Structural reduction finished after 0.028504 seconds

Net reduction is enabled.
Removed transitions: 308
Removed places: 308
Applications of rule A: 308
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0

Search=HEUR,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=DISABLED,Siphon_Trap=DISABLED,LPSolve_Timeout=10
Size of net before structural reductions: 1330 places, 2030 transitions
Size of net after structural reductions: 1022 places, 1722 transitions
Structural reduction finished after 0.026517 seconds

Net reduction is enabled.
Removed transitions: 308
Removed places: 308
Applications of rule A: 308
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0

Search=BFS,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=DISABLED,Siphon_Trap=DISABLED,LPSolve_Timeout=10
Size of net before structural reductions: 1330 places, 2030 transitions
Size of net after structural reductions: 1022 places, 1722 transitions
Structural reduction finished after 0.039987 seconds

Net reduction is enabled.
Removed transitions: 308
Removed places: 308
Applications of rule A: 308
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0
Query before reduction: EF (((((BeginLoop_6_5_6 >= 1) or ((BeginLoop_0_5_6 >= 1) or ((BeginLoop_1_5_6 >= 1) or ((BeginLoop_5_5_5 >= 1) or ((BeginLoop_6_5_5 >= 1) or ((BeginLoop_4_5_6 >= 1) or ((BeginLoop_5_5_6 >= 1) or ((BeginLoop_2_5_6 >= 1) or ((BeginLoop_3_5_6 >= 1) or ((BeginLoop_0_5_5 >= 1) or ((BeginLoop_6_5_4 >= 1) or ((BeginLoop_5_5_4 >= 1) or ((BeginLoop_4_5_4 >= 1) or ((BeginLoop_4_5_5 >= 1) or ((BeginLoop_3_5_5 >= 1) or ((BeginLoop_2_5_5 >= 1) or ((BeginLoop_1_5_5 >= 1) or ((BeginLoop_6_5_3 >= 1) or ((BeginLoop_5_5_3 >= 1) or ((BeginLoop_4_5_3 >= 1) or ((BeginLoop_3_5_3 >= 1) or ((BeginLoop_3_5_4 >= 1) or ((BeginLoop_2_5_4 >= 1) or ((BeginLoop_1_5_4 >= 1) or ((BeginLoop_0_5_4 >= 1) or ((BeginLoop_2_5_2 >= 1) or ((BeginLoop_3_5_2 >= 1) or ((BeginLoop_4_5_2 >= 1) or ((BeginLoop_5_5_2 >= 1) or ((BeginLoop_6_5_2 >= 1) or ((BeginLoop_0_5_3 >= 1) or ((BeginLoop_1_5_3 >= 1) or ((BeginLoop_2_5_3 >= 1) or ((BeginLoop_1_5_1 >= 1) or ((BeginLoop_2_5_1 >= 1) or ((BeginLoop_3_5_1 >= 1) or ((BeginLoop_4_5_1 >= 1) or ((BeginLoop_5_5_1 >= 1) or ((BeginLoop_6_5_1 >= 1) or ((BeginLoop_0_5_2 >= 1) or ((BeginLoop_1_5_2 >= 1) or ((BeginLoop_1_5_0 >= 1) or ((BeginLoop_0_5_0 >= 1) or ((BeginLoop_3_5_0 >= 1) or ((BeginLoop_2_5_0 >= 1) or ((BeginLoop_5_5_0 >= 1) or ((BeginLoop_4_5_0 >= 1) or ((BeginLoop_0_5_1 >= 1) or ((BeginLoop_6_5_0 >= 1) or ((BeginLoop_0_4_6 >= 1) or ((BeginLoop_6_4_5 >= 1) or ((BeginLoop_2_4_6 >= 1) or ((BeginLoop_1_4_6 >= 1) or ((BeginLoop_4_4_6 >= 1) or ((BeginLoop_3_4_6 >= 1) or ((BeginLoop_6_4_6 >= 1) or ((BeginLoop_5_4_6 >= 1) or ((BeginLoop_4_4_5 >= 1) or ((BeginLoop_5_4_5 >= 1) or ((BeginLoop_2_4_5 >= 1) or ((BeginLoop_3_4_5 >= 1) or ((BeginLoop_0_4_5 >= 1) or ((BeginLoop_1_4_5 >= 1) or ((BeginLoop_5_4_4 >= 1) or ((BeginLoop_6_4_4 >= 1) or ((BeginLoop_3_4_4 >= 1) or ((BeginLoop_4_4_4 >= 1) or ((BeginLoop_1_4_4 >= 1) or ((BeginLoop_2_4_4 >= 1) or ((BeginLoop_6_4_3 >= 1) or ((BeginLoop_0_4_4 >= 1) or ((BeginLoop_4_4_3 >= 1) or ((BeginLoop_5_4_3 >= 1) or ((BeginLoop_3_4_3 >= 1) or ((BeginLoop_2_4_3 >= 1) or ((BeginLoop_1_4_3 >= 1) or ((BeginLoop_0_4_3 >= 1) or ((BeginLoop_6_4_2 >= 1) or ((BeginLoop_5_4_2 >= 1) or ((BeginLoop_4_4_2 >= 1) or ((BeginLoop_3_4_2 >= 1) or ((BeginLoop_2_4_2 >= 1) or ((BeginLoop_1_4_2 >= 1) or ((BeginLoop_0_4_2 >= 1) or ((BeginLoop_6_4_1 >= 1) or ((BeginLoop_5_4_1 >= 1) or ((BeginLoop_4_4_1 >= 1) or ((BeginLoop_3_4_1 >= 1) or ((BeginLoop_2_4_1 >= 1) or ((BeginLoop_5_4_0 >= 1) or ((BeginLoop_6_4_0 >= 1) or ((BeginLoop_0_4_1 >= 1) or ((BeginLoop_1_4_1 >= 1) or ((BeginLoop_1_4_0 >= 1) or ((BeginLoop_2_4_0 >= 1) or ((BeginLoop_3_4_0 >= 1) or ((BeginLoop_4_4_0 >= 1) or ((BeginLoop_4_3_6 >= 1) or ((BeginLoop_5_3_6 >= 1) or ((BeginLoop_6_3_6 >= 1) or ((BeginLoop_0_4_0 >= 1) or ((BeginLoop_0_3_6 >= 1) or ((BeginLoop_1_3_6 >= 1) or ((BeginLoop_2_3_6 >= 1) or ((BeginLoop_3_3_6 >= 1) or ((BeginLoop_4_3_5 >= 1) or ((BeginLoop_3_3_5 >= 1) or ((BeginLoop_6_3_5 >= 1) or ((BeginLoop_5_3_5 >= 1) or ((BeginLoop_0_3_5 >= 1) or ((BeginLoop_6_3_4 >= 1) or ((BeginLoop_2_3_5 >= 1) or ((BeginLoop_1_3_5 >= 1) or ((BeginLoop_3_3_4 >= 1) or ((BeginLoop_2_3_4 >= 1) or ((BeginLoop_5_3_4 >= 1) or ((BeginLoop_4_3_4 >= 1) or ((BeginLoop_6_3_3 >= 1) or ((BeginLoop_5_3_3 >= 1) or ((BeginLoop_1_3_4 >= 1) or ((BeginLoop_0_3_4 >= 1) or ((BeginLoop_1_0_3 >= 1) or ((BeginLoop_0_0_3 >= 1) or ((BeginLoop_3_0_3 >= 1) or ((BeginLoop_2_0_3 >= 1) or ((BeginLoop_5_0_3 >= 1) or ((BeginLoop_4_0_3 >= 1) or ((BeginLoop_0_0_4 >= 1) or ((BeginLoop_6_0_3 >= 1) or ((BeginLoop_0_0_2 >= 1) or ((BeginLoop_6_0_1 >= 1) or ((BeginLoop_2_0_2 >= 1) or ((BeginLoop_1_0_2 >= 1) or ((BeginLoop_4_0_2 >= 1) or ((BeginLoop_3_0_2 >= 1) or ((BeginLoop_6_0_2 >= 1) or ((BeginLoop_5_0_2 >= 1) or ((BeginLoop_2_0_5 >= 1) or ((BeginLoop_3_0_5 >= 1) or ((BeginLoop_4_0_5 >= 1) or ((BeginLoop_5_0_5 >= 1) or ((BeginLoop_6_0_5 >= 1) or ((BeginLoop_0_0_6 >= 1) or ((BeginLoop_1_0_6 >= 1) or ((BeginLoop_2_0_6 >= 1) or ((BeginLoop_1_0_4 >= 1) or ((BeginLoop_2_0_4 >= 1) or ((BeginLoop_3_0_4 >= 1) or ((BeginLoop_4_0_4 >= 1) or ((BeginLoop_5_0_4 >= 1) or ((BeginLoop_6_0_4 >= 1) or ((BeginLoop_0_0_5 >= 1) or ((BeginLoop_1_0_5 >= 1) or ((BeginLoop_0_0_1 >= 1) or ((BeginLoop_1_0_1 >= 1) or ((BeginLoop_5_0_0 >= 1) or ((BeginLoop_6_0_0 >= 1) or ((BeginLoop_4_0_1 >= 1) or ((BeginLoop_5_0_1 >= 1) or ((BeginLoop_2_0_1 >= 1) or ((BeginLoop_3_0_1 >= 1) or ((BeginLoop_0_0_0 >= 1) or ((BeginLoop_3_0_0 >= 1) or ((BeginLoop_4_0_0 >= 1) or ((BeginLoop_1_0_0 >= 1) or ((BeginLoop_2_0_0 >= 1) or ((BeginLoop_1_2_6 >= 1) or ((BeginLoop_2_2_6 >= 1) or ((BeginLoop_3_2_6 >= 1) or ((BeginLoop_4_2_6 >= 1) or ((BeginLoop_5_2_6 >= 1) or ((BeginLoop_6_2_6 >= 1) or ((BeginLoop_0_3_0 >= 1) or ((BeginLoop_1_3_0 >= 1) or ((BeginLoop_2_3_0 >= 1) or ((BeginLoop_3_3_0 >= 1) or ((BeginLoop_4_3_0 >= 1) or ((BeginLoop_5_3_0 >= 1) or ((BeginLoop_6_3_0 >= 1) or ((BeginLoop_0_3_1 >= 1) or ((BeginLoop_1_3_1 >= 1) or ((BeginLoop_2_3_1 >= 1) or ((BeginLoop_4_3_1 >= 1) or ((BeginLoop_3_3_1 >= 1) or ((BeginLoop_6_3_1 >= 1) or ((BeginLoop_5_3_1 >= 1) or ((BeginLoop_1_3_2 >= 1) or ((BeginLoop_0_3_2 >= 1) or ((BeginLoop_3_3_2 >= 1) or ((BeginLoop_2_3_2 >= 1) or ((BeginLoop_5_3_2 >= 1) or ((BeginLoop_4_3_2 >= 1) or ((BeginLoop_0_3_3 >= 1) or ((BeginLoop_6_3_2 >= 1) or ((BeginLoop_2_3_3 >= 1) or ((BeginLoop_1_3_3 >= 1) or ((BeginLoop_4_3_3 >= 1) or ((BeginLoop_3_3_3 >= 1) or ((BeginLoop_6_2_1 >= 1) or ((BeginLoop_0_2_2 >= 1) or ((BeginLoop_4_2_1 >= 1) or ((BeginLoop_5_2_1 >= 1) or ((BeginLoop_3_2_2 >= 1) or ((BeginLoop_4_2_2 >= 1) or ((BeginLoop_1_2_2 >= 1) or ((BeginLoop_2_2_2 >= 1) or ((BeginLoop_0_2_3 >= 1) or ((BeginLoop_1_2_3 >= 1) or ((BeginLoop_5_2_2 >= 1) or ((BeginLoop_6_2_2 >= 1) or ((BeginLoop_4_2_3 >= 1) or ((BeginLoop_5_2_3 >= 1) or ((BeginLoop_2_2_3 >= 1) or ((BeginLoop_3_2_3 >= 1) or ((BeginLoop_2_2_4 >= 1) or ((BeginLoop_1_2_4 >= 1) or ((BeginLoop_0_2_4 >= 1) or ((BeginLoop_6_2_3 >= 1) or ((BeginLoop_6_2_4 >= 1) or ((BeginLoop_5_2_4 >= 1) or ((BeginLoop_4_2_4 >= 1) or ((BeginLoop_3_2_4 >= 1) or ((BeginLoop_3_2_5 >= 1) or ((BeginLoop_2_2_5 >= 1) or ((BeginLoop_1_2_5 >= 1) or ((BeginLoop_0_2_5 >= 1) or ((BeginLoop_0_2_6 >= 1) or ((BeginLoop_6_2_5 >= 1) or ((BeginLoop_5_2_5 >= 1) or ((BeginLoop_4_2_5 >= 1) or ((BeginLoop_4_1_4 >= 1) or ((BeginLoop_5_1_4 >= 1) or ((BeginLoop_6_1_4 >= 1) or ((BeginLoop_0_1_5 >= 1) or ((BeginLoop_0_1_4 >= 1) or ((BeginLoop_1_1_4 >= 1) or ((BeginLoop_2_1_4 >= 1) or ((BeginLoop_3_1_4 >= 1) or ((BeginLoop_5_1_5 >= 1) or ((BeginLoop_6_1_5 >= 1) or ((BeginLoop_0_1_6 >= 1) or ((BeginLoop_1_1_6 >= 1) or ((BeginLoop_1_1_5 >= 1) or ((BeginLoop_2_1_5 >= 1) or ((BeginLoop_3_1_5 >= 1) or ((BeginLoop_4_1_5 >= 1) or ((BeginLoop_0_2_0 >= 1) or ((BeginLoop_6_1_6 >= 1) or ((BeginLoop_2_2_0 >= 1) or ((BeginLoop_1_2_0 >= 1) or ((BeginLoop_3_1_6 >= 1) or ((BeginLoop_2_1_6 >= 1) or ((BeginLoop_5_1_6 >= 1) or ((BeginLoop_4_1_6 >= 1) or ((BeginLoop_1_2_1 >= 1) or ((BeginLoop_0_2_1 >= 1) or ((BeginLoop_3_2_1 >= 1) or ((BeginLoop_2_2_1 >= 1) or ((BeginLoop_4_2_0 >= 1) or ((BeginLoop_3_2_0 >= 1) or ((BeginLoop_6_2_0 >= 1) or ((BeginLoop_5_2_0 >= 1) or ((BeginLoop_2_1_0 >= 1) or ((BeginLoop_3_1_0 >= 1) or ((BeginLoop_0_1_0 >= 1) or ((BeginLoop_1_1_0 >= 1) or ((BeginLoop_5_0_6 >= 1) or ((BeginLoop_6_0_6 >= 1) or ((BeginLoop_3_0_6 >= 1) or ((BeginLoop_4_0_6 >= 1) or ((BeginLoop_3_1_1 >= 1) or ((BeginLoop_4_1_1 >= 1) or ((BeginLoop_1_1_1 >= 1) or ((BeginLoop_2_1_1 >= 1) or ((BeginLoop_6_1_0 >= 1) or ((BeginLoop_0_1_1 >= 1) or ((BeginLoop_4_1_0 >= 1) or ((BeginLoop_5_1_0 >= 1) or ((BeginLoop_5_1_2 >= 1) or ((BeginLoop_4_1_2 >= 1) or ((BeginLoop_3_1_2 >= 1) or ((BeginLoop_2_1_2 >= 1) or ((BeginLoop_1_1_2 >= 1) or ((BeginLoop_0_1_2 >= 1) or ((BeginLoop_6_1_1 >= 1) or ((BeginLoop_5_1_1 >= 1) or ((BeginLoop_6_1_3 >= 1) or ((BeginLoop_5_1_3 >= 1) or ((BeginLoop_4_1_3 >= 1) or ((BeginLoop_3_1_3 >= 1) or ((BeginLoop_2_1_3 >= 1) or ((BeginLoop_1_1_3 >= 1) or ((BeginLoop_0_1_3 >= 1) or (BeginLoop_6_1_2 >= 1)))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))) and (not ((BeginLoop_6_5_6 >= 1) or ((BeginLoop_0_5_6 >= 1) or ((BeginLoop_1_5_6 >= 1) or ((BeginLoop_5_5_5 >= 1) or ((BeginLoop_6_5_5 >= 1) or ((BeginLoop_4_5_6 >= 1) or ((BeginLoop_5_5_6 >= 1) or ((BeginLoop_2_5_6 >= 1) or ((BeginLoop_3_5_6 >= 1) or ((BeginLoop_0_5_5 >= 1) or ((BeginLoop_6_5_4 >= 1) or ((BeginLoop_5_5_4 >= 1) or ((BeginLoop_4_5_4 >= 1) or ((BeginLoop_4_5_5 >= 1) or ((BeginLoop_3_5_5 >= 1) or ((BeginLoop_2_5_5 >= 1) or ((BeginLoop_1_5_5 >= 1) or ((BeginLoop_6_5_3 >= 1) or ((BeginLoop_5_5_3 >= 1) or ((BeginLoop_4_5_3 >= 1) or ((BeginLoop_3_5_3 >= 1) or ((BeginLoop_3_5_4 >= 1) or ((BeginLoop_2_5_4 >= 1) or ((BeginLoop_1_5_4 >= 1) or ((BeginLoop_0_5_4 >= 1) or ((BeginLoop_2_5_2 >= 1) or ((BeginLoop_3_5_2 >= 1) or ((BeginLoop_4_5_2 >= 1) or ((BeginLoop_5_5_2 >= 1) or ((BeginLoop_6_5_2 >= 1) or ((BeginLoop_0_5_3 >= 1) or ((BeginLoop_1_5_3 >= 1) or ((BeginLoop_2_5_3 >= 1) or ((BeginLoop_1_5_1 >= 1) or ((BeginLoop_2_5_1 >= 1) or ((BeginLoop_3_5_1 >= 1) or ((BeginLoop_4_5_1 >= 1) or ((BeginLoop_5_5_1 >= 1) or ((BeginLoop_6_5_1 >= 1) or ((BeginLoop_0_5_2 >= 1) or ((BeginLoop_1_5_2 >= 1) or ((BeginLoop_1_5_0 >= 1) or ((BeginLoop_0_5_0 >= 1) or ((BeginLoop_3_5_0 >= 1) or ((BeginLoop_2_5_0 >= 1) or ((BeginLoop_5_5_0 >= 1) or ((BeginLoop_4_5_0 >= 1) or ((BeginLoop_0_5_1 >= 1) or ((BeginLoop_6_5_0 >= 1) or ((BeginLoop_0_4_6 >= 1) or ((BeginLoop_6_4_5 >= 1) or ((BeginLoop_2_4_6 >= 1) or ((BeginLoop_1_4_6 >= 1) or ((BeginLoop_4_4_6 >= 1) or ((BeginLoop_3_4_6 >= 1) or ((BeginLoop_6_4_6 >= 1) or ((BeginLoop_5_4_6 >= 1) or ((BeginLoop_4_4_5 >= 1) or ((BeginLoop_5_4_5 >= 1) or ((BeginLoop_2_4_5 >= 1) or ((BeginLoop_3_4_5 >= 1) or ((BeginLoop_0_4_5 >= 1) or ((BeginLoop_1_4_5 >= 1) or ((BeginLoop_5_4_4 >= 1) or ((BeginLoop_6_4_4 >= 1) or ((BeginLoop_3_4_4 >= 1) or ((BeginLoop_4_4_4 >= 1) or ((BeginLoop_1_4_4 >= 1) or ((BeginLoop_2_4_4 >= 1) or ((BeginLoop_6_4_3 >= 1) or ((BeginLoop_0_4_4 >= 1) or ((BeginLoop_4_4_3 >= 1) or ((BeginLoop_5_4_3 >= 1) or ((BeginLoop_3_4_3 >= 1) or ((BeginLoop_2_4_3 >= 1) or ((BeginLoop_1_4_3 >= 1) or ((BeginLoop_0_4_3 >= 1) or ((BeginLoop_6_4_2 >= 1) or ((BeginLoop_5_4_2 >= 1) or ((BeginLoop_4_4_2 >= 1) or ((BeginLoop_3_4_2 >= 1) or ((BeginLoop_2_4_2 >= 1) or ((BeginLoop_1_4_2 >= 1) or ((BeginLoop_0_4_2 >= 1) or ((BeginLoop_6_4_1 >= 1) or ((BeginLoop_5_4_1 >= 1) or ((BeginLoop_4_4_1 >= 1) or ((BeginLoop_3_4_1 >= 1) or ((BeginLoop_2_4_1 >= 1) or ((BeginLoop_5_4_0 >= 1) or ((BeginLoop_6_4_0 >= 1) or ((BeginLoop_0_4_1 >= 1) or ((BeginLoop_1_4_1 >= 1) or ((BeginLoop_1_4_0 >= 1) or ((BeginLoop_2_4_0 >= 1) or ((BeginLoop_3_4_0 >= 1) or ((BeginLoop_4_4_0 >= 1) or ((BeginLoop_4_3_6 >= 1) or ((BeginLoop_5_3_6 >= 1) or ((BeginLoop_6_3_6 >= 1) or ((BeginLoop_0_4_0 >= 1) or ((BeginLoop_0_3_6 >= 1) or ((BeginLoop_1_3_6 >= 1) or ((BeginLoop_2_3_6 >= 1) or ((BeginLoop_3_3_6 >= 1) or ((BeginLoop_4_3_5 >= 1) or ((BeginLoop_3_3_5 >= 1) or ((BeginLoop_6_3_5 >= 1) or ((BeginLoop_5_3_5 >= 1) or ((BeginLoop_0_3_5 >= 1) or ((BeginLoop_6_3_4 >= 1) or ((BeginLoop_2_3_5 >= 1) or ((BeginLoop_1_3_5 >= 1) or ((BeginLoop_3_3_4 >= 1) or ((BeginLoop_2_3_4 >= 1) or ((BeginLoop_5_3_4 >= 1) or ((BeginLoop_4_3_4 >= 1) or ((BeginLoop_6_3_3 >= 1) or ((BeginLoop_5_3_3 >= 1) or ((BeginLoop_1_3_4 >= 1) or ((BeginLoop_0_3_4 >= 1) or ((BeginLoop_1_0_3 >= 1) or ((BeginLoop_0_0_3 >= 1) or ((BeginLoop_3_0_3 >= 1) or ((BeginLoop_2_0_3 >= 1) or ((BeginLoop_5_0_3 >= 1) or ((BeginLoop_4_0_3 >= 1) or ((BeginLoop_0_0_4 >= 1) or ((BeginLoop_6_0_3 >= 1) or ((BeginLoop_0_0_2 >= 1) or ((BeginLoop_6_0_1 >= 1) or ((BeginLoop_2_0_2 >= 1) or ((BeginLoop_1_0_2 >= 1) or ((BeginLoop_4_0_2 >= 1) or ((BeginLoop_3_0_2 >= 1) or ((BeginLoop_6_0_2 >= 1) or ((BeginLoop_5_0_2 >= 1) or ((BeginLoop_2_0_5 >= 1) or ((BeginLoop_3_0_5 >= 1) or ((BeginLoop_4_0_5 >= 1) or ((BeginLoop_5_0_5 >= 1) or ((BeginLoop_6_0_5 >= 1) or ((BeginLoop_0_0_6 >= 1) or ((BeginLoop_1_0_6 >= 1) or ((BeginLoop_2_0_6 >= 1) or ((BeginLoop_1_0_4 >= 1) or ((BeginLoop_2_0_4 >= 1) or ((BeginLoop_3_0_4 >= 1) or ((BeginLoop_4_0_4 >= 1) or ((BeginLoop_5_0_4 >= 1) or ((BeginLoop_6_0_4 >= 1) or ((BeginLoop_0_0_5 >= 1) or ((BeginLoop_1_0_5 >= 1) or ((BeginLoop_0_0_1 >= 1) or ((BeginLoop_1_0_1 >= 1) or ((BeginLoop_5_0_0 >= 1) or ((BeginLoop_6_0_0 >= 1) or ((BeginLoop_4_0_1 >= 1) or ((BeginLoop_5_0_1 >= 1) or ((BeginLoop_2_0_1 >= 1) or ((BeginLoop_3_0_1 >= 1) or ((BeginLoop_0_0_0 >= 1) or ((BeginLoop_3_0_0 >= 1) or ((BeginLoop_4_0_0 >= 1) or ((BeginLoop_1_0_0 >= 1) or ((BeginLoop_2_0_0 >= 1) or ((BeginLoop_1_2_6 >= 1) or ((BeginLoop_2_2_6 >= 1) or ((BeginLoop_3_2_6 >= 1) or ((BeginLoop_4_2_6 >= 1) or ((BeginLoop_5_2_6 >= 1) or ((BeginLoop_6_2_6 >= 1) or ((BeginLoop_0_3_0 >= 1) or ((BeginLoop_1_3_0 >= 1) or ((BeginLoop_2_3_0 >= 1) or ((BeginLoop_3_3_0 >= 1) or ((BeginLoop_4_3_0 >= 1) or ((BeginLoop_5_3_0 >= 1) or ((BeginLoop_6_3_0 >= 1) or ((BeginLoop_0_3_1 >= 1) or ((BeginLoop_1_3_1 >= 1) or ((BeginLoop_2_3_1 >= 1) or ((BeginLoop_4_3_1 >= 1) or ((BeginLoop_3_3_1 >= 1) or ((BeginLoop_6_3_1 >= 1) or ((BeginLoop_5_3_1 >= 1) or ((BeginLoop_1_3_2 >= 1) or ((BeginLoop_0_3_2 >= 1) or ((BeginLoop_3_3_2 >= 1) or ((BeginLoop_2_3_2 >= 1) or ((BeginLoop_5_3_2 >= 1) or ((BeginLoop_4_3_2 >= 1) or ((BeginLoop_0_3_3 >= 1) or ((BeginLoop_6_3_2 >= 1) or ((BeginLoop_2_3_3 >= 1) or ((BeginLoop_1_3_3 >= 1) or ((BeginLoop_4_3_3 >= 1) or ((BeginLoop_3_3_3 >= 1) or ((BeginLoop_6_2_1 >= 1) or ((BeginLoop_0_2_2 >= 1) or ((BeginLoop_4_2_1 >= 1) or ((BeginLoop_5_2_1 >= 1) or ((BeginLoop_3_2_2 >= 1) or ((BeginLoop_4_2_2 >= 1) or ((BeginLoop_1_2_2 >= 1) or ((BeginLoop_2_2_2 >= 1) or ((BeginLoop_0_2_3 >= 1) or ((BeginLoop_1_2_3 >= 1) or ((BeginLoop_5_2_2 >= 1) or ((BeginLoop_6_2_2 >= 1) or ((BeginLoop_4_2_3 >= 1) or ((BeginLoop_5_2_3 >= 1) or ((BeginLoop_2_2_3 >= 1) or ((BeginLoop_3_2_3 >= 1) or ((BeginLoop_2_2_4 >= 1) or ((BeginLoop_1_2_4 >= 1) or ((BeginLoop_0_2_4 >= 1) or ((BeginLoop_6_2_3 >= 1) or ((BeginLoop_6_2_4 >= 1) or ((BeginLoop_5_2_4 >= 1) or ((BeginLoop_4_2_4 >= 1) or ((BeginLoop_3_2_4 >= 1) or ((BeginLoop_3_2_5 >= 1) or ((BeginLoop_2_2_5 >= 1) or ((BeginLoop_1_2_5 >= 1) or ((BeginLoop_0_2_5 >= 1) or ((BeginLoop_0_2_6 >= 1) or ((BeginLoop_6_2_5 >= 1) or ((BeginLoop_5_2_5 >= 1) or ((BeginLoop_4_2_5 >= 1) or ((BeginLoop_4_1_4 >= 1) or ((BeginLoop_5_1_4 >= 1) or ((BeginLoop_6_1_4 >= 1) or ((BeginLoop_0_1_5 >= 1) or ((BeginLoop_0_1_4 >= 1) or ((BeginLoop_1_1_4 >= 1) or ((BeginLoop_2_1_4 >= 1) or ((BeginLoop_3_1_4 >= 1) or ((BeginLoop_5_1_5 >= 1) or ((BeginLoop_6_1_5 >= 1) or ((BeginLoop_0_1_6 >= 1) or ((BeginLoop_1_1_6 >= 1) or ((BeginLoop_1_1_5 >= 1) or ((BeginLoop_2_1_5 >= 1) or ((BeginLoop_3_1_5 >= 1) or ((BeginLoop_4_1_5 >= 1) or ((BeginLoop_0_2_0 >= 1) or ((BeginLoop_6_1_6 >= 1) or ((BeginLoop_2_2_0 >= 1) or ((BeginLoop_1_2_0 >= 1) or ((BeginLoop_3_1_6 >= 1) or ((BeginLoop_2_1_6 >= 1) or ((BeginLoop_5_1_6 >= 1) or ((BeginLoop_4_1_6 >= 1) or ((BeginLoop_1_2_1 >= 1) or ((BeginLoop_0_2_1 >= 1) or ((BeginLoop_3_2_1 >= 1) or ((BeginLoop_2_2_1 >= 1) or ((BeginLoop_4_2_0 >= 1) or ((BeginLoop_3_2_0 >= 1) or ((BeginLoop_6_2_0 >= 1) or ((BeginLoop_5_2_0 >= 1) or ((BeginLoop_2_1_0 >= 1) or ((BeginLoop_3_1_0 >= 1) or ((BeginLoop_0_1_0 >= 1) or ((BeginLoop_1_1_0 >= 1) or ((BeginLoop_5_0_6 >= 1) or ((BeginLoop_6_0_6 >= 1) or ((BeginLoop_3_0_6 >= 1) or ((BeginLoop_4_0_6 >= 1) or ((BeginLoop_3_1_1 >= 1) or ((BeginLoop_4_1_1 >= 1) or ((BeginLoop_1_1_1 >= 1) or ((BeginLoop_2_1_1 >= 1) or ((BeginLoop_6_1_0 >= 1) or ((BeginLoop_0_1_1 >= 1) or ((BeginLoop_4_1_0 >= 1) or ((BeginLoop_5_1_0 >= 1) or ((BeginLoop_5_1_2 >= 1) or ((BeginLoop_4_1_2 >= 1) or ((BeginLoop_3_1_2 >= 1) or ((BeginLoop_2_1_2 >= 1) or ((BeginLoop_1_1_2 >= 1) or ((BeginLoop_0_1_2 >= 1) or ((BeginLoop_6_1_1 >= 1) or ((BeginLoop_5_1_1 >= 1) or ((BeginLoop_6_1_3 >= 1) or ((BeginLoop_5_1_3 >= 1) or ((BeginLoop_4_1_3 >= 1) or ((BeginLoop_3_1_3 >= 1) or ((BeginLoop_2_1_3 >= 1) or ((BeginLoop_1_1_3 >= 1) or ((BeginLoop_0_1_3 >= 1) or (BeginLoop_6_1_2 >= 1)))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))) and ((EndTurn_6_4 >= 1) or ((EndTurn_2_4 >= 1) or ((EndTurn_3_4 >= 1) or ((EndTurn_4_4 >= 1) or ((EndTurn_5_4 >= 1) or ((EndTurn_5_2 >= 1) or ((EndTurn_4_2 >= 1) or ((EndTurn_0_3 >= 1) or ((EndTurn_6_2 >= 1) or ((EndTurn_1_2 >= 1) or ((EndTurn_0_2 >= 1) or ((EndTurn_3_2 >= 1) or ((EndTurn_2_2 >= 1) or ((EndTurn_6_3 >= 1) or ((EndTurn_5_3 >= 1) or ((EndTurn_1_4 >= 1) or ((EndTurn_0_4 >= 1) or ((EndTurn_2_3 >= 1) or ((EndTurn_1_3 >= 1) or ((EndTurn_4_3 >= 1) or ((EndTurn_3_3 >= 1) or ((EndTurn_0_1 >= 1) or ((EndTurn_6_0 >= 1) or ((EndTurn_2_1 >= 1) or ((EndTurn_1_1 >= 1) or ((EndTurn_4_1 >= 1) or ((EndTurn_3_1 >= 1) or ((EndTurn_6_1 >= 1) or ((EndTurn_5_1 >= 1) or ((EndTurn_1_0 >= 1) or ((EndTurn_0_0 >= 1) or ((EndTurn_3_0 >= 1) or ((EndTurn_2_0 >= 1) or ((EndTurn_5_0 >= 1) or (EndTurn_4_0 >= 1)))))))))))))))))))))))))))))))))))) and (not ((EndTurn_6_4 >= 1) or ((EndTurn_2_4 >= 1) or ((EndTurn_3_4 >= 1) or ((EndTurn_4_4 >= 1) or ((EndTurn_5_4 >= 1) or ((EndTurn_5_2 >= 1) or ((EndTurn_4_2 >= 1) or ((EndTurn_0_3 >= 1) or ((EndTurn_6_2 >= 1) or ((EndTurn_1_2 >= 1) or ((EndTurn_0_2 >= 1) or ((EndTurn_3_2 >= 1) or ((EndTurn_2_2 >= 1) or ((EndTurn_6_3 >= 1) or ((EndTurn_5_3 >= 1) or ((EndTurn_1_4 >= 1) or ((EndTurn_0_4 >= 1) or ((EndTurn_2_3 >= 1) or ((EndTurn_1_3 >= 1) or ((EndTurn_4_3 >= 1) or ((EndTurn_3_3 >= 1) or ((EndTurn_0_1 >= 1) or ((EndTurn_6_0 >= 1) or ((EndTurn_2_1 >= 1) or ((EndTurn_1_1 >= 1) or ((EndTurn_4_1 >= 1) or ((EndTurn_3_1 >= 1) or ((EndTurn_6_1 >= 1) or ((EndTurn_5_1 >= 1) or ((EndTurn_1_0 >= 1) or ((EndTurn_0_0 >= 1) or ((EndTurn_3_0 >= 1) or ((EndTurn_2_0 >= 1) or ((EndTurn_5_0 >= 1) or (EndTurn_4_0 >= 1)))))))))))))))))))))))))))))))))))))
Query after reduction: EF (((((BeginLoop_6_5_6 >= 1) or ((BeginLoop_0_5_6 >= 1) or ((BeginLoop_1_5_6 >= 1) or ((BeginLoop_5_5_5 >= 1) or ((BeginLoop_6_5_5 >= 1) or ((BeginLoop_4_5_6 >= 1) or ((BeginLoop_5_5_6 >= 1) or ((BeginLoop_2_5_6 >= 1) or ((BeginLoop_3_5_6 >= 1) or ((BeginLoop_0_5_5 >= 1) or ((BeginLoop_6_5_4 >= 1) or ((BeginLoop_5_5_4 >= 1) or ((BeginLoop_4_5_4 >= 1) or ((BeginLoop_4_5_5 >= 1) or ((BeginLoop_3_5_5 >= 1) or ((BeginLoop_2_5_5 >= 1) or ((BeginLoop_1_5_5 >= 1) or ((BeginLoop_6_5_3 >= 1) or ((BeginLoop_5_5_3 >= 1) or ((BeginLoop_4_5_3 >= 1) or ((BeginLoop_3_5_3 >= 1) or ((BeginLoop_3_5_4 >= 1) or ((BeginLoop_2_5_4 >= 1) or ((BeginLoop_1_5_4 >= 1) or ((BeginLoop_0_5_4 >= 1) or ((BeginLoop_2_5_2 >= 1) or ((BeginLoop_3_5_2 >= 1) or ((BeginLoop_4_5_2 >= 1) or ((BeginLoop_5_5_2 >= 1) or ((BeginLoop_6_5_2 >= 1) or ((BeginLoop_0_5_3 >= 1) or ((BeginLoop_1_5_3 >= 1) or ((BeginLoop_2_5_3 >= 1) or ((BeginLoop_1_5_1 >= 1) or ((BeginLoop_2_5_1 >= 1) or ((BeginLoop_3_5_1 >= 1) or ((BeginLoop_4_5_1 >= 1) or ((BeginLoop_5_5_1 >= 1) or ((BeginLoop_6_5_1 >= 1) or ((BeginLoop_0_5_2 >= 1) or ((BeginLoop_1_5_2 >= 1) or ((BeginLoop_1_5_0 >= 1) or ((BeginLoop_0_5_0 >= 1) or ((BeginLoop_3_5_0 >= 1) or ((BeginLoop_2_5_0 >= 1) or ((BeginLoop_5_5_0 >= 1) or ((BeginLoop_4_5_0 >= 1) or ((BeginLoop_0_5_1 >= 1) or ((BeginLoop_6_5_0 >= 1) or ((BeginLoop_0_4_6 >= 1) or ((BeginLoop_6_4_5 >= 1) or ((BeginLoop_2_4_6 >= 1) or ((BeginLoop_1_4_6 >= 1) or ((BeginLoop_4_4_6 >= 1) or ((BeginLoop_3_4_6 >= 1) or ((BeginLoop_6_4_6 >= 1) or ((BeginLoop_5_4_6 >= 1) or ((BeginLoop_4_4_5 >= 1) or ((BeginLoop_5_4_5 >= 1) or ((BeginLoop_2_4_5 >= 1) or ((BeginLoop_3_4_5 >= 1) or ((BeginLoop_0_4_5 >= 1) or ((BeginLoop_1_4_5 >= 1) or ((BeginLoop_5_4_4 >= 1) or ((BeginLoop_6_4_4 >= 1) or ((BeginLoop_3_4_4 >= 1) or ((BeginLoop_4_4_4 >= 1) or ((BeginLoop_1_4_4 >= 1) or ((BeginLoop_2_4_4 >= 1) or ((BeginLoop_6_4_3 >= 1) or ((BeginLoop_0_4_4 >= 1) or ((BeginLoop_4_4_3 >= 1) or ((BeginLoop_5_4_3 >= 1) or ((BeginLoop_3_4_3 >= 1) or ((BeginLoop_2_4_3 >= 1) or ((BeginLoop_1_4_3 >= 1) or ((BeginLoop_0_4_3 >= 1) or ((BeginLoop_6_4_2 >= 1) or ((BeginLoop_5_4_2 >= 1) or ((BeginLoop_4_4_2 >= 1) or ((BeginLoop_3_4_2 >= 1) or ((BeginLoop_2_4_2 >= 1) or ((BeginLoop_1_4_2 >= 1) or ((BeginLoop_0_4_2 >= 1) or ((BeginLoop_6_4_1 >= 1) or ((BeginLoop_5_4_1 >= 1) or ((BeginLoop_4_4_1 >= 1) or ((BeginLoop_3_4_1 >= 1) or ((BeginLoop_2_4_1 >= 1) or ((BeginLoop_5_4_0 >= 1) or ((BeginLoop_6_4_0 >= 1) or ((BeginLoop_0_4_1 >= 1) or ((BeginLoop_1_4_1 >= 1) or ((BeginLoop_1_4_0 >= 1) or ((BeginLoop_2_4_0 >= 1) or ((BeginLoop_3_4_0 >= 1) or ((BeginLoop_4_4_0 >= 1) or ((BeginLoop_4_3_6 >= 1) or ((BeginLoop_5_3_6 >= 1) or ((BeginLoop_6_3_6 >= 1) or ((BeginLoop_0_4_0 >= 1) or ((BeginLoop_0_3_6 >= 1) or ((BeginLoop_1_3_6 >= 1) or ((BeginLoop_2_3_6 >= 1) or ((BeginLoop_3_3_6 >= 1) or ((BeginLoop_4_3_5 >= 1) or ((BeginLoop_3_3_5 >= 1) or ((BeginLoop_6_3_5 >= 1) or ((BeginLoop_5_3_5 >= 1) or ((BeginLoop_0_3_5 >= 1) or ((BeginLoop_6_3_4 >= 1) or ((BeginLoop_2_3_5 >= 1) or ((BeginLoop_1_3_5 >= 1) or ((BeginLoop_3_3_4 >= 1) or ((BeginLoop_2_3_4 >= 1) or ((BeginLoop_5_3_4 >= 1) or ((BeginLoop_4_3_4 >= 1) or ((BeginLoop_6_3_3 >= 1) or ((BeginLoop_5_3_3 >= 1) or ((BeginLoop_1_3_4 >= 1) or ((BeginLoop_0_3_4 >= 1) or ((BeginLoop_1_0_3 >= 1) or ((BeginLoop_0_0_3 >= 1) or ((BeginLoop_3_0_3 >= 1) or ((BeginLoop_2_0_3 >= 1) or ((BeginLoop_5_0_3 >= 1) or ((BeginLoop_4_0_3 >= 1) or ((BeginLoop_0_0_4 >= 1) or ((BeginLoop_6_0_3 >= 1) or ((BeginLoop_0_0_2 >= 1) or ((BeginLoop_6_0_1 >= 1) or ((BeginLoop_2_0_2 >= 1) or ((BeginLoop_1_0_2 >= 1) or ((BeginLoop_4_0_2 >= 1) or ((BeginLoop_3_0_2 >= 1) or ((BeginLoop_6_0_2 >= 1) or ((BeginLoop_5_0_2 >= 1) or ((BeginLoop_2_0_5 >= 1) or ((BeginLoop_3_0_5 >= 1) or ((BeginLoop_4_0_5 >= 1) or ((BeginLoop_5_0_5 >= 1) or ((BeginLoop_6_0_5 >= 1) or ((BeginLoop_0_0_6 >= 1) or ((BeginLoop_1_0_6 >= 1) or ((BeginLoop_2_0_6 >= 1) or ((BeginLoop_1_0_4 >= 1) or ((BeginLoop_2_0_4 >= 1) or ((BeginLoop_3_0_4 >= 1) or ((BeginLoop_4_0_4 >= 1) or ((BeginLoop_5_0_4 >= 1) or ((BeginLoop_6_0_4 >= 1) or ((BeginLoop_0_0_5 >= 1) or ((BeginLoop_1_0_5 >= 1) or ((BeginLoop_0_0_1 >= 1) or ((BeginLoop_1_0_1 >= 1) or ((BeginLoop_5_0_0 >= 1) or ((BeginLoop_6_0_0 >= 1) or ((BeginLoop_4_0_1 >= 1) or ((BeginLoop_5_0_1 >= 1) or ((BeginLoop_2_0_1 >= 1) or ((BeginLoop_3_0_1 >= 1) or ((BeginLoop_0_0_0 >= 1) or ((BeginLoop_3_0_0 >= 1) or ((BeginLoop_4_0_0 >= 1) or ((BeginLoop_1_0_0 >= 1) or ((BeginLoop_2_0_0 >= 1) or ((BeginLoop_1_2_6 >= 1) or ((BeginLoop_2_2_6 >= 1) or ((BeginLoop_3_2_6 >= 1) or ((BeginLoop_4_2_6 >= 1) or ((BeginLoop_5_2_6 >= 1) or ((BeginLoop_6_2_6 >= 1) or ((BeginLoop_0_3_0 >= 1) or ((BeginLoop_1_3_0 >= 1) or ((BeginLoop_2_3_0 >= 1) or ((BeginLoop_3_3_0 >= 1) or ((BeginLoop_4_3_0 >= 1) or ((BeginLoop_5_3_0 >= 1) or ((BeginLoop_6_3_0 >= 1) or ((BeginLoop_0_3_1 >= 1) or ((BeginLoop_1_3_1 >= 1) or ((BeginLoop_2_3_1 >= 1) or ((BeginLoop_4_3_1 >= 1) or ((BeginLoop_3_3_1 >= 1) or ((BeginLoop_6_3_1 >= 1) or ((BeginLoop_5_3_1 >= 1) or ((BeginLoop_1_3_2 >= 1) or ((BeginLoop_0_3_2 >= 1) or ((BeginLoop_3_3_2 >= 1) or ((BeginLoop_2_3_2 >= 1) or ((BeginLoop_5_3_2 >= 1) or ((BeginLoop_4_3_2 >= 1) or ((BeginLoop_0_3_3 >= 1) or ((BeginLoop_6_3_2 >= 1) or ((BeginLoop_2_3_3 >= 1) or ((BeginLoop_1_3_3 >= 1) or ((BeginLoop_4_3_3 >= 1) or ((BeginLoop_3_3_3 >= 1) or ((BeginLoop_6_2_1 >= 1) or ((BeginLoop_0_2_2 >= 1) or ((BeginLoop_4_2_1 >= 1) or ((BeginLoop_5_2_1 >= 1) or ((BeginLoop_3_2_2 >= 1) or ((BeginLoop_4_2_2 >= 1) or ((BeginLoop_1_2_2 >= 1) or ((BeginLoop_2_2_2 >= 1) or ((BeginLoop_0_2_3 >= 1) or ((BeginLoop_1_2_3 >= 1) or ((BeginLoop_5_2_2 >= 1) or ((BeginLoop_6_2_2 >= 1) or ((BeginLoop_4_2_3 >= 1) or ((BeginLoop_5_2_3 >= 1) or ((BeginLoop_2_2_3 >= 1) or ((BeginLoop_3_2_3 >= 1) or ((BeginLoop_2_2_4 >= 1) or ((BeginLoop_1_2_4 >= 1) or ((BeginLoop_0_2_4 >= 1) or ((BeginLoop_6_2_3 >= 1) or ((BeginLoop_6_2_4 >= 1) or ((BeginLoop_5_2_4 >= 1) or ((BeginLoop_4_2_4 >= 1) or ((BeginLoop_3_2_4 >= 1) or ((BeginLoop_3_2_5 >= 1) or ((BeginLoop_2_2_5 >= 1) or ((BeginLoop_1_2_5 >= 1) or ((BeginLoop_0_2_5 >= 1) or ((BeginLoop_0_2_6 >= 1) or ((BeginLoop_6_2_5 >= 1) or ((BeginLoop_5_2_5 >= 1) or ((BeginLoop_4_2_5 >= 1) or ((BeginLoop_4_1_4 >= 1) or ((BeginLoop_5_1_4 >= 1) or ((BeginLoop_6_1_4 >= 1) or ((BeginLoop_0_1_5 >= 1) or ((BeginLoop_0_1_4 >= 1) or ((BeginLoop_1_1_4 >= 1) or ((BeginLoop_2_1_4 >= 1) or ((BeginLoop_3_1_4 >= 1) or ((BeginLoop_5_1_5 >= 1) or ((BeginLoop_6_1_5 >= 1) or ((BeginLoop_0_1_6 >= 1) or ((BeginLoop_1_1_6 >= 1) or ((BeginLoop_1_1_5 >= 1) or ((BeginLoop_2_1_5 >= 1) or ((BeginLoop_3_1_5 >= 1) or ((BeginLoop_4_1_5 >= 1) or ((BeginLoop_0_2_0 >= 1) or ((BeginLoop_6_1_6 >= 1) or ((BeginLoop_2_2_0 >= 1) or ((BeginLoop_1_2_0 >= 1) or ((BeginLoop_3_1_6 >= 1) or ((BeginLoop_2_1_6 >= 1) or ((BeginLoop_5_1_6 >= 1) or ((BeginLoop_4_1_6 >= 1) or ((BeginLoop_1_2_1 >= 1) or ((BeginLoop_0_2_1 >= 1) or ((BeginLoop_3_2_1 >= 1) or ((BeginLoop_2_2_1 >= 1) or ((BeginLoop_4_2_0 >= 1) or ((BeginLoop_3_2_0 >= 1) or ((BeginLoop_6_2_0 >= 1) or ((BeginLoop_5_2_0 >= 1) or ((BeginLoop_2_1_0 >= 1) or ((BeginLoop_3_1_0 >= 1) or ((BeginLoop_0_1_0 >= 1) or ((BeginLoop_1_1_0 >= 1) or ((BeginLoop_5_0_6 >= 1) or ((BeginLoop_6_0_6 >= 1) or ((BeginLoop_3_0_6 >= 1) or ((BeginLoop_4_0_6 >= 1) or ((BeginLoop_3_1_1 >= 1) or ((BeginLoop_4_1_1 >= 1) or ((BeginLoop_1_1_1 >= 1) or ((BeginLoop_2_1_1 >= 1) or ((BeginLoop_6_1_0 >= 1) or ((BeginLoop_0_1_1 >= 1) or ((BeginLoop_4_1_0 >= 1) or ((BeginLoop_5_1_0 >= 1) or ((BeginLoop_5_1_2 >= 1) or ((BeginLoop_4_1_2 >= 1) or ((BeginLoop_3_1_2 >= 1) or ((BeginLoop_2_1_2 >= 1) or ((BeginLoop_1_1_2 >= 1) or ((BeginLoop_0_1_2 >= 1) or ((BeginLoop_6_1_1 >= 1) or ((BeginLoop_5_1_1 >= 1) or ((BeginLoop_6_1_3 >= 1) or ((BeginLoop_5_1_3 >= 1) or ((BeginLoop_4_1_3 >= 1) or ((BeginLoop_3_1_3 >= 1) or ((BeginLoop_2_1_3 >= 1) or ((BeginLoop_1_1_3 >= 1) or ((BeginLoop_0_1_3 >= 1) or (BeginLoop_6_1_2 >= 1)))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))) and ((BeginLoop_6_5_6 < 1) and ((BeginLoop_0_5_6 < 1) and ((BeginLoop_1_5_6 < 1) and ((BeginLoop_5_5_5 < 1) and ((BeginLoop_6_5_5 < 1) and ((BeginLoop_4_5_6 < 1) and ((BeginLoop_5_5_6 < 1) and ((BeginLoop_2_5_6 < 1) and ((BeginLoop_3_5_6 < 1) and ((BeginLoop_0_5_5 < 1) and ((BeginLoop_6_5_4 < 1) and ((BeginLoop_5_5_4 < 1) and ((BeginLoop_4_5_4 < 1) and ((BeginLoop_4_5_5 < 1) and ((BeginLoop_3_5_5 < 1) and ((BeginLoop_2_5_5 < 1) and ((BeginLoop_1_5_5 < 1) and ((BeginLoop_6_5_3 < 1) and ((BeginLoop_5_5_3 < 1) and ((BeginLoop_4_5_3 < 1) and ((BeginLoop_3_5_3 < 1) and ((BeginLoop_3_5_4 < 1) and ((BeginLoop_2_5_4 < 1) and ((BeginLoop_1_5_4 < 1) and ((BeginLoop_0_5_4 < 1) and ((BeginLoop_2_5_2 < 1) and ((BeginLoop_3_5_2 < 1) and ((BeginLoop_4_5_2 < 1) and ((BeginLoop_5_5_2 < 1) and ((BeginLoop_6_5_2 < 1) and ((BeginLoop_0_5_3 < 1) and ((BeginLoop_1_5_3 < 1) and ((BeginLoop_2_5_3 < 1) and ((BeginLoop_1_5_1 < 1) and ((BeginLoop_2_5_1 < 1) and ((BeginLoop_3_5_1 < 1) and ((BeginLoop_4_5_1 < 1) and ((BeginLoop_5_5_1 < 1) and ((BeginLoop_6_5_1 < 1) and ((BeginLoop_0_5_2 < 1) and ((BeginLoop_1_5_2 < 1) and ((BeginLoop_1_5_0 < 1) and ((BeginLoop_0_5_0 < 1) and ((BeginLoop_3_5_0 < 1) and ((BeginLoop_2_5_0 < 1) and ((BeginLoop_5_5_0 < 1) and ((BeginLoop_4_5_0 < 1) and ((BeginLoop_0_5_1 < 1) and ((BeginLoop_6_5_0 < 1) and ((BeginLoop_0_4_6 < 1) and ((BeginLoop_6_4_5 < 1) and ((BeginLoop_2_4_6 < 1) and ((BeginLoop_1_4_6 < 1) and ((BeginLoop_4_4_6 < 1) and ((BeginLoop_3_4_6 < 1) and ((BeginLoop_6_4_6 < 1) and ((BeginLoop_5_4_6 < 1) and ((BeginLoop_4_4_5 < 1) and ((BeginLoop_5_4_5 < 1) and ((BeginLoop_2_4_5 < 1) and ((BeginLoop_3_4_5 < 1) and ((BeginLoop_0_4_5 < 1) and ((BeginLoop_1_4_5 < 1) and ((BeginLoop_5_4_4 < 1) and ((BeginLoop_6_4_4 < 1) and ((BeginLoop_3_4_4 < 1) and ((BeginLoop_4_4_4 < 1) and ((BeginLoop_1_4_4 < 1) and ((BeginLoop_2_4_4 < 1) and ((BeginLoop_6_4_3 < 1) and ((BeginLoop_0_4_4 < 1) and ((BeginLoop_4_4_3 < 1) and ((BeginLoop_5_4_3 < 1) and ((BeginLoop_3_4_3 < 1) and ((BeginLoop_2_4_3 < 1) and ((BeginLoop_1_4_3 < 1) and ((BeginLoop_0_4_3 < 1) and ((BeginLoop_6_4_2 < 1) and ((BeginLoop_5_4_2 < 1) and ((BeginLoop_4_4_2 < 1) and ((BeginLoop_3_4_2 < 1) and ((BeginLoop_2_4_2 < 1) and ((BeginLoop_1_4_2 < 1) and ((BeginLoop_0_4_2 < 1) and ((BeginLoop_6_4_1 < 1) and ((BeginLoop_5_4_1 < 1) and ((BeginLoop_4_4_1 < 1) and ((BeginLoop_3_4_1 < 1) and ((BeginLoop_2_4_1 < 1) and ((BeginLoop_5_4_0 < 1) and ((BeginLoop_6_4_0 < 1) and ((BeginLoop_0_4_1 < 1) and ((BeginLoop_1_4_1 < 1) and ((BeginLoop_1_4_0 < 1) and ((BeginLoop_2_4_0 < 1) and ((BeginLoop_3_4_0 < 1) and ((BeginLoop_4_4_0 < 1) and ((BeginLoop_4_3_6 < 1) and ((BeginLoop_5_3_6 < 1) and ((BeginLoop_6_3_6 < 1) and ((BeginLoop_0_4_0 < 1) and ((BeginLoop_0_3_6 < 1) and ((BeginLoop_1_3_6 < 1) and ((BeginLoop_2_3_6 < 1) and ((BeginLoop_3_3_6 < 1) and ((BeginLoop_4_3_5 < 1) and ((BeginLoop_3_3_5 < 1) and ((BeginLoop_6_3_5 < 1) and ((BeginLoop_5_3_5 < 1) and ((BeginLoop_0_3_5 < 1) and ((BeginLoop_6_3_4 < 1) and ((BeginLoop_2_3_5 < 1) and ((BeginLoop_1_3_5 < 1) and ((BeginLoop_3_3_4 < 1) and ((BeginLoop_2_3_4 < 1) and ((BeginLoop_5_3_4 < 1) and ((BeginLoop_4_3_4 < 1) and ((BeginLoop_6_3_3 < 1) and ((BeginLoop_5_3_3 < 1) and ((BeginLoop_1_3_4 < 1) and ((BeginLoop_0_3_4 < 1) and ((BeginLoop_1_0_3 < 1) and ((BeginLoop_0_0_3 < 1) and ((BeginLoop_3_0_3 < 1) and ((BeginLoop_2_0_3 < 1) and ((BeginLoop_5_0_3 < 1) and ((BeginLoop_4_0_3 < 1) and ((BeginLoop_0_0_4 < 1) and ((BeginLoop_6_0_3 < 1) and ((BeginLoop_0_0_2 < 1) and ((BeginLoop_6_0_1 < 1) and ((BeginLoop_2_0_2 < 1) and ((BeginLoop_1_0_2 < 1) and ((BeginLoop_4_0_2 < 1) and ((BeginLoop_3_0_2 < 1) and ((BeginLoop_6_0_2 < 1) and ((BeginLoop_5_0_2 < 1) and ((BeginLoop_2_0_5 < 1) and ((BeginLoop_3_0_5 < 1) and ((BeginLoop_4_0_5 < 1) and ((BeginLoop_5_0_5 < 1) and ((BeginLoop_6_0_5 < 1) and ((BeginLoop_0_0_6 < 1) and ((BeginLoop_1_0_6 < 1) and ((BeginLoop_2_0_6 < 1) and ((BeginLoop_1_0_4 < 1) and ((BeginLoop_2_0_4 < 1) and ((BeginLoop_3_0_4 < 1) and ((BeginLoop_4_0_4 < 1) and ((BeginLoop_5_0_4 < 1) and ((BeginLoop_6_0_4 < 1) and ((BeginLoop_0_0_5 < 1) and ((BeginLoop_1_0_5 < 1) and ((BeginLoop_0_0_1 < 1) and ((BeginLoop_1_0_1 < 1) and ((BeginLoop_5_0_0 < 1) and ((BeginLoop_6_0_0 < 1) and ((BeginLoop_4_0_1 < 1) and ((BeginLoop_5_0_1 < 1) and ((BeginLoop_2_0_1 < 1) and ((BeginLoop_3_0_1 < 1) and ((BeginLoop_0_0_0 < 1) and ((BeginLoop_3_0_0 < 1) and ((BeginLoop_4_0_0 < 1) and ((BeginLoop_1_0_0 < 1) and ((BeginLoop_2_0_0 < 1) and ((BeginLoop_1_2_6 < 1) and ((BeginLoop_2_2_6 < 1) and ((BeginLoop_3_2_6 < 1) and ((BeginLoop_4_2_6 < 1) and ((BeginLoop_5_2_6 < 1) and ((BeginLoop_6_2_6 < 1) and ((BeginLoop_0_3_0 < 1) and ((BeginLoop_1_3_0 < 1) and ((BeginLoop_2_3_0 < 1) and ((BeginLoop_3_3_0 < 1) and ((BeginLoop_4_3_0 < 1) and ((BeginLoop_5_3_0 < 1) and ((BeginLoop_6_3_0 < 1) and ((BeginLoop_0_3_1 < 1) and ((BeginLoop_1_3_1 < 1) and ((BeginLoop_2_3_1 < 1) and ((BeginLoop_4_3_1 < 1) and ((BeginLoop_3_3_1 < 1) and ((BeginLoop_6_3_1 < 1) and ((BeginLoop_5_3_1 < 1) and ((BeginLoop_1_3_2 < 1) and ((BeginLoop_0_3_2 < 1) and ((BeginLoop_3_3_2 < 1) and ((BeginLoop_2_3_2 < 1) and ((BeginLoop_5_3_2 < 1) and ((BeginLoop_4_3_2 < 1) and ((BeginLoop_0_3_3 < 1) and ((BeginLoop_6_3_2 < 1) and ((BeginLoop_2_3_3 < 1) and ((BeginLoop_1_3_3 < 1) and ((BeginLoop_4_3_3 < 1) and ((BeginLoop_3_3_3 < 1) and ((BeginLoop_6_2_1 < 1) and ((BeginLoop_0_2_2 < 1) and ((BeginLoop_4_2_1 < 1) and ((BeginLoop_5_2_1 < 1) and ((BeginLoop_3_2_2 < 1) and ((BeginLoop_4_2_2 < 1) and ((BeginLoop_1_2_2 < 1) and ((BeginLoop_2_2_2 < 1) and ((BeginLoop_0_2_3 < 1) and ((BeginLoop_1_2_3 < 1) and ((BeginLoop_5_2_2 < 1) and ((BeginLoop_6_2_2 < 1) and ((BeginLoop_4_2_3 < 1) and ((BeginLoop_5_2_3 < 1) and ((BeginLoop_2_2_3 < 1) and ((BeginLoop_3_2_3 < 1) and ((BeginLoop_2_2_4 < 1) and ((BeginLoop_1_2_4 < 1) and ((BeginLoop_0_2_4 < 1) and ((BeginLoop_6_2_3 < 1) and ((BeginLoop_6_2_4 < 1) and ((BeginLoop_5_2_4 < 1) and ((BeginLoop_4_2_4 < 1) and ((BeginLoop_3_2_4 < 1) and ((BeginLoop_3_2_5 < 1) and ((BeginLoop_2_2_5 < 1) and ((BeginLoop_1_2_5 < 1) and ((BeginLoop_0_2_5 < 1) and ((BeginLoop_0_2_6 < 1) and ((BeginLoop_6_2_5 < 1) and ((BeginLoop_5_2_5 < 1) and ((BeginLoop_4_2_5 < 1) and ((BeginLoop_4_1_4 < 1) and ((BeginLoop_5_1_4 < 1) and ((BeginLoop_6_1_4 < 1) and ((BeginLoop_0_1_5 < 1) and ((BeginLoop_0_1_4 < 1) and ((BeginLoop_1_1_4 < 1) and ((BeginLoop_2_1_4 < 1) and ((BeginLoop_3_1_4 < 1) and ((BeginLoop_5_1_5 < 1) and ((BeginLoop_6_1_5 < 1) and ((BeginLoop_0_1_6 < 1) and ((BeginLoop_1_1_6 < 1) and ((BeginLoop_1_1_5 < 1) and ((BeginLoop_2_1_5 < 1) and ((BeginLoop_3_1_5 < 1) and ((BeginLoop_4_1_5 < 1) and ((BeginLoop_0_2_0 < 1) and ((BeginLoop_6_1_6 < 1) and ((BeginLoop_2_2_0 < 1) and ((BeginLoop_1_2_0 < 1) and ((BeginLoop_3_1_6 < 1) and ((BeginLoop_2_1_6 < 1) and ((BeginLoop_5_1_6 < 1) and ((BeginLoop_4_1_6 < 1) and ((BeginLoop_1_2_1 < 1) and ((BeginLoop_0_2_1 < 1) and ((BeginLoop_3_2_1 < 1) and ((BeginLoop_2_2_1 < 1) and ((BeginLoop_4_2_0 < 1) and ((BeginLoop_3_2_0 < 1) and ((BeginLoop_6_2_0 < 1) and ((BeginLoop_5_2_0 < 1) and ((BeginLoop_2_1_0 < 1) and ((BeginLoop_3_1_0 < 1) and ((BeginLoop_0_1_0 < 1) and ((BeginLoop_1_1_0 < 1) and ((BeginLoop_5_0_6 < 1) and ((BeginLoop_6_0_6 < 1) and ((BeginLoop_3_0_6 < 1) and ((BeginLoop_4_0_6 < 1) and ((BeginLoop_3_1_1 < 1) and ((BeginLoop_4_1_1 < 1) and ((BeginLoop_1_1_1 < 1) and ((BeginLoop_2_1_1 < 1) and ((BeginLoop_6_1_0 < 1) and ((BeginLoop_0_1_1 < 1) and ((BeginLoop_4_1_0 < 1) and ((BeginLoop_5_1_0 < 1) and ((BeginLoop_5_1_2 < 1) and ((BeginLoop_4_1_2 < 1) and ((BeginLoop_3_1_2 < 1) and ((BeginLoop_2_1_2 < 1) and ((BeginLoop_1_1_2 < 1) and ((BeginLoop_0_1_2 < 1) and ((BeginLoop_6_1_1 < 1) and ((BeginLoop_5_1_1 < 1) and ((BeginLoop_6_1_3 < 1) and ((BeginLoop_5_1_3 < 1) and ((BeginLoop_4_1_3 < 1) and ((BeginLoop_3_1_3 < 1) and ((BeginLoop_2_1_3 < 1) and ((BeginLoop_1_1_3 < 1) and ((BeginLoop_0_1_3 < 1) and (BeginLoop_6_1_2 < 1))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))) and ((EndTurn_6_4 >= 1) or ((EndTurn_2_4 >= 1) or ((EndTurn_3_4 >= 1) or ((EndTurn_4_4 >= 1) or ((EndTurn_5_4 >= 1) or ((EndTurn_5_2 >= 1) or ((EndTurn_4_2 >= 1) or ((EndTurn_0_3 >= 1) or ((EndTurn_6_2 >= 1) or ((EndTurn_1_2 >= 1) or ((EndTurn_0_2 >= 1) or ((EndTurn_3_2 >= 1) or ((EndTurn_2_2 >= 1) or ((EndTurn_6_3 >= 1) or ((EndTurn_5_3 >= 1) or ((EndTurn_1_4 >= 1) or ((EndTurn_0_4 >= 1) or ((EndTurn_2_3 >= 1) or ((EndTurn_1_3 >= 1) or ((EndTurn_4_3 >= 1) or ((EndTurn_3_3 >= 1) or ((EndTurn_0_1 >= 1) or ((EndTurn_6_0 >= 1) or ((EndTurn_2_1 >= 1) or ((EndTurn_1_1 >= 1) or ((EndTurn_4_1 >= 1) or ((EndTurn_3_1 >= 1) or ((EndTurn_6_1 >= 1) or ((EndTurn_5_1 >= 1) or ((EndTurn_1_0 >= 1) or ((EndTurn_0_0 >= 1) or ((EndTurn_3_0 >= 1) or ((EndTurn_2_0 >= 1) or ((EndTurn_5_0 >= 1) or (EndTurn_4_0 >= 1)))))))))))))))))))))))))))))))))))) and (not ((EndTurn_6_4 >= 1) or ((EndTurn_2_4 >= 1) or ((EndTurn_3_4 >= 1) or ((EndTurn_4_4 >= 1) or ((EndTurn_5_4 >= 1) or ((EndTurn_5_2 >= 1) or ((EndTurn_4_2 >= 1) or ((EndTurn_0_3 >= 1) or ((EndTurn_6_2 >= 1) or ((EndTurn_1_2 >= 1) or ((EndTurn_0_2 >= 1) or ((EndTurn_3_2 >= 1) or ((EndTurn_2_2 >= 1) or ((EndTurn_6_3 >= 1) or ((EndTurn_5_3 >= 1) or ((EndTurn_1_4 >= 1) or ((EndTurn_0_4 >= 1) or ((EndTurn_2_3 >= 1) or ((EndTurn_1_3 >= 1) or ((EndTurn_4_3 >= 1) or ((EndTurn_3_3 >= 1) or ((EndTurn_0_1 >= 1) or ((EndTurn_6_0 >= 1) or ((EndTurn_2_1 >= 1) or ((EndTurn_1_1 >= 1) or ((EndTurn_4_1 >= 1) or ((EndTurn_3_1 >= 1) or ((EndTurn_6_1 >= 1) or ((EndTurn_5_1 >= 1) or ((EndTurn_1_0 >= 1) or ((EndTurn_0_0 >= 1) or ((EndTurn_3_0 >= 1) or ((EndTurn_2_0 >= 1) or ((EndTurn_5_0 >= 1) or (EndTurn_4_0 >= 1)))))))))))))))))))))))))))))))))))))
Query size reduced from 2632 to 2632 nodes (0.00 percent reduction).
Query reduction reached timeout.
Size of net before structural reductions: 1330 places, 2030 transitions
Size of net after structural reductions: 1022 places, 1722 transitions
Structural reduction finished after 0.024366 seconds

Net reduction is enabled.
Removed transitions: 308
Removed places: 308
Applications of rule A: 308
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0


------------------- QUERY 3 ----------------------
Solution found
perl: warning: Setting locale failed.
perl: warning: Please check that your locale settings:
LANGUAGE = (unset),
LC_ALL = (unset),
LANG = "en_US.UTF-8"
are supported and installed on your system.
perl: warning: Falling back to the standard locale ("C").
perl: warning: Setting locale failed.
perl: warning: Please check that your locale settings:
LANGUAGE = (unset),
LC_ALL = (unset),
LANG = "en_US.UTF-8"
are supported and installed on your system.
perl: warning: Falling back to the standard locale ("C").

Parameters: -s BestFS -d 10 ./model.pnml ./ReachabilityFireability.xml -x 3

Parameters: -s BestFS -q 0 -d 10 ./model.pnml ./ReachabilityFireability.xml -x 3

Parameters: -s BFS -q 0 -d 10 ./model.pnml ./ReachabilityFireability.xml -x 3

Parameters: -s DFS -q 0 -d 10 ./model.pnml ./ReachabilityFireability.xml -x 3

Search=HEUR,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=ENABLED,QSTimeout=30,Siphon_Trap=DISABLED,LPSolve_Timeout=10


Search=HEUR,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=DISABLED,Siphon_Trap=DISABLED,LPSolve_Timeout=10
Size of net before structural reductions: 1330 places, 2030 transitions
Size of net after structural reductions: 658 places, 1358 transitions
Structural reduction finished after 0.0151 seconds

Net reduction is enabled.
Removed transitions: 672
Removed places: 672
Applications of rule A: 672
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0

Search=BFS,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=DISABLED,Siphon_Trap=DISABLED,LPSolve_Timeout=10
Size of net before structural reductions: 1330 places, 2030 transitions
Size of net after structural reductions: 658 places, 1358 transitions
Structural reduction finished after 0.014911 seconds

Net reduction is enabled.
Removed transitions: 672
Removed places: 672
Applications of rule A: 672
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0


Query is satisfied.

STATS:
discovered states: 35
explored states: 35
expanded states: 4
max tokens: 20

parallel: This job succeeded:
/home/mcc/BenchKit/start.sh 14500000 /home/mcc/BenchKit/bin/verifypn-linux64 -s\ BestFS\ -q\ 0\ -d\ 10 ./model.pnml ./ReachabilityFireability.xml -x 3

FORMULA Peterson-COL-6-ReachabilityFireability-2 TRUE TECHNIQUES COLLATERAL_PROCESSING EXPLICIT STRUCTURAL_REDUCTION STATE_COMPRESSION STUBBORN_SETS
------------------- QUERY 4 ----------------------
Solution found
perl: warning: Setting locale failed.
perl: warning: Please check that your locale settings:
LANGUAGE = (unset),
LC_ALL = (unset),
LANG = "en_US.UTF-8"
are supported and installed on your system.
perl: warning: Falling back to the standard locale ("C").
perl: warning: Setting locale failed.
perl: warning: Please check that your locale settings:
LANGUAGE = (unset),
LC_ALL = (unset),
LANG = "en_US.UTF-8"
are supported and installed on your system.
perl: warning: Falling back to the standard locale ("C").

Parameters: -s BestFS -d 10 ./model.pnml ./ReachabilityFireability.xml -x 4

Parameters: -s BFS -q 0 -d 10 ./model.pnml ./ReachabilityFireability.xml -x 4

Parameters: -s BestFS -q 0 -d 10 ./model.pnml ./ReachabilityFireability.xml -x 4

Parameters: -s DFS -q 0 -d 10 ./model.pnml ./ReachabilityFireability.xml -x 4

Search=HEUR,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=ENABLED,QSTimeout=30,Siphon_Trap=DISABLED,LPSolve_Timeout=10


Search=BFS,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=DISABLED,Siphon_Trap=DISABLED,LPSolve_Timeout=10
Size of net before structural reductions: 1330 places, 2030 transitions
Size of net after structural reductions: 1274 places, 1974 transitions
Structural reduction finished after 0.052546 seconds

Net reduction is enabled.
Removed transitions: 56
Removed places: 56
Applications of rule A: 56
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0

Search=HEUR,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=DISABLED,Siphon_Trap=DISABLED,LPSolve_Timeout=10
Size of net before structural reductions: 1330 places, 2030 transitions
Size of net after structural reductions: 1274 places, 1974 transitions
Structural reduction finished after 0.052618 seconds

Net reduction is enabled.
Removed transitions: 56
Removed places: 56
Applications of rule A: 56
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0

Search=DFS,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=DISABLED,Siphon_Trap=DISABLED,LPSolve_Timeout=10
Size of net before structural reductions: 1330 places, 2030 transitions
Size of net after structural reductions: 1274 places, 1974 transitions
Structural reduction finished after 0.055267 seconds

Net reduction is enabled.
Removed transitions: 56
Removed places: 56
Applications of rule A: 56
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0


Query is NOT satisfied.

STATS:
discovered states: 211
explored states: 203
expanded states: 35
max tokens: 20

parallel: This job succeeded:
/home/mcc/BenchKit/start.sh 14500000 /home/mcc/BenchKit/bin/verifypn-linux64 -s\ BestFS\ -q\ 0\ -d\ 10 ./model.pnml ./ReachabilityFireability.xml -x 4

FORMULA Peterson-COL-6-ReachabilityFireability-3 FALSE TECHNIQUES COLLATERAL_PROCESSING EXPLICIT STRUCTURAL_REDUCTION STATE_COMPRESSION STUBBORN_SETS
------------------- QUERY 5 ----------------------
Solution found
perl: warning: Setting locale failed.
perl: warning: Please check that your locale settings:
LANGUAGE = (unset),
LC_ALL = (unset),
LANG = "en_US.UTF-8"
are supported and installed on your system.
perl: warning: Falling back to the standard locale ("C").
perl: warning: Setting locale failed.
perl: warning: Please check that your locale settings:
LANGUAGE = (unset),
LC_ALL = (unset),
LANG = "en_US.UTF-8"
are supported and installed on your system.
perl: warning: Falling back to the standard locale ("C").

Parameters: -s BestFS -d 10 ./model.pnml ./ReachabilityFireability.xml -x 5

Parameters: -s BestFS -q 0 -d 10 ./model.pnml ./ReachabilityFireability.xml -x 5

Parameters: -s BFS -q 0 -d 10 ./model.pnml ./ReachabilityFireability.xml -x 5

Parameters: -s DFS -q 0 -d 10 ./model.pnml ./ReachabilityFireability.xml -x 5

Search=HEUR,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=DISABLED,Siphon_Trap=DISABLED,LPSolve_Timeout=10
Size of net before structural reductions: 1330 places, 2030 transitions
Size of net after structural reductions: 406 places, 1106 transitions
Structural reduction finished after 0.017893 seconds

Net reduction is enabled.
Removed transitions: 924
Removed places: 924
Applications of rule A: 924
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0


Query is NOT satisfied.

STATS:
discovered states: 119
explored states: 113
expanded states: 16
max tokens: 20


Search=BFS,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=DISABLED,Siphon_Trap=DISABLED,LPSolve_Timeout=10
Size of net before structural reductions: 1330 places, 2030 transitions
Size of net after structural reductions: 406 places, 1106 transitions
Structural reduction finished after 0.017711 seconds

Net reduction is enabled.
Removed transitions: 924
Removed places: 924
Applications of rule A: 924
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0
parallel: This job succeeded:
/home/mcc/BenchKit/start.sh 14500000 /home/mcc/BenchKit/bin/verifypn-linux64 -s\ BestFS\ -q\ 0\ -d\ 10 ./model.pnml ./ReachabilityFireability.xml -x 5

FORMULA Peterson-COL-6-ReachabilityFireability-4 FALSE TECHNIQUES COLLATERAL_PROCESSING EXPLICIT STRUCTURAL_REDUCTION STATE_COMPRESSION STUBBORN_SETS
------------------- QUERY 6 ----------------------
No solution found
perl: warning: Setting locale failed.
perl: warning: Please check that your locale settings:
LANGUAGE = (unset),
LC_ALL = (unset),
LANG = "en_US.UTF-8"
are supported and installed on your system.
perl: warning: Falling back to the standard locale ("C").
perl: warning: Setting locale failed.
perl: warning: Please check that your locale settings:
LANGUAGE = (unset),
LC_ALL = (unset),
LANG = "en_US.UTF-8"
are supported and installed on your system.
perl: warning: Falling back to the standard locale ("C").

Parameters: -s BestFS -q 0 -d 10 ./model.pnml ./ReachabilityFireability.xml -x 6

Parameters: -s BestFS -d 10 ./model.pnml ./ReachabilityFireability.xml -x 6

Parameters: -s BFS -q 0 -d 10 ./model.pnml ./ReachabilityFireability.xml -x 6

Parameters: -s DFS -q 0 -d 10 ./model.pnml ./ReachabilityFireability.xml -x 6

Search=HEUR,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=ENABLED,QSTimeout=30,Siphon_Trap=DISABLED,LPSolve_Timeout=10


Search=HEUR,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=DISABLED,Siphon_Trap=DISABLED,LPSolve_Timeout=10
Size of net before structural reductions: 1330 places, 2030 transitions
Size of net after structural reductions: 700 places, 1400 transitions
Structural reduction finished after 0.025584 seconds

Net reduction is enabled.
Removed transitions: 630
Removed places: 630
Applications of rule A: 630
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0

Search=BFS,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=DISABLED,Siphon_Trap=DISABLED,LPSolve_Timeout=10
Size of net before structural reductions: 1330 places, 2030 transitions
Size of net after structural reductions: 700 places, 1400 transitions
Structural reduction finished after 0.02565 seconds

Net reduction is enabled.
Removed transitions: 630
Removed places: 630
Applications of rule A: 630
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0

Search=DFS,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=DISABLED,Siphon_Trap=DISABLED,LPSolve_Timeout=10
Size of net before structural reductions: 1330 places, 2030 transitions
Size of net after structural reductions: 700 places, 1400 transitions
Structural reduction finished after 0.029889 seconds

Net reduction is enabled.
Removed transitions: 630
Removed places: 630
Applications of rule A: 630
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0
Query before reduction: AG (not ((((((AskForSection_0_3 >= 1) and (Turn_3_5 >= 1)) or (((Turn_3_5 >= 1) and (AskForSection_1_3 >= 1)) or (((AskForSection_2_3 >= 1) and (Turn_3_5 >= 1)) or (((Turn_3_5 >= 1) and (AskForSection_3_3 >= 1)) or (((AskForSection_3_3 >= 1) and (Turn_3_4 >= 1)) or (((Turn_3_4 >= 1) and (AskForSection_4_3 >= 1)) or (((AskForSection_5_3 >= 1) and (Turn_3_4 >= 1)) or (((Turn_3_4 >= 1) and (AskForSection_6_3 >= 1)) or (((AskForSection_6_3 >= 1) and (Turn_3_3 >= 1)) or (((Turn_3_4 >= 1) and (AskForSection_0_3 >= 1)) or (((AskForSection_1_3 >= 1) and (Turn_3_4 >= 1)) or (((Turn_3_4 >= 1) and (AskForSection_2_3 >= 1)) or (((Turn_3_3 >= 1) and (AskForSection_2_3 >= 1)) or (((Turn_3_3 >= 1) and (AskForSection_3_3 >= 1)) or (((Turn_3_3 >= 1) and (AskForSection_4_3 >= 1)) or (((Turn_3_3 >= 1) and (AskForSection_5_3 >= 1)) or (((Turn_3_2 >= 1) and (AskForSection_6_3 >= 1)) or (((Turn_3_2 >= 1) and (AskForSection_5_3 >= 1)) or (((Turn_3_3 >= 1) and (AskForSection_1_3 >= 1)) or (((Turn_3_3 >= 1) and (AskForSection_0_3 >= 1)) or (((Turn_3_2 >= 1) and (AskForSection_2_3 >= 1)) or (((Turn_3_2 >= 1) and (AskForSection_1_3 >= 1)) or (((Turn_3_2 >= 1) and (AskForSection_4_3 >= 1)) or (((Turn_3_2 >= 1) and (AskForSection_3_3 >= 1)) or (((Turn_3_1 >= 1) and (AskForSection_5_3 >= 1)) or (((Turn_3_1 >= 1) and (AskForSection_4_3 >= 1)) or (((Turn_3_2 >= 1) and (AskForSection_0_3 >= 1)) or (((Turn_3_1 >= 1) and (AskForSection_6_3 >= 1)) or (((AskForSection_1_3 >= 1) and (Turn_3_1 >= 1)) or (((AskForSection_0_3 >= 1) and (Turn_3_1 >= 1)) or (((AskForSection_3_3 >= 1) and (Turn_3_1 >= 1)) or (((AskForSection_2_3 >= 1) and (Turn_3_1 >= 1)) or (((Turn_4_2 >= 1) and (AskForSection_6_4 >= 1)) or (((AskForSection_0_4 >= 1) and (Turn_4_3 >= 1)) or (((Turn_4_2 >= 1) and (AskForSection_4_4 >= 1)) or (((Turn_4_2 >= 1) and (AskForSection_5_4 >= 1)) or (((Turn_4_2 >= 1) and (AskForSection_2_4 >= 1)) or (((AskForSection_3_4 >= 1) and (Turn_4_2 >= 1)) or (((Turn_4_2 >= 1) and (AskForSection_0_4 >= 1)) or (((Turn_4_2 >= 1) and (AskForSection_1_4 >= 1)) or (((Turn_4_1 >= 1) and (AskForSection_5_4 >= 1)) or (((AskForSection_6_4 >= 1) and (Turn_4_1 >= 1)) or (((Turn_4_1 >= 1) and (AskForSection_3_4 >= 1)) or (((Turn_4_1 >= 1) and (AskForSection_4_4 >= 1)) or (((AskForSection_1_4 >= 1) and (Turn_4_1 >= 1)) or (((AskForSection_2_4 >= 1) and (Turn_4_1 >= 1)) or (((AskForSection_6_4 >= 1) and (Turn_4_0 >= 1)) or (((Turn_4_1 >= 1) and (AskForSection_0_4 >= 1)) or (((AskForSection_5_4 >= 1) and (Turn_4_0 >= 1)) or (((AskForSection_4_4 >= 1) and (Turn_4_0 >= 1)) or (((Turn_4_0 >= 1) and (AskForSection_3_4 >= 1)) or (((AskForSection_2_4 >= 1) and (Turn_4_0 >= 1)) or (((AskForSection_1_4 >= 1) and (Turn_4_0 >= 1)) or (((AskForSection_0_4 >= 1) and (Turn_4_0 >= 1)) or (((Turn_3_6 >= 1) and (AskForSection_6_3 >= 1)) or (((AskForSection_5_3 >= 1) and (Turn_3_6 >= 1)) or (((AskForSection_4_3 >= 1) and (Turn_3_6 >= 1)) or (((AskForSection_3_3 >= 1) and (Turn_3_6 >= 1)) or (((Turn_3_6 >= 1) and (AskForSection_2_3 >= 1)) or (((AskForSection_1_3 >= 1) and (Turn_3_6 >= 1)) or (((AskForSection_0_3 >= 1) and (Turn_3_6 >= 1)) or (((AskForSection_6_3 >= 1) and (Turn_3_5 >= 1)) or (((Turn_3_5 >= 1) and (AskForSection_5_3 >= 1)) or (((AskForSection_4_3 >= 1) and (Turn_3_5 >= 1)) or (((AskForSection_2_2 >= 1) and (Turn_2_2 >= 1)) or (((Turn_2_2 >= 1) and (AskForSection_3_2 >= 1)) or (((AskForSection_4_2 >= 1) and (Turn_2_2 >= 1)) or (((Turn_2_2 >= 1) and (AskForSection_5_2 >= 1)) or (((AskForSection_6_2 >= 1) and (Turn_2_2 >= 1)) or (((Turn_2_3 >= 1) and (AskForSection_0_2 >= 1)) or (((AskForSection_1_2 >= 1) and (Turn_2_3 >= 1)) or (((AskForSection_2_2 >= 1) and (Turn_2_3 >= 1)) or (((Turn_2_1 >= 1) and (AskForSection_1_2 >= 1)) or (((Turn_2_1 >= 1) and (AskForSection_2_2 >= 1)) or (((Turn_2_1 >= 1) and (AskForSection_3_2 >= 1)) or (((Turn_2_1 >= 1) and (AskForSection_4_2 >= 1)) or (((AskForSection_5_2 >= 1) and (Turn_2_1 >= 1)) or (((Turn_2_1 >= 1) and (AskForSection_6_2 >= 1)) or (((AskForSection_0_2 >= 1) and (Turn_2_2 >= 1)) or (((AskForSection_1_2 >= 1) and (Turn_2_2 >= 1)) or (((Turn_2_0 >= 1) and (AskForSection_1_2 >= 1)) or (((Turn_2_0 >= 1) and (AskForSection_0_2 >= 1)) or (((Turn_2_0 >= 1) and (AskForSection_3_2 >= 1)) or (((Turn_2_0 >= 1) and (AskForSection_2_2 >= 1)) or (((Turn_2_0 >= 1) and (AskForSection_5_2 >= 1)) or (((Turn_2_0 >= 1) and (AskForSection_4_2 >= 1)) or (((AskForSection_0_2 >= 1) and (Turn_2_1 >= 1)) or (((Turn_2_0 >= 1) and (AskForSection_6_2 >= 1)) or (((AskForSection_0_1 >= 1) and (Turn_1_6 >= 1)) or (((AskForSection_6_1 >= 1) and (Turn_1_5 >= 1)) or (((AskForSection_2_1 >= 1) and (Turn_1_6 >= 1)) or (((AskForSection_1_1 >= 1) and (Turn_1_6 >= 1)) or (((Turn_1_6 >= 1) and (AskForSection_4_1 >= 1)) or (((Turn_1_6 >= 1) and (AskForSection_3_1 >= 1)) or (((AskForSection_6_1 >= 1) and (Turn_1_6 >= 1)) or (((Turn_1_6 >= 1) and (AskForSection_5_1 >= 1)) or (((AskForSection_1_3 >= 1) and (Turn_3_0 >= 1)) or (((Turn_3_0 >= 1) and (AskForSection_2_3 >= 1)) or (((AskForSection_6_2 >= 1) and (Turn_2_6 >= 1)) or (((AskForSection_0_3 >= 1) and (Turn_3_0 >= 1)) or (((AskForSection_5_3 >= 1) and (Turn_3_0 >= 1)) or (((Turn_3_0 >= 1) and (AskForSection_6_3 >= 1)) or (((AskForSection_3_3 >= 1) and (Turn_3_0 >= 1)) or (((AskForSection_4_3 >= 1) and (Turn_3_0 >= 1)) or (((Turn_2_6 >= 1) and (AskForSection_0_2 >= 1)) or (((Turn_2_6 >= 1) and (AskForSection_1_2 >= 1)) or (((Turn_2_5 >= 1) and (AskForSection_5_2 >= 1)) or (((AskForSection_6_2 >= 1) and (Turn_2_5 >= 1)) or (((AskForSection_4_2 >= 1) and (Turn_2_6 >= 1)) or (((Turn_2_6 >= 1) and (AskForSection_5_2 >= 1)) or (((AskForSection_2_2 >= 1) and (Turn_2_6 >= 1)) or (((AskForSection_3_2 >= 1) and (Turn_2_6 >= 1)) or (((Turn_2_5 >= 1) and (AskForSection_0_2 >= 1)) or (((Turn_2_4 >= 1) and (AskForSection_6_2 >= 1)) or (((AskForSection_5_2 >= 1) and (Turn_2_4 >= 1)) or (((Turn_2_4 >= 1) and (AskForSection_4_2 >= 1)) or (((Turn_2_5 >= 1) and (AskForSection_4_2 >= 1)) or (((Turn_2_5 >= 1) and (AskForSection_3_2 >= 1)) or (((AskForSection_2_2 >= 1) and (Turn_2_5 >= 1)) or (((Turn_2_5 >= 1) and (AskForSection_1_2 >= 1)) or (((AskForSection_6_2 >= 1) and (Turn_2_3 >= 1)) or (((AskForSection_5_2 >= 1) and (Turn_2_3 >= 1)) or (((Turn_2_3 >= 1) and (AskForSection_4_2 >= 1)) or (((AskForSection_3_2 >= 1) and (Turn_2_3 >= 1)) or (((Turn_2_4 >= 1) and (AskForSection_3_2 >= 1)) or (((Turn_2_4 >= 1) and (AskForSection_2_2 >= 1)) or (((AskForSection_1_2 >= 1) and (Turn_2_4 >= 1)) or (((Turn_2_4 >= 1) and (AskForSection_0_2 >= 1)) or (((AskForSection_6_5 >= 1) and (Turn_5_5 >= 1)) or (((AskForSection_0_5 >= 1) and (Turn_5_6 >= 1)) or (((AskForSection_1_5 >= 1) and (Turn_5_6 >= 1)) or (((Turn_5_6 >= 1) and (AskForSection_2_5 >= 1)) or (((Turn_5_5 >= 1) and (AskForSection_2_5 >= 1)) or (((Turn_5_5 >= 1) and (AskForSection_3_5 >= 1)) or (((Turn_5_5 >= 1) and (AskForSection_4_5 >= 1)) or (((AskForSection_5_5 >= 1) and (Turn_5_5 >= 1)) or (((AskForSection_3_5 >= 1) and (Turn_5_6 >= 1)) or (((AskForSection_4_5 >= 1) and (Turn_5_6 >= 1)) or (((AskForSection_5_5 >= 1) and (Turn_5_6 >= 1)) or (((AskForSection_6_5 >= 1) and (Turn_5_6 >= 1)) or (((AskForSection_4_4 >= 1) and (Turn_4_5 >= 1)) or (((AskForSection_3_4 >= 1) and (Turn_4_5 >= 1)) or (((AskForSection_6_4 >= 1) and (Turn_4_5 >= 1)) or (((AskForSection_5_4 >= 1) and (Turn_4_5 >= 1)) or (((AskForSection_1_4 >= 1) and (Turn_4_6 >= 1)) or (((Turn_4_6 >= 1) and (AskForSection_0_4 >= 1)) or (((AskForSection_3_4 >= 1) and (Turn_4_6 >= 1)) or (((Turn_4_6 >= 1) and (AskForSection_2_4 >= 1)) or (((AskForSection_5_4 >= 1) and (Turn_4_6 >= 1)) or (((Turn_4_6 >= 1) and (AskForSection_4_4 >= 1)) or (((AskForSection_0_5 >= 1) and (Turn_5_0 >= 1)) or (((Turn_4_6 >= 1) and (AskForSection_6_4 >= 1)) or (((AskForSection_2_5 >= 1) and (Turn_5_0 >= 1)) or (((Turn_5_0 >= 1) and (AskForSection_1_5 >= 1)) or (((AskForSection_4_5 >= 1) and (Turn_5_0 >= 1)) or (((Turn_5_0 >= 1) and (AskForSection_3_5 >= 1)) or (((Turn_4_3 >= 1) and (AskForSection_1_4 >= 1)) or (((Turn_4_3 >= 1) and (AskForSection_2_4 >= 1)) or (((Turn_4_3 >= 1) and (AskForSection_3_4 >= 1)) or (((AskForSection_4_4 >= 1) and (Turn_4_3 >= 1)) or (((AskForSection_5_4 >= 1) and (Turn_4_3 >= 1)) or (((AskForSection_6_4 >= 1) and (Turn_4_3 >= 1)) or (((AskForSection_0_4 >= 1) and (Turn_4_4 >= 1)) or (((AskForSection_1_4 >= 1) and (Turn_4_4 >= 1)) or (((AskForSection_2_4 >= 1) and (Turn_4_4 >= 1)) or (((AskForSection_3_4 >= 1) and (Turn_4_4 >= 1)) or (((AskForSection_4_4 >= 1) and (Turn_4_4 >= 1)) or (((AskForSection_5_4 >= 1) and (Turn_4_4 >= 1)) or (((AskForSection_6_4 >= 1) and (Turn_4_4 >= 1)) or (((AskForSection_0_4 >= 1) and (Turn_4_5 >= 1)) or (((AskForSection_1_4 >= 1) and (Turn_4_5 >= 1)) or (((AskForSection_2_4 >= 1) and (Turn_4_5 >= 1)) or (((AskForSection_3_5 >= 1) and (Turn_5_3 >= 1)) or (((AskForSection_2_5 >= 1) and (Turn_5_3 >= 1)) or (((Turn_5_3 >= 1) and (AskForSection_1_5 >= 1)) or (((AskForSection_0_5 >= 1) and (Turn_5_3 >= 1)) or (((Turn_5_4 >= 1) and (AskForSection_0_5 >= 1)) or (((Turn_5_3 >= 1) and (AskForSection_6_5 >= 1)) or (((Turn_5_3 >= 1) and (AskForSection_5_5 >= 1)) or (((Turn_5_3 >= 1) and (AskForSection_4_5 >= 1)) or (((AskForSection_4_5 >= 1) and (Turn_5_4 >= 1)) or (((Turn_5_4 >= 1) and (AskForSection_3_5 >= 1)) or (((Turn_5_4 >= 1) and (AskForSection_2_5 >= 1)) or (((Turn_5_4 >= 1) and (AskForSection_1_5 >= 1)) or (((Turn_5_5 >= 1) and (AskForSection_1_5 >= 1)) or (((Turn_5_5 >= 1) and (AskForSection_0_5 >= 1)) or (((Turn_5_4 >= 1) and (AskForSection_6_5 >= 1)) or (((Turn_5_4 >= 1) and (AskForSection_5_5 >= 1)) or (((Turn_5_1 >= 1) and (AskForSection_0_5 >= 1)) or (((AskForSection_1_5 >= 1) and (Turn_5_1 >= 1)) or (((Turn_5_0 >= 1) and (AskForSection_5_5 >= 1)) or (((AskForSection_6_5 >= 1) and (Turn_5_0 >= 1)) or (((AskForSection_4_5 >= 1) and (Turn_5_1 >= 1)) or (((Turn_5_1 >= 1) and (AskForSection_5_5 >= 1)) or (((AskForSection_2_5 >= 1) and (Turn_5_1 >= 1)) or (((Turn_5_1 >= 1) and (AskForSection_3_5 >= 1)) or (((AskForSection_1_5 >= 1) and (Turn_5_2 >= 1)) or (((AskForSection_2_5 >= 1) and (Turn_5_2 >= 1)) or (((AskForSection_6_5 >= 1) and (Turn_5_1 >= 1)) or (((Turn_5_2 >= 1) and (AskForSection_0_5 >= 1)) or (((AskForSection_5_5 >= 1) and (Turn_5_2 >= 1)) or (((Turn_5_2 >= 1) and (AskForSection_6_5 >= 1)) or (((AskForSection_3_5 >= 1) and (Turn_5_2 >= 1)) or (((Turn_5_2 >= 1) and (AskForSection_4_5 >= 1)) or (((AskForSection_4_0 >= 1) and (Turn_0_4 >= 1)) or (((Turn_0_4 >= 1) and (AskForSection_5_0 >= 1)) or (((AskForSection_2_0 >= 1) and (Turn_0_4 >= 1)) or (((Turn_0_4 >= 1) and (AskForSection_3_0 >= 1)) or (((Turn_0_4 >= 1) and (AskForSection_0_0 >= 1)) or (((AskForSection_1_0 >= 1) and (Turn_0_4 >= 1)) or (((Turn_0_3 >= 1) and (AskForSection_5_0 >= 1)) or (((AskForSection_6_0 >= 1) and (Turn_0_3 >= 1)) or (((AskForSection_5_0 >= 1) and (Turn_0_5 >= 1)) or (((Turn_0_5 >= 1) and (AskForSection_6_0 >= 1)) or (((AskForSection_3_0 >= 1) and (Turn_0_5 >= 1)) or (((Turn_0_5 >= 1) and (AskForSection_4_0 >= 1)) or (((AskForSection_1_0 >= 1) and (Turn_0_5 >= 1)) or (((AskForSection_2_0 >= 1) and (Turn_0_5 >= 1)) or (((AskForSection_6_0 >= 1) and (Turn_0_4 >= 1)) or (((Turn_0_5 >= 1) and (AskForSection_0_0 >= 1)) or (((Turn_1_0 >= 1) and (AskForSection_0_1 >= 1)) or (((Turn_0_6 >= 1) and (AskForSection_6_0 >= 1)) or (((Turn_0_6 >= 1) and (AskForSection_5_0 >= 1)) or (((Turn_0_6 >= 1) and (AskForSection_4_0 >= 1)) or (((Turn_0_6 >= 1) and (AskForSection_3_0 >= 1)) or (((AskForSection_2_0 >= 1) and (Turn_0_6 >= 1)) or (((Turn_0_6 >= 1) and (AskForSection_1_0 >= 1)) or (((AskForSection_0_0 >= 1) and (Turn_0_6 >= 1)) or (((Turn_1_1 >= 1) and (AskForSection_1_1 >= 1)) or (((Turn_1_1 >= 1) and (AskForSection_0_1 >= 1)) or (((Turn_1_0 >= 1) and (AskForSection_6_1 >= 1)) or (((Turn_1_0 >= 1) and (AskForSection_5_1 >= 1)) or (((AskForSection_4_1 >= 1) and (Turn_1_0 >= 1)) or (((Turn_1_0 >= 1) and (AskForSection_3_1 >= 1)) or (((Turn_1_0 >= 1) and (AskForSection_2_1 >= 1)) or (((Turn_1_0 >= 1) and (AskForSection_1_1 >= 1)) or (((Turn_1_1 >= 1) and (AskForSection_6_1 >= 1)) or (((AskForSection_0_1 >= 1) and (Turn_1_2 >= 1)) or (((Turn_1_2 >= 1) and (AskForSection_1_1 >= 1)) or (((AskForSection_2_1 >= 1) and (Turn_1_2 >= 1)) or (((Turn_1_1 >= 1) and (AskForSection_2_1 >= 1)) or (((Turn_1_1 >= 1) and (AskForSection_3_1 >= 1)) or (((Turn_1_1 >= 1) and (AskForSection_4_1 >= 1)) or (((Turn_1_1 >= 1) and (AskForSection_5_1 >= 1)) or (((Turn_1_3 >= 1) and (AskForSection_0_1 >= 1)) or (((AskForSection_1_1 >= 1) and (Turn_1_3 >= 1)) or (((Turn_1_3 >= 1) and (AskForSection_2_1 >= 1)) or (((AskForSection_3_1 >= 1) and (Turn_1_3 >= 1)) or (((Turn_1_2 >= 1) and (AskForSection_3_1 >= 1)) or (((AskForSection_4_1 >= 1) and (Turn_1_2 >= 1)) or (((Turn_1_2 >= 1) and (AskForSection_5_1 >= 1)) or (((Turn_1_2 >= 1) and (AskForSection_6_1 >= 1)) or (((AskForSection_2_1 >= 1) and (Turn_1_4 >= 1)) or (((AskForSection_1_1 >= 1) and (Turn_1_4 >= 1)) or (((AskForSection_4_1 >= 1) and (Turn_1_4 >= 1)) or (((AskForSection_3_1 >= 1) and (Turn_1_4 >= 1)) or (((AskForSection_5_1 >= 1) and (Turn_1_3 >= 1)) or (((Turn_1_3 >= 1) and (AskForSection_4_1 >= 1)) or (((Turn_1_4 >= 1) and (AskForSection_0_1 >= 1)) or (((Turn_1_3 >= 1) and (AskForSection_6_1 >= 1)) or (((AskForSection_3_1 >= 1) and (Turn_1_5 >= 1)) or (((AskForSection_2_1 >= 1) and (Turn_1_5 >= 1)) or (((AskForSection_5_1 >= 1) and (Turn_1_5 >= 1)) or (((AskForSection_4_1 >= 1) and (Turn_1_5 >= 1)) or (((AskForSection_6_1 >= 1) and (Turn_1_4 >= 1)) or (((AskForSection_5_1 >= 1) and (Turn_1_4 >= 1)) or (((Turn_1_5 >= 1) and (AskForSection_1_1 >= 1)) or (((AskForSection_0_1 >= 1) and (Turn_1_5 >= 1)) or (((AskForSection_0_0 >= 1) and (Turn_0_0 >= 1)) or (((Turn_0_0 >= 1) and (AskForSection_1_0 >= 1)) or (((AskForSection_2_0 >= 1) and (Turn_0_0 >= 1)) or (((AskForSection_3_0 >= 1) and (Turn_0_0 >= 1)) or (((AskForSection_4_0 >= 1) and (Turn_0_0 >= 1)) or (((AskForSection_5_0 >= 1) and (Turn_0_0 >= 1)) or (((AskForSection_6_0 >= 1) and (Turn_0_0 >= 1)) or (((AskForSection_0_0 >= 1) and (Turn_0_1 >= 1)) or (((AskForSection_1_0 >= 1) and (Turn_0_1 >= 1)) or (((Turn_0_1 >= 1) and (AskForSection_2_0 >= 1)) or (((AskForSection_4_0 >= 1) and (Turn_0_1 >= 1)) or (((AskForSection_3_0 >= 1) and (Turn_0_1 >= 1)) or (((Turn_0_1 >= 1) and (AskForSection_6_0 >= 1)) or (((AskForSection_5_0 >= 1) and (Turn_0_1 >= 1)) or (((AskForSection_1_0 >= 1) and (Turn_0_2 >= 1)) or (((Turn_0_2 >= 1) and (AskForSection_0_0 >= 1)) or (((Turn_0_2 >= 1) and (AskForSection_3_0 >= 1)) or (((Turn_0_2 >= 1) and (AskForSection_2_0 >= 1)) or (((AskForSection_5_0 >= 1) and (Turn_0_2 >= 1)) or (((Turn_0_2 >= 1) and (AskForSection_4_0 >= 1)) or (((AskForSection_0_0 >= 1) and (Turn_0_3 >= 1)) or (((Turn_0_2 >= 1) and (AskForSection_6_0 >= 1)) or (((AskForSection_2_0 >= 1) and (Turn_0_3 >= 1)) or (((Turn_0_3 >= 1) and (AskForSection_1_0 >= 1)) or (((Turn_0_3 >= 1) and (AskForSection_4_0 >= 1)) or ((Turn_0_3 >= 1) and (AskForSection_3_0 >= 1))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))) and (((TestAlone_4_5_5 >= 1) and (WantSection_5_T >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_3_5_5 >= 1)) or (((TestAlone_2_5_5 >= 1) and (WantSection_5_T >= 1)) or (((TestAlone_1_5_5 >= 1) and (WantSection_5_T >= 1)) or (((TestAlone_2_5_6 >= 1) and (WantSection_6_T >= 1)) or (((TestAlone_1_5_6 >= 1) and (WantSection_6_T >= 1)) or (((TestAlone_0_5_6 >= 1) and (WantSection_6_T >= 1)) or (((TestAlone_6_5_5 >= 1) and (WantSection_5_T >= 1)) or (((TestAlone_2_5_4 >= 1) and (WantSection_4_T >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_1_5_4 >= 1)) or (((TestAlone_0_5_4 >= 1) and (WantSection_4_T >= 1)) or (((TestAlone_6_5_3 >= 1) and (WantSection_3_T >= 1)) or (((TestAlone_0_5_5 >= 1) and (WantSection_5_T >= 1)) or (((TestAlone_6_5_4 >= 1) and (WantSection_4_T >= 1)) or (((TestAlone_5_5_4 >= 1) and (WantSection_4_T >= 1)) or (((TestAlone_3_5_4 >= 1) and (WantSection_4_T >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_5_5_6 >= 1)) or (((TestAlone_3_5_6 >= 1) and (WantSection_6_T >= 1)) or (((TestAlone_4_5_6 >= 1) and (WantSection_6_T >= 1)) or (((TestAlone_5_5_0 >= 1) and (WantSection_0_T >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_4_5_0 >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_0_5_1 >= 1)) or (((TestAlone_6_5_0 >= 1) and (WantSection_0_T >= 1)) or (((TestAlone_1_5_0 >= 1) and (WantSection_0_T >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_5_4_6 >= 1)) or (((TestAlone_3_5_0 >= 1) and (WantSection_0_T >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_2_5_0 >= 1)) or (((TestAlone_2_4_6 >= 1) and (WantSection_6_T >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_1_4_6 >= 1)) or (((TestAlone_4_4_6 >= 1) and (WantSection_6_T >= 1)) or (((TestAlone_3_4_6 >= 1) and (WantSection_6_T >= 1)) or (((TestAlone_4_4_5 >= 1) and (WantSection_5_T >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_3_4_5 >= 1)) or (((TestAlone_0_4_6 >= 1) and (WantSection_6_T >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_6_4_5 >= 1)) or (((TestAlone_1_5_3 >= 1) and (WantSection_3_T >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_2_5_3 >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_4_5_3 >= 1)) or (((TestAlone_5_5_3 >= 1) and (WantSection_3_T >= 1)) or (((TestAlone_4_5_2 >= 1) and (WantSection_2_T >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_5_5_2 >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_6_5_2 >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_0_5_3 >= 1)) or (((TestAlone_6_5_1 >= 1) and (WantSection_1_T >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_0_5_2 >= 1)) or (((TestAlone_1_5_2 >= 1) and (WantSection_2_T >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_3_5_2 >= 1)) or (((TestAlone_2_5_1 >= 1) and (WantSection_1_T >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_3_5_1 >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_4_5_1 >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_5_5_1 >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_5_4_2 >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_4_4_2 >= 1)) or (((TestAlone_3_4_2 >= 1) and (WantSection_2_T >= 1)) or (((TestAlone_1_4_2 >= 1) and (WantSection_2_T >= 1)) or (((TestAlone_0_4_2 >= 1) and (WantSection_2_T >= 1)) or (((TestAlone_6_4_1 >= 1) and (WantSection_1_T >= 1)) or (((TestAlone_5_4_1 >= 1) and (WantSection_1_T >= 1)) or (((TestAlone_4_4_1 >= 1) and (WantSection_1_T >= 1)) or (((TestAlone_3_4_1 >= 1) and (WantSection_1_T >= 1)) or (((TestAlone_2_4_1 >= 1) and (WantSection_1_T >= 1)) or (((TestAlone_0_4_1 >= 1) and (WantSection_1_T >= 1)) or (((TestAlone_6_4_0 >= 1) and (WantSection_0_T >= 1)) or (((TestAlone_5_4_0 >= 1) and (WantSection_0_T >= 1)) or (((TestAlone_4_4_0 >= 1) and (WantSection_0_T >= 1)) or (((TestAlone_3_4_0 >= 1) and (WantSection_0_T >= 1)) or (((TestAlone_2_4_0 >= 1) and (WantSection_0_T >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_1_4_5 >= 1)) or (((TestAlone_2_4_5 >= 1) and (WantSection_5_T >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_6_4_4 >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_0_4_5 >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_3_4_4 >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_5_4_4 >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_1_4_4 >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_2_4_4 >= 1)) or (((TestAlone_6_4_3 >= 1) and (WantSection_3_T >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_0_4_4 >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_4_4_3 >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_5_4_3 >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_1_4_3 >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_2_4_3 >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_6_4_2 >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_0_4_3 >= 1)) or (((TestAlone_2_1_1 >= 1) and (WantSection_1_T >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_3_1_1 >= 1)) or (((TestAlone_4_1_1 >= 1) and (WantSection_1_T >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_5_1_1 >= 1)) or (((TestAlone_6_1_1 >= 1) and (WantSection_1_T >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_0_1_2 >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_1_1_2 >= 1)) or (((TestAlone_3_1_2 >= 1) and (WantSection_2_T >= 1)) or (((TestAlone_5_0_6 >= 1) and (WantSection_6_T >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_1_1_0 >= 1)) or (((TestAlone_2_1_0 >= 1) and (WantSection_0_T >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_3_1_0 >= 1)) or (((TestAlone_4_1_0 >= 1) and (WantSection_0_T >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_5_1_0 >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_6_1_0 >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_0_1_1 >= 1)) or (((TestAlone_0_1_4 >= 1) and (WantSection_4_T >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_6_1_3 >= 1)) or (((TestAlone_2_1_4 >= 1) and (WantSection_4_T >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_1_1_4 >= 1)) or (((TestAlone_5_1_4 >= 1) and (WantSection_4_T >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_3_1_4 >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_0_1_5 >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_6_1_4 >= 1)) or (((TestAlone_5_1_2 >= 1) and (WantSection_2_T >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_4_1_2 >= 1)) or (((TestAlone_0_1_3 >= 1) and (WantSection_3_T >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_6_1_2 >= 1)) or (((TestAlone_2_1_3 >= 1) and (WantSection_3_T >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_1_1_3 >= 1)) or (((TestAlone_5_1_3 >= 1) and (WantSection_3_T >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_4_1_3 >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_5_1_6 >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_1_2_0 >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_3_1_6 >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_4_1_6 >= 1)) or (((TestAlone_4_2_0 >= 1) and (WantSection_0_T >= 1)) or (((TestAlone_5_2_0 >= 1) and (WantSection_0_T >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_2_2_0 >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_3_2_0 >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_3_1_5 >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_4_1_5 >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_1_1_5 >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_2_1_5 >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_1_1_6 >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_2_1_6 >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_6_1_5 >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_0_1_6 >= 1)) or (((TestAlone_5_2_2 >= 1) and (WantSection_2_T >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_4_2_2 >= 1)) or (((TestAlone_3_2_2 >= 1) and (WantSection_2_T >= 1)) or (((TestAlone_1_2_2 >= 1) and (WantSection_2_T >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_2_2_3 >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_1_2_3 >= 1)) or (((TestAlone_0_2_3 >= 1) and (WantSection_3_T >= 1)) or (((TestAlone_6_2_2 >= 1) and (WantSection_2_T >= 1)) or (((TestAlone_3_2_1 >= 1) and (WantSection_1_T >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_2_2_1 >= 1)) or (((TestAlone_0_2_1 >= 1) and (WantSection_1_T >= 1)) or (((TestAlone_6_2_0 >= 1) and (WantSection_0_T >= 1)) or (((TestAlone_0_2_2 >= 1) and (WantSection_2_T >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_6_2_1 >= 1)) or (((TestAlone_5_2_1 >= 1) and (WantSection_1_T >= 1)) or (((TestAlone_4_2_1 >= 1) and (WantSection_1_T >= 1)) or (((TestAlone_3_2_5 >= 1) and (WantSection_5_T >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_4_2_5 >= 1)) or (((TestAlone_6_2_5 >= 1) and (WantSection_5_T >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_0_2_6 >= 1)) or (((TestAlone_6_2_4 >= 1) and (WantSection_4_T >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_0_2_5 >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_1_2_5 >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_2_2_5 >= 1)) or (((TestAlone_1_2_4 >= 1) and (WantSection_4_T >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_2_2_4 >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_3_2_4 >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_5_2_4 >= 1)) or (((TestAlone_4_2_3 >= 1) and (WantSection_3_T >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_5_2_3 >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_6_2_3 >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_0_2_4 >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_3_3_1 >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_2_3_1 >= 1)) or (((TestAlone_5_3_1 >= 1) and (WantSection_1_T >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_4_3_1 >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_5_3_0 >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_4_3_0 >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_0_3_1 >= 1)) or (((TestAlone_6_3_0 >= 1) and (WantSection_0_T >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_1_3_0 >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_5_2_6 >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_3_3_0 >= 1)) or (((TestAlone_2_3_0 >= 1) and (WantSection_0_T >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_2_2_6 >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_1_2_6 >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_4_2_6 >= 1)) or (((TestAlone_3_2_6 >= 1) and (WantSection_6_T >= 1)) or (((TestAlone_1_3_4 >= 1) and (WantSection_4_T >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_2_3_4 >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_6_3_3 >= 1)) or (((TestAlone_0_3_4 >= 1) and (WantSection_4_T >= 1)) or (((TestAlone_4_3_3 >= 1) and (WantSection_3_T >= 1)) or (((TestAlone_5_3_3 >= 1) and (WantSection_3_T >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_1_3_3 >= 1)) or (((TestAlone_2_3_3 >= 1) and (WantSection_3_T >= 1)) or (((TestAlone_6_3_2 >= 1) and (WantSection_2_T >= 1)) or (((TestAlone_0_3_3 >= 1) and (WantSection_3_T >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_4_3_2 >= 1)) or (((TestAlone_5_3_2 >= 1) and (WantSection_2_T >= 1)) or (((TestAlone_1_3_2 >= 1) and (WantSection_2_T >= 1)) or (((TestAlone_3_3_2 >= 1) and (WantSection_2_T >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_6_3_1 >= 1)) or (((TestAlone_0_3_2 >= 1) and (WantSection_2_T >= 1)) or (((TestAlone_1_4_0 >= 1) and (WantSection_0_T >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_5_3_6 >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_4_3_6 >= 1)) or (((TestAlone_3_3_6 >= 1) and (WantSection_6_T >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_2_3_6 >= 1)) or (((TestAlone_1_3_6 >= 1) and (WantSection_6_T >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_0_3_6 >= 1)) or (((TestAlone_6_3_5 >= 1) and (WantSection_5_T >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_4_3_5 >= 1)) or (((TestAlone_3_3_5 >= 1) and (WantSection_5_T >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_2_3_5 >= 1)) or (((TestAlone_1_3_5 >= 1) and (WantSection_5_T >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_0_3_5 >= 1)) or (((TestAlone_6_3_4 >= 1) and (WantSection_4_T >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_5_3_4 >= 1)) or (((TestAlone_3_3_4 >= 1) and (WantSection_4_T >= 1)) or (((TestAlone_1_0_0 >= 1) and (WantSection_0_T >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_6_0_0 >= 1)) or (((TestAlone_0_0_1 >= 1) and (WantSection_1_T >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_2_0_1 >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_3_0_1 >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_2_0_0 >= 1)) or (((TestAlone_3_0_0 >= 1) and (WantSection_0_T >= 1)) or (((TestAlone_4_0_0 >= 1) and (WantSection_0_T >= 1)) or (((TestAlone_5_0_0 >= 1) and (WantSection_0_T >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_5_0_2 >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_4_0_2 >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_3_0_2 >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_1_0_2 >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_0_0_2 >= 1)) or (((TestAlone_6_0_1 >= 1) and (WantSection_1_T >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_5_0_1 >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_4_0_1 >= 1)) or (((TestAlone_0_0_4 >= 1) and (WantSection_4_T >= 1)) or (((TestAlone_6_0_3 >= 1) and (WantSection_3_T >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_5_0_3 >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_4_0_3 >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_2_0_3 >= 1)) or (((TestAlone_1_0_3 >= 1) and (WantSection_3_T >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_0_0_3 >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_6_0_2 >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_1_0_5 >= 1)) or (((TestAlone_2_0_5 >= 1) and (WantSection_5_T >= 1)) or (((TestAlone_6_0_4 >= 1) and (WantSection_4_T >= 1)) or (((TestAlone_0_0_5 >= 1) and (WantSection_5_T >= 1)) or (((TestAlone_3_0_4 >= 1) and (WantSection_4_T >= 1)) or (((TestAlone_5_0_4 >= 1) and (WantSection_4_T >= 1)) or (((TestAlone_1_0_4 >= 1) and (WantSection_4_T >= 1)) or (((TestAlone_2_0_4 >= 1) and (WantSection_4_T >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_3_0_6 >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_4_0_6 >= 1)) or (((TestAlone_1_0_6 >= 1) and (WantSection_6_T >= 1)) or (((TestAlone_2_0_6 >= 1) and (WantSection_6_T >= 1)) or (((TestAlone_6_0_5 >= 1) and (WantSection_5_T >= 1)) or (((TestAlone_0_0_6 >= 1) and (WantSection_6_T >= 1)) or (((TestAlone_3_0_5 >= 1) and (WantSection_5_T >= 1)) or ((TestAlone_4_0_5 >= 1) and (WantSection_5_T >= 1)))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))) and ((((WantSection_6_F >= 1) and (TestAlone_4_3_6 >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_3_3_6 >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_1_4_0 >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_5_3_6 >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_3_4_0 >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_2_4_0 >= 1)) or (((TestAlone_5_4_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_4_4_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_0_4_1 >= 1) and (WantSection_1_F >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_6_4_0 >= 1)) or (((TestAlone_3_4_1 >= 1) and (WantSection_1_F >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_2_4_1 >= 1)) or (((TestAlone_5_4_1 >= 1) and (WantSection_1_F >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_4_4_1 >= 1)) or (((TestAlone_0_4_2 >= 1) and (WantSection_2_F >= 1)) or (((TestAlone_6_4_1 >= 1) and (WantSection_1_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_1_4_2 >= 1)) or (((TestAlone_3_4_2 >= 1) and (WantSection_2_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_4_4_2 >= 1)) or (((TestAlone_5_4_2 >= 1) and (WantSection_2_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_6_4_2 >= 1)) or (((TestAlone_0_4_3 >= 1) and (WantSection_3_F >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_1_4_3 >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_2_4_3 >= 1)) or (((TestAlone_4_4_3 >= 1) and (WantSection_3_F >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_5_4_3 >= 1)) or (((TestAlone_6_4_3 >= 1) and (WantSection_3_F >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_0_4_4 >= 1)) or (((TestAlone_1_4_4 >= 1) and (WantSection_4_F >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_2_4_4 >= 1)) or (((TestAlone_3_4_4 >= 1) and (WantSection_4_F >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_5_4_4 >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_2_4_5 >= 1)) or (((TestAlone_1_4_5 >= 1) and (WantSection_5_F >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_0_4_5 >= 1)) or (((TestAlone_6_4_4 >= 1) and (WantSection_4_F >= 1)) or (((TestAlone_0_4_6 >= 1) and (WantSection_6_F >= 1)) or (((TestAlone_6_4_5 >= 1) and (WantSection_5_F >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_4_4_5 >= 1)) or (((TestAlone_3_4_5 >= 1) and (WantSection_5_F >= 1)) or (((TestAlone_4_4_6 >= 1) and (WantSection_6_F >= 1)) or (((TestAlone_3_4_6 >= 1) and (WantSection_6_F >= 1)) or (((TestAlone_2_4_6 >= 1) and (WantSection_6_F >= 1)) or (((TestAlone_1_4_6 >= 1) and (WantSection_6_F >= 1)) or (((TestAlone_3_5_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_2_5_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_1_5_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_5_4_6 >= 1) and (WantSection_6_F >= 1)) or (((TestAlone_6_5_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_0_5_1 >= 1) and (WantSection_1_F >= 1)) or (((TestAlone_4_5_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_5_5_0 >= 1) and (WantSection_0_F >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_4_5_1 >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_5_5_1 >= 1)) or (((TestAlone_2_5_1 >= 1) and (WantSection_1_F >= 1)) or (((TestAlone_3_5_1 >= 1) and (WantSection_1_F >= 1)) or (((TestAlone_1_5_2 >= 1) and (WantSection_2_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_3_5_2 >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_6_5_1 >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_0_5_2 >= 1)) or (((TestAlone_6_5_2 >= 1) and (WantSection_2_F >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_0_5_3 >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_4_5_2 >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_5_5_2 >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_0_5_4 >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_6_5_3 >= 1)) or (((TestAlone_2_5_4 >= 1) and (WantSection_4_F >= 1)) or (((TestAlone_1_5_4 >= 1) and (WantSection_4_F >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_2_5_3 >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_1_5_3 >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_5_5_3 >= 1)) or (((TestAlone_4_5_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_2_5_5 >= 1) and (WantSection_5_F >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_1_5_5 >= 1)) or (((TestAlone_4_5_5 >= 1) and (WantSection_5_F >= 1)) or (((TestAlone_3_5_5 >= 1) and (WantSection_5_F >= 1)) or (((TestAlone_5_5_4 >= 1) and (WantSection_4_F >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_3_5_4 >= 1)) or (((TestAlone_0_5_5 >= 1) and (WantSection_5_F >= 1)) or (((TestAlone_6_5_4 >= 1) and (WantSection_4_F >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_3_5_6 >= 1)) or (((TestAlone_4_5_6 >= 1) and (WantSection_6_F >= 1)) or (((TestAlone_5_5_6 >= 1) and (WantSection_6_F >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_6_5_5 >= 1)) or (((TestAlone_0_5_6 >= 1) and (WantSection_6_F >= 1)) or (((TestAlone_1_5_6 >= 1) and (WantSection_6_F >= 1)) or (((TestAlone_2_5_6 >= 1) and (WantSection_6_F >= 1)) or (((TestAlone_5_1_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_4_1_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_2_1_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_1_1_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_2_1_4 >= 1) and (WantSection_4_F >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_1_1_4 >= 1)) or (((TestAlone_0_1_4 >= 1) and (WantSection_4_F >= 1)) or (((TestAlone_6_1_3 >= 1) and (WantSection_3_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_3_1_2 >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_1_1_2 >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_0_1_2 >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_6_1_1 >= 1)) or (((TestAlone_0_1_3 >= 1) and (WantSection_3_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_6_1_2 >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_5_1_2 >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_4_1_2 >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_6_1_0 >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_0_1_1 >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_4_1_0 >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_5_1_0 >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_4_1_1 >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_5_1_1 >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_2_1_1 >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_3_1_1 >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_3_0_6 >= 1)) or (((TestAlone_4_0_6 >= 1) and (WantSection_6_F >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_1_0_6 >= 1)) or (((TestAlone_2_0_6 >= 1) and (WantSection_6_F >= 1)) or (((TestAlone_2_1_0 >= 1) and (WantSection_0_F >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_3_1_0 >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_5_0_6 >= 1)) or (((TestAlone_1_1_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_5_2_1 >= 1) and (WantSection_1_F >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_4_2_1 >= 1)) or (((TestAlone_0_2_2 >= 1) and (WantSection_2_F >= 1)) or (((TestAlone_6_2_1 >= 1) and (WantSection_1_F >= 1)) or (((TestAlone_3_2_2 >= 1) and (WantSection_2_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_1_2_2 >= 1)) or (((TestAlone_5_2_2 >= 1) and (WantSection_2_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_4_2_2 >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_3_2_0 >= 1)) or (((TestAlone_2_2_0 >= 1) and (WantSection_0_F >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_5_2_0 >= 1)) or (((TestAlone_4_2_0 >= 1) and (WantSection_0_F >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_0_2_1 >= 1)) or (((TestAlone_6_2_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_3_2_1 >= 1) and (WantSection_1_F >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_2_2_1 >= 1)) or (((TestAlone_6_1_5 >= 1) and (WantSection_5_F >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_0_1_6 >= 1)) or (((TestAlone_1_1_6 >= 1) and (WantSection_6_F >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_2_1_6 >= 1)) or (((TestAlone_3_1_6 >= 1) and (WantSection_6_F >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_4_1_6 >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_5_1_6 >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_1_2_0 >= 1)) or (((TestAlone_3_1_4 >= 1) and (WantSection_4_F >= 1)) or (((TestAlone_5_1_4 >= 1) and (WantSection_4_F >= 1)) or (((TestAlone_6_1_4 >= 1) and (WantSection_4_F >= 1)) or (((TestAlone_0_1_5 >= 1) and (WantSection_5_F >= 1)) or (((TestAlone_1_1_5 >= 1) and (WantSection_5_F >= 1)) or (((TestAlone_2_1_5 >= 1) and (WantSection_5_F >= 1)) or (((TestAlone_3_1_5 >= 1) and (WantSection_5_F >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_4_1_5 >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_0_3_1 >= 1)) or (((TestAlone_6_3_0 >= 1) and (WantSection_0_F >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_5_3_0 >= 1)) or (((TestAlone_4_3_0 >= 1) and (WantSection_0_F >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_3_3_0 >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_2_3_0 >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_1_3_0 >= 1)) or (((TestAlone_5_2_6 >= 1) and (WantSection_6_F >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_4_2_6 >= 1)) or (((TestAlone_3_2_6 >= 1) and (WantSection_6_F >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_2_2_6 >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_1_2_6 >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_0_2_6 >= 1)) or (((TestAlone_6_2_5 >= 1) and (WantSection_5_F >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_4_2_5 >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_3_2_5 >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_1_2_5 >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_2_2_5 >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_6_2_4 >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_0_2_5 >= 1)) or (((TestAlone_3_2_4 >= 1) and (WantSection_4_F >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_5_2_4 >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_1_2_4 >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_2_2_4 >= 1)) or (((TestAlone_6_2_3 >= 1) and (WantSection_3_F >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_0_2_4 >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_4_2_3 >= 1)) or (((TestAlone_5_2_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_1_2_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_2_2_3 >= 1) and (WantSection_3_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_6_2_2 >= 1)) or (((TestAlone_0_2_3 >= 1) and (WantSection_3_F >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_0_3_6 >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_6_3_5 >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_2_3_6 >= 1)) or (((TestAlone_1_3_6 >= 1) and (WantSection_6_F >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_2_3_5 >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_1_3_5 >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_4_3_5 >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_3_3_5 >= 1)) or (((TestAlone_5_3_4 >= 1) and (WantSection_4_F >= 1)) or (((TestAlone_3_3_4 >= 1) and (WantSection_4_F >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_0_3_5 >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_6_3_4 >= 1)) or (((TestAlone_0_3_4 >= 1) and (WantSection_4_F >= 1)) or (((TestAlone_6_3_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_2_3_4 >= 1) and (WantSection_4_F >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_1_3_4 >= 1)) or (((TestAlone_1_3_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_2_3_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_4_3_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_5_3_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_4_3_2 >= 1) and (WantSection_2_F >= 1)) or (((TestAlone_5_3_2 >= 1) and (WantSection_2_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_6_3_2 >= 1)) or (((TestAlone_0_3_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_6_3_1 >= 1) and (WantSection_1_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_0_3_2 >= 1)) or (((TestAlone_1_3_2 >= 1) and (WantSection_2_F >= 1)) or (((TestAlone_3_3_2 >= 1) and (WantSection_2_F >= 1)) or (((TestAlone_2_3_1 >= 1) and (WantSection_1_F >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_3_3_1 >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_4_3_1 >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_5_3_1 >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_4_0_0 >= 1)) or (((TestAlone_5_0_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_2_0_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_3_0_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_1_0_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_1_0_4 >= 1) and (WantSection_4_F >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_2_0_4 >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_3_0_4 >= 1)) or (((TestAlone_5_0_4 >= 1) and (WantSection_4_F >= 1)) or (((TestAlone_4_0_3 >= 1) and (WantSection_3_F >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_5_0_3 >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_6_0_3 >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_0_0_4 >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_3_0_5 >= 1)) or (((TestAlone_4_0_5 >= 1) and (WantSection_5_F >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_6_0_5 >= 1)) or (((TestAlone_0_0_6 >= 1) and (WantSection_6_F >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_6_0_4 >= 1)) or (((TestAlone_0_0_5 >= 1) and (WantSection_5_F >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_1_0_5 >= 1)) or (((TestAlone_2_0_5 >= 1) and (WantSection_5_F >= 1)) or (((TestAlone_5_0_1 >= 1) and (WantSection_1_F >= 1)) or (((TestAlone_4_0_1 >= 1) and (WantSection_1_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_0_0_2 >= 1)) or (((TestAlone_6_0_1 >= 1) and (WantSection_1_F >= 1)) or (((TestAlone_0_0_1 >= 1) and (WantSection_1_F >= 1)) or (((TestAlone_6_0_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_3_0_1 >= 1) and (WantSection_1_F >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_2_0_1 >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_0_0_3 >= 1)) or (((TestAlone_6_0_2 >= 1) and (WantSection_2_F >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_2_0_3 >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_1_0_3 >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_3_0_2 >= 1)) or (((TestAlone_1_0_2 >= 1) and (WantSection_2_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_5_0_2 >= 1)) or ((WantSection_2_F >= 1) and (TestAlone_4_0_2 >= 1))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))) and (((Turn_5_0 >= 1) and (TestTurn_5_5 >= 1)) or (((Turn_5_0 >= 1) and (TestTurn_4_5 >= 1)) or (((Turn_5_0 >= 1) and (TestTurn_3_5 >= 1)) or (((Turn_5_0 >= 1) and (TestTurn_2_5 >= 1)) or (((TestTurn_1_5 >= 1) and (Turn_5_0 >= 1)) or (((Turn_4_6 >= 1) and (TestTurn_5_4 >= 1)) or (((Turn_4_6 >= 1) and (TestTurn_4_4 >= 1)) or (((Turn_4_6 >= 1) and (TestTurn_3_4 >= 1)) or (((TestTurn_2_4 >= 1) and (Turn_4_6 >= 1)) or (((Turn_4_6 >= 1) and (TestTurn_1_4 >= 1)) or (((Turn_4_6 >= 1) and (TestTurn_0_4 >= 1)) or (((Turn_4_5 >= 1) and (TestTurn_6_4 >= 1)) or (((TestTurn_4_4 >= 1) and (Turn_4_5 >= 1)) or (((Turn_4_5 >= 1) and (TestTurn_3_4 >= 1)) or (((Turn_4_5 >= 1) and (TestTurn_2_4 >= 1)) or (((Turn_4_5 >= 1) and (TestTurn_1_4 >= 1)) or (((TestTurn_1_5 >= 1) and (Turn_5_3 >= 1)) or (((Turn_5_3 >= 1) and (TestTurn_2_5 >= 1)) or (((TestTurn_6_5 >= 1) and (Turn_5_2 >= 1)) or (((Turn_5_3 >= 1) and (TestTurn_0_5 >= 1)) or (((TestTurn_4_5 >= 1) and (Turn_5_2 >= 1)) or (((TestTurn_5_5 >= 1) and (Turn_5_2 >= 1)) or (((TestTurn_1_5 >= 1) and (Turn_5_2 >= 1)) or (((Turn_5_2 >= 1) and (TestTurn_3_5 >= 1)) or (((TestTurn_6_5 >= 1) and (Turn_5_1 >= 1)) or (((TestTurn_0_5 >= 1) and (Turn_5_2 >= 1)) or (((TestTurn_4_5 >= 1) and (Turn_5_1 >= 1)) or (((Turn_5_1 >= 1) and (TestTurn_5_5 >= 1)) or (((TestTurn_2_5 >= 1) and (Turn_5_1 >= 1)) or (((TestTurn_3_5 >= 1) and (Turn_5_1 >= 1)) or (((TestTurn_6_5 >= 1) and (Turn_5_0 >= 1)) or (((Turn_5_1 >= 1) and (TestTurn_0_5 >= 1)) or (((TestTurn_4_5 >= 1) and (Turn_5_5 >= 1)) or (((Turn_5_5 >= 1) and (TestTurn_3_5 >= 1)) or (((Turn_5_6 >= 1) and (TestTurn_0_5 >= 1)) or (((Turn_5_5 >= 1) and (TestTurn_6_5 >= 1)) or (((TestTurn_0_5 >= 1) and (Turn_5_5 >= 1)) or (((Turn_5_4 >= 1) and (TestTurn_6_5 >= 1)) or (((TestTurn_2_5 >= 1) and (Turn_5_5 >= 1)) or (((Turn_5_5 >= 1) and (TestTurn_1_5 >= 1)) or (((TestTurn_2_5 >= 1) and (Turn_5_4 >= 1)) or (((Turn_5_4 >= 1) and (TestTurn_1_5 >= 1)) or (((TestTurn_5_5 >= 1) and (Turn_5_4 >= 1)) or (((Turn_5_4 >= 1) and (TestTurn_3_5 >= 1)) or (((TestTurn_5_5 >= 1) and (Turn_5_3 >= 1)) or (((Turn_5_3 >= 1) and (TestTurn_4_5 >= 1)) or (((TestTurn_0_5 >= 1) and (Turn_5_4 >= 1)) or (((Turn_5_3 >= 1) and (TestTurn_6_5 >= 1)) or (((TestTurn_5_5 >= 1) and (Turn_5_6 >= 1)) or (((TestTurn_1_5 >= 1) and (Turn_5_6 >= 1)) or (((TestTurn_2_5 >= 1) and (Turn_5_6 >= 1)) or (((TestTurn_3_5 >= 1) and (Turn_5_6 >= 1)) or (((TestTurn_4_5 >= 1) and (Turn_5_6 >= 1)) or (((Turn_3_3 >= 1) and (TestTurn_2_3 >= 1)) or (((Turn_3_3 >= 1) and (TestTurn_1_3 >= 1)) or (((Turn_3_3 >= 1) and (TestTurn_0_3 >= 1)) or (((Turn_3_2 >= 1) and (TestTurn_6_3 >= 1)) or (((Turn_3_4 >= 1) and (TestTurn_0_3 >= 1)) or (((Turn_3_3 >= 1) and (TestTurn_6_3 >= 1)) or (((Turn_3_3 >= 1) and (TestTurn_5_3 >= 1)) or (((Turn_3_3 >= 1) and (TestTurn_4_3 >= 1)) or (((Turn_3_2 >= 1) and (TestTurn_0_3 >= 1)) or (((Turn_3_1 >= 1) and (TestTurn_6_3 >= 1)) or (((Turn_3_1 >= 1) and (TestTurn_5_3 >= 1)) or (((Turn_3_1 >= 1) and (TestTurn_4_3 >= 1)) or (((TestTurn_5_3 >= 1) and (Turn_3_2 >= 1)) or (((Turn_3_2 >= 1) and (TestTurn_4_3 >= 1)) or (((Turn_3_2 >= 1) and (TestTurn_3_3 >= 1)) or (((Turn_3_2 >= 1) and (TestTurn_1_3 >= 1)) or (((TestTurn_6_3 >= 1) and (Turn_3_5 >= 1)) or (((Turn_3_6 >= 1) and (TestTurn_0_3 >= 1)) or (((TestTurn_3_3 >= 1) and (Turn_3_5 >= 1)) or (((Turn_3_5 >= 1) and (TestTurn_4_3 >= 1)) or (((TestTurn_3_3 >= 1) and (Turn_3_6 >= 1)) or (((Turn_3_6 >= 1) and (TestTurn_4_3 >= 1)) or (((TestTurn_1_3 >= 1) and (Turn_3_6 >= 1)) or (((Turn_3_6 >= 1) and (TestTurn_2_3 >= 1)) or (((TestTurn_3_3 >= 1) and (Turn_3_4 >= 1)) or (((Turn_3_4 >= 1) and (TestTurn_5_3 >= 1)) or (((TestTurn_1_3 >= 1) and (Turn_3_4 >= 1)) or (((Turn_3_4 >= 1) and (TestTurn_2_3 >= 1)) or (((TestTurn_1_3 >= 1) and (Turn_3_5 >= 1)) or (((TestTurn_2_3 >= 1) and (Turn_3_5 >= 1)) or (((TestTurn_6_3 >= 1) and (Turn_3_4 >= 1)) or (((Turn_3_5 >= 1) and (TestTurn_0_3 >= 1)) or (((TestTurn_3_4 >= 1) and (Turn_4_1 >= 1)) or (((Turn_4_1 >= 1) and (TestTurn_2_4 >= 1)) or (((Turn_4_1 >= 1) and (TestTurn_5_4 >= 1)) or (((Turn_4_1 >= 1) and (TestTurn_4_4 >= 1)) or (((TestTurn_0_4 >= 1) and (Turn_4_2 >= 1)) or (((Turn_4_1 >= 1) and (TestTurn_6_4 >= 1)) or (((Turn_4_2 >= 1) and (TestTurn_3_4 >= 1)) or (((Turn_4_2 >= 1) and (TestTurn_1_4 >= 1)) or (((TestTurn_1_4 >= 1) and (Turn_4_0 >= 1)) or (((Turn_3_6 >= 1) and (TestTurn_5_3 >= 1)) or (((Turn_4_0 >= 1) and (TestTurn_3_4 >= 1)) or (((Turn_4_0 >= 1) and (TestTurn_2_4 >= 1)) or (((TestTurn_5_4 >= 1) and (Turn_4_0 >= 1)) or (((Turn_4_0 >= 1) and (TestTurn_4_4 >= 1)) or (((TestTurn_0_4 >= 1) and (Turn_4_1 >= 1)) or (((Turn_4_0 >= 1) and (TestTurn_6_4 >= 1)) or (((TestTurn_6_4 >= 1) and (Turn_4_3 >= 1)) or (((TestTurn_0_4 >= 1) and (Turn_4_4 >= 1)) or (((TestTurn_1_4 >= 1) and (Turn_4_4 >= 1)) or (((Turn_4_4 >= 1) and (TestTurn_2_4 >= 1)) or (((TestTurn_3_4 >= 1) and (Turn_4_4 >= 1)) or (((TestTurn_5_4 >= 1) and (Turn_4_4 >= 1)) or (((TestTurn_6_4 >= 1) and (Turn_4_4 >= 1)) or (((TestTurn_0_4 >= 1) and (Turn_4_5 >= 1)) or (((TestTurn_4_4 >= 1) and (Turn_4_2 >= 1)) or (((TestTurn_5_4 >= 1) and (Turn_4_2 >= 1)) or (((TestTurn_6_4 >= 1) and (Turn_4_2 >= 1)) or (((Turn_4_3 >= 1) and (TestTurn_0_4 >= 1)) or (((TestTurn_1_4 >= 1) and (Turn_4_3 >= 1)) or (((TestTurn_2_4 >= 1) and (Turn_4_3 >= 1)) or (((TestTurn_4_4 >= 1) and (Turn_4_3 >= 1)) or (((TestTurn_5_4 >= 1) and (Turn_4_3 >= 1)) or (((TestTurn_2_0 >= 1) and (Turn_0_0 >= 1)) or (((Turn_0_0 >= 1) and (TestTurn_3_0 >= 1)) or (((Turn_0_0 >= 1) and (TestTurn_1_0 >= 1)) or (((TestTurn_6_0 >= 1) and (Turn_0_0 >= 1)) or (((Turn_0_1 >= 1) and (TestTurn_0_0 >= 1)) or (((TestTurn_4_0 >= 1) and (Turn_0_0 >= 1)) or (((Turn_0_0 >= 1) and (TestTurn_5_0 >= 1)) or (((Turn_2_6 >= 1) and (TestTurn_2_2 >= 1)) or (((Turn_2_6 >= 1) and (TestTurn_1_2 >= 1)) or (((Turn_2_6 >= 1) and (TestTurn_0_2 >= 1)) or (((Turn_2_5 >= 1) and (TestTurn_6_2 >= 1)) or (((TestTurn_1_3 >= 1) and (Turn_3_0 >= 1)) or (((Turn_2_6 >= 1) and (TestTurn_5_2 >= 1)) or (((Turn_2_6 >= 1) and (TestTurn_4_2 >= 1)) or (((Turn_2_6 >= 1) and (TestTurn_3_2 >= 1)) or (((Turn_3_0 >= 1) and (TestTurn_5_3 >= 1)) or (((Turn_3_0 >= 1) and (TestTurn_4_3 >= 1)) or (((Turn_3_0 >= 1) and (TestTurn_3_3 >= 1)) or (((Turn_3_0 >= 1) and (TestTurn_2_3 >= 1)) or (((Turn_3_1 >= 1) and (TestTurn_3_3 >= 1)) or (((Turn_3_1 >= 1) and (TestTurn_2_3 >= 1)) or (((Turn_3_1 >= 1) and (TestTurn_0_3 >= 1)) or (((Turn_3_0 >= 1) and (TestTurn_6_3 >= 1)) or (((TestTurn_4_2 >= 1) and (Turn_2_3 >= 1)) or (((Turn_2_3 >= 1) and (TestTurn_5_2 >= 1)) or (((TestTurn_1_2 >= 1) and (Turn_2_3 >= 1)) or (((Turn_2_3 >= 1) and (TestTurn_2_2 >= 1)) or (((TestTurn_1_2 >= 1) and (Turn_2_4 >= 1)) or (((TestTurn_2_2 >= 1) and (Turn_2_4 >= 1)) or (((TestTurn_6_2 >= 1) and (Turn_2_3 >= 1)) or (((Turn_2_4 >= 1) and (TestTurn_0_2 >= 1)) or (((TestTurn_6_2 >= 1) and (Turn_2_4 >= 1)) or (((Turn_2_5 >= 1) and (TestTurn_0_2 >= 1)) or (((TestTurn_3_2 >= 1) and (Turn_2_4 >= 1)) or (((Turn_2_4 >= 1) and (TestTurn_5_2 >= 1)) or (((TestTurn_3_2 >= 1) and (Turn_2_5 >= 1)) or (((TestTurn_4_2 >= 1) and (Turn_2_5 >= 1)) or (((TestTurn_1_2 >= 1) and (Turn_2_5 >= 1)) or (((Turn_2_5 >= 1) and (TestTurn_2_2 >= 1)) or (((TestTurn_5_2 >= 1) and (Turn_2_0 >= 1)) or (((Turn_2_0 >= 1) and (TestTurn_4_2 >= 1)) or (((Turn_2_1 >= 1) and (TestTurn_0_2 >= 1)) or (((Turn_2_0 >= 1) and (TestTurn_6_2 >= 1)) or (((TestTurn_3_2 >= 1) and (Turn_2_1 >= 1)) or (((Turn_2_1 >= 1) and (TestTurn_2_2 >= 1)) or (((TestTurn_5_2 >= 1) and (Turn_2_1 >= 1)) or (((Turn_2_1 >= 1) and (TestTurn_4_2 >= 1)) or (((TestTurn_0_2 >= 1) and (Turn_2_2 >= 1)) or (((Turn_2_1 >= 1) and (TestTurn_6_2 >= 1)) or (((Turn_2_2 >= 1) and (TestTurn_3_2 >= 1)) or (((Turn_2_2 >= 1) and (TestTurn_1_2 >= 1)) or (((TestTurn_5_2 >= 1) and (Turn_2_2 >= 1)) or (((Turn_2_2 >= 1) and (TestTurn_4_2 >= 1)) or (((TestTurn_0_2 >= 1) and (Turn_2_3 >= 1)) or (((Turn_2_2 >= 1) and (TestTurn_6_2 >= 1)) or (((TestTurn_6_1 >= 1) and (Turn_1_4 >= 1)) or (((TestTurn_0_1 >= 1) and (Turn_1_5 >= 1)) or (((TestTurn_1_1 >= 1) and (Turn_1_5 >= 1)) or (((Turn_1_5 >= 1) and (TestTurn_2_1 >= 1)) or (((TestTurn_3_1 >= 1) and (Turn_1_5 >= 1)) or (((TestTurn_4_1 >= 1) and (Turn_1_5 >= 1)) or (((TestTurn_6_1 >= 1) and (Turn_1_5 >= 1)) or (((TestTurn_0_1 >= 1) and (Turn_1_6 >= 1)) or (((TestTurn_1_1 >= 1) and (Turn_1_6 >= 1)) or (((TestTurn_2_1 >= 1) and (Turn_1_6 >= 1)) or (((TestTurn_3_1 >= 1) and (Turn_1_6 >= 1)) or (((Turn_1_6 >= 1) and (TestTurn_4_1 >= 1)) or (((TestTurn_5_1 >= 1) and (Turn_1_6 >= 1)) or (((TestTurn_1_2 >= 1) and (Turn_2_0 >= 1)) or (((TestTurn_2_2 >= 1) and (Turn_2_0 >= 1)) or (((TestTurn_3_2 >= 1) and (Turn_2_0 >= 1)) or (((TestTurn_2_1 >= 1) and (Turn_1_3 >= 1)) or (((Turn_1_3 >= 1) and (TestTurn_1_1 >= 1)) or (((Turn_1_3 >= 1) and (TestTurn_0_1 >= 1)) or (((Turn_1_2 >= 1) and (TestTurn_6_1 >= 1)) or (((TestTurn_5_1 >= 1) and (Turn_1_2 >= 1)) or (((Turn_1_2 >= 1) and (TestTurn_4_1 >= 1)) or (((Turn_1_2 >= 1) and (TestTurn_3_1 >= 1)) or (((Turn_1_2 >= 1) and (TestTurn_1_1 >= 1)) or (((Turn_1_4 >= 1) and (TestTurn_5_1 >= 1)) or (((Turn_1_4 >= 1) and (TestTurn_3_1 >= 1)) or (((Turn_1_4 >= 1) and (TestTurn_2_1 >= 1)) or (((Turn_1_4 >= 1) and (TestTurn_1_1 >= 1)) or (((TestTurn_0_1 >= 1) and (Turn_1_4 >= 1)) or (((Turn_1_3 >= 1) and (TestTurn_6_1 >= 1)) or (((Turn_1_3 >= 1) and (TestTurn_5_1 >= 1)) or (((Turn_1_3 >= 1) and (TestTurn_4_1 >= 1)) or (((TestTurn_4_1 >= 1) and (Turn_1_0 >= 1)) or (((TestTurn_5_1 >= 1) and (Turn_1_0 >= 1)) or (((TestTurn_2_1 >= 1) and (Turn_1_0 >= 1)) or (((Turn_1_0 >= 1) and (TestTurn_3_1 >= 1)) or (((TestTurn_5_0 >= 1) and (Turn_0_6 >= 1)) or (((TestTurn_1_1 >= 1) and (Turn_1_0 >= 1)) or (((TestTurn_3_0 >= 1) and (Turn_0_6 >= 1)) or (((Turn_0_6 >= 1) and (TestTurn_4_0 >= 1)) or (((TestTurn_6_1 >= 1) and (Turn_1_1 >= 1)) or (((TestTurn_0_1 >= 1) and (Turn_1_2 >= 1)) or (((TestTurn_4_1 >= 1) and (Turn_1_1 >= 1)) or (((Turn_1_1 >= 1) and (TestTurn_5_1 >= 1)) or (((TestTurn_2_1 >= 1) and (Turn_1_1 >= 1)) or (((TestTurn_3_1 >= 1) and (Turn_1_1 >= 1)) or (((TestTurn_6_1 >= 1) and (Turn_1_0 >= 1)) or (((Turn_1_1 >= 1) and (TestTurn_0_1 >= 1)) or (((TestTurn_5_0 >= 1) and (Turn_0_4 >= 1)) or (((Turn_0_4 >= 1) and (TestTurn_3_0 >= 1)) or (((TestTurn_0_0 >= 1) and (Turn_0_5 >= 1)) or (((Turn_0_4 >= 1) and (TestTurn_6_0 >= 1)) or (((TestTurn_0_0 >= 1) and (Turn_0_4 >= 1)) or (((Turn_0_3 >= 1) and (TestTurn_6_0 >= 1)) or (((TestTurn_2_0 >= 1) and (Turn_0_4 >= 1)) or (((Turn_0_4 >= 1) and (TestTurn_1_0 >= 1)) or (((TestTurn_0_0 >= 1) and (Turn_0_6 >= 1)) or (((Turn_0_5 >= 1) and (TestTurn_6_0 >= 1)) or (((TestTurn_2_0 >= 1) and (Turn_0_6 >= 1)) or (((Turn_0_6 >= 1) and (TestTurn_1_0 >= 1)) or (((TestTurn_2_0 >= 1) and (Turn_0_5 >= 1)) or (((Turn_0_5 >= 1) and (TestTurn_1_0 >= 1)) or (((TestTurn_4_0 >= 1) and (Turn_0_5 >= 1)) or (((Turn_0_5 >= 1) and (TestTurn_3_0 >= 1)) or (((TestTurn_6_0 >= 1) and (Turn_0_1 >= 1)) or (((TestTurn_0_0 >= 1) and (Turn_0_2 >= 1)) or (((TestTurn_1_0 >= 1) and (Turn_0_2 >= 1)) or (((TestTurn_3_0 >= 1) and (Turn_0_2 >= 1)) or (((TestTurn_2_0 >= 1) and (Turn_0_1 >= 1)) or (((TestTurn_3_0 >= 1) and (Turn_0_1 >= 1)) or (((TestTurn_4_0 >= 1) and (Turn_0_1 >= 1)) or (((TestTurn_5_0 >= 1) and (Turn_0_1 >= 1)) or (((TestTurn_1_0 >= 1) and (Turn_0_3 >= 1)) or (((TestTurn_2_0 >= 1) and (Turn_0_3 >= 1)) or (((TestTurn_4_0 >= 1) and (Turn_0_3 >= 1)) or (((TestTurn_5_0 >= 1) and (Turn_0_3 >= 1)) or (((TestTurn_4_0 >= 1) and (Turn_0_2 >= 1)) or (((TestTurn_5_0 >= 1) and (Turn_0_2 >= 1)) or (((TestTurn_6_0 >= 1) and (Turn_0_2 >= 1)) or ((TestTurn_0_0 >= 1) and (Turn_0_3 >= 1))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))) and (((WantSection_6_T >= 1) and (CS_6 >= 1)) or (((CS_5 >= 1) and (WantSection_5_T >= 1)) or (((CS_4 >= 1) and (WantSection_4_T >= 1)) or (((WantSection_0_T >= 1) and (CS_0 >= 1)) or (((CS_1 >= 1) and (WantSection_1_T >= 1)) or (((WantSection_2_T >= 1) and (CS_2 >= 1)) or ((CS_3 >= 1) and (WantSection_3_T >= 1))))))))))
Query after reduction: AG ((((((AskForSection_0_3 < 1) or (Turn_3_5 < 1)) and (((Turn_3_5 < 1) or (AskForSection_1_3 < 1)) and (((AskForSection_2_3 < 1) or (Turn_3_5 < 1)) and (((Turn_3_5 < 1) or (AskForSection_3_3 < 1)) and (((AskForSection_3_3 < 1) or (Turn_3_4 < 1)) and (((Turn_3_4 < 1) or (AskForSection_4_3 < 1)) and (((AskForSection_5_3 < 1) or (Turn_3_4 < 1)) and (((Turn_3_4 < 1) or (AskForSection_6_3 < 1)) and (((AskForSection_6_3 < 1) or (Turn_3_3 < 1)) and (((Turn_3_4 < 1) or (AskForSection_0_3 < 1)) and (((AskForSection_1_3 < 1) or (Turn_3_4 < 1)) and (((Turn_3_4 < 1) or (AskForSection_2_3 < 1)) and (((Turn_3_3 < 1) or (AskForSection_2_3 < 1)) and (((Turn_3_3 < 1) or (AskForSection_3_3 < 1)) and (((Turn_3_3 < 1) or (AskForSection_4_3 < 1)) and (((Turn_3_3 < 1) or (AskForSection_5_3 < 1)) and (((Turn_3_2 < 1) or (AskForSection_6_3 < 1)) and (((Turn_3_2 < 1) or (AskForSection_5_3 < 1)) and (((Turn_3_3 < 1) or (AskForSection_1_3 < 1)) and (((Turn_3_3 < 1) or (AskForSection_0_3 < 1)) and (((Turn_3_2 < 1) or (AskForSection_2_3 < 1)) and (((Turn_3_2 < 1) or (AskForSection_1_3 < 1)) and (((Turn_3_2 < 1) or (AskForSection_4_3 < 1)) and (((Turn_3_2 < 1) or (AskForSection_3_3 < 1)) and (((Turn_3_1 < 1) or (AskForSection_5_3 < 1)) and (((Turn_3_1 < 1) or (AskForSection_4_3 < 1)) and (((Turn_3_2 < 1) or (AskForSection_0_3 < 1)) and (((Turn_3_1 < 1) or (AskForSection_6_3 < 1)) and (((AskForSection_1_3 < 1) or (Turn_3_1 < 1)) and (((AskForSection_0_3 < 1) or (Turn_3_1 < 1)) and (((AskForSection_3_3 < 1) or (Turn_3_1 < 1)) and (((AskForSection_2_3 < 1) or (Turn_3_1 < 1)) and (((Turn_4_2 < 1) or (AskForSection_6_4 < 1)) and (((AskForSection_0_4 < 1) or (Turn_4_3 < 1)) and (((Turn_4_2 < 1) or (AskForSection_4_4 < 1)) and (((Turn_4_2 < 1) or (AskForSection_5_4 < 1)) and (((Turn_4_2 < 1) or (AskForSection_2_4 < 1)) and (((AskForSection_3_4 < 1) or (Turn_4_2 < 1)) and (((Turn_4_2 < 1) or (AskForSection_0_4 < 1)) and (((Turn_4_2 < 1) or (AskForSection_1_4 < 1)) and (((Turn_4_1 < 1) or (AskForSection_5_4 < 1)) and (((AskForSection_6_4 < 1) or (Turn_4_1 < 1)) and (((Turn_4_1 < 1) or (AskForSection_3_4 < 1)) and (((Turn_4_1 < 1) or (AskForSection_4_4 < 1)) and (((AskForSection_1_4 < 1) or (Turn_4_1 < 1)) and (((AskForSection_2_4 < 1) or (Turn_4_1 < 1)) and (((AskForSection_6_4 < 1) or (Turn_4_0 < 1)) and (((Turn_4_1 < 1) or (AskForSection_0_4 < 1)) and (((AskForSection_5_4 < 1) or (Turn_4_0 < 1)) and (((AskForSection_4_4 < 1) or (Turn_4_0 < 1)) and (((Turn_4_0 < 1) or (AskForSection_3_4 < 1)) and (((AskForSection_2_4 < 1) or (Turn_4_0 < 1)) and (((AskForSection_1_4 < 1) or (Turn_4_0 < 1)) and (((AskForSection_0_4 < 1) or (Turn_4_0 < 1)) and (((Turn_3_6 < 1) or (AskForSection_6_3 < 1)) and (((AskForSection_5_3 < 1) or (Turn_3_6 < 1)) and (((AskForSection_4_3 < 1) or (Turn_3_6 < 1)) and (((AskForSection_3_3 < 1) or (Turn_3_6 < 1)) and (((Turn_3_6 < 1) or (AskForSection_2_3 < 1)) and (((AskForSection_1_3 < 1) or (Turn_3_6 < 1)) and (((AskForSection_0_3 < 1) or (Turn_3_6 < 1)) and (((AskForSection_6_3 < 1) or (Turn_3_5 < 1)) and (((Turn_3_5 < 1) or (AskForSection_5_3 < 1)) and (((AskForSection_4_3 < 1) or (Turn_3_5 < 1)) and (((AskForSection_2_2 < 1) or (Turn_2_2 < 1)) and (((Turn_2_2 < 1) or (AskForSection_3_2 < 1)) and (((AskForSection_4_2 < 1) or (Turn_2_2 < 1)) and (((Turn_2_2 < 1) or (AskForSection_5_2 < 1)) and (((AskForSection_6_2 < 1) or (Turn_2_2 < 1)) and (((Turn_2_3 < 1) or (AskForSection_0_2 < 1)) and (((AskForSection_1_2 < 1) or (Turn_2_3 < 1)) and (((AskForSection_2_2 < 1) or (Turn_2_3 < 1)) and (((Turn_2_1 < 1) or (AskForSection_1_2 < 1)) and (((Turn_2_1 < 1) or (AskForSection_2_2 < 1)) and (((Turn_2_1 < 1) or (AskForSection_3_2 < 1)) and (((Turn_2_1 < 1) or (AskForSection_4_2 < 1)) and (((AskForSection_5_2 < 1) or (Turn_2_1 < 1)) and (((Turn_2_1 < 1) or (AskForSection_6_2 < 1)) and (((AskForSection_0_2 < 1) or (Turn_2_2 < 1)) and (((AskForSection_1_2 < 1) or (Turn_2_2 < 1)) and (((Turn_2_0 < 1) or (AskForSection_1_2 < 1)) and (((Turn_2_0 < 1) or (AskForSection_0_2 < 1)) and (((Turn_2_0 < 1) or (AskForSection_3_2 < 1)) and (((Turn_2_0 < 1) or (AskForSection_2_2 < 1)) and (((Turn_2_0 < 1) or (AskForSection_5_2 < 1)) and (((Turn_2_0 < 1) or (AskForSection_4_2 < 1)) and (((AskForSection_0_2 < 1) or (Turn_2_1 < 1)) and (((Turn_2_0 < 1) or (AskForSection_6_2 < 1)) and (((AskForSection_0_1 < 1) or (Turn_1_6 < 1)) and (((AskForSection_6_1 < 1) or (Turn_1_5 < 1)) and (((AskForSection_2_1 < 1) or (Turn_1_6 < 1)) and (((AskForSection_1_1 < 1) or (Turn_1_6 < 1)) and (((Turn_1_6 < 1) or (AskForSection_4_1 < 1)) and (((Turn_1_6 < 1) or (AskForSection_3_1 < 1)) and (((AskForSection_6_1 < 1) or (Turn_1_6 < 1)) and (((Turn_1_6 < 1) or (AskForSection_5_1 < 1)) and (((AskForSection_1_3 < 1) or (Turn_3_0 < 1)) and (((Turn_3_0 < 1) or (AskForSection_2_3 < 1)) and (((AskForSection_6_2 < 1) or (Turn_2_6 < 1)) and (((AskForSection_0_3 < 1) or (Turn_3_0 < 1)) and (((AskForSection_5_3 < 1) or (Turn_3_0 < 1)) and (((Turn_3_0 < 1) or (AskForSection_6_3 < 1)) and (((AskForSection_3_3 < 1) or (Turn_3_0 < 1)) and (((AskForSection_4_3 < 1) or (Turn_3_0 < 1)) and (((Turn_2_6 < 1) or (AskForSection_0_2 < 1)) and (((Turn_2_6 < 1) or (AskForSection_1_2 < 1)) and (((Turn_2_5 < 1) or (AskForSection_5_2 < 1)) and (((AskForSection_6_2 < 1) or (Turn_2_5 < 1)) and (((AskForSection_4_2 < 1) or (Turn_2_6 < 1)) and (((Turn_2_6 < 1) or (AskForSection_5_2 < 1)) and (((AskForSection_2_2 < 1) or (Turn_2_6 < 1)) and (((AskForSection_3_2 < 1) or (Turn_2_6 < 1)) and (((Turn_2_5 < 1) or (AskForSection_0_2 < 1)) and (((Turn_2_4 < 1) or (AskForSection_6_2 < 1)) and (((AskForSection_5_2 < 1) or (Turn_2_4 < 1)) and (((Turn_2_4 < 1) or (AskForSection_4_2 < 1)) and (((Turn_2_5 < 1) or (AskForSection_4_2 < 1)) and (((Turn_2_5 < 1) or (AskForSection_3_2 < 1)) and (((AskForSection_2_2 < 1) or (Turn_2_5 < 1)) and (((Turn_2_5 < 1) or (AskForSection_1_2 < 1)) and (((AskForSection_6_2 < 1) or (Turn_2_3 < 1)) and (((AskForSection_5_2 < 1) or (Turn_2_3 < 1)) and (((Turn_2_3 < 1) or (AskForSection_4_2 < 1)) and (((AskForSection_3_2 < 1) or (Turn_2_3 < 1)) and (((Turn_2_4 < 1) or (AskForSection_3_2 < 1)) and (((Turn_2_4 < 1) or (AskForSection_2_2 < 1)) and (((AskForSection_1_2 < 1) or (Turn_2_4 < 1)) and (((Turn_2_4 < 1) or (AskForSection_0_2 < 1)) and (((AskForSection_6_5 < 1) or (Turn_5_5 < 1)) and (((AskForSection_0_5 < 1) or (Turn_5_6 < 1)) and (((AskForSection_1_5 < 1) or (Turn_5_6 < 1)) and (((Turn_5_6 < 1) or (AskForSection_2_5 < 1)) and (((Turn_5_5 < 1) or (AskForSection_2_5 < 1)) and (((Turn_5_5 < 1) or (AskForSection_3_5 < 1)) and (((Turn_5_5 < 1) or (AskForSection_4_5 < 1)) and (((AskForSection_5_5 < 1) or (Turn_5_5 < 1)) and (((AskForSection_3_5 < 1) or (Turn_5_6 < 1)) and (((AskForSection_4_5 < 1) or (Turn_5_6 < 1)) and (((AskForSection_5_5 < 1) or (Turn_5_6 < 1)) and (((AskForSection_6_5 < 1) or (Turn_5_6 < 1)) and (((AskForSection_4_4 < 1) or (Turn_4_5 < 1)) and (((AskForSection_3_4 < 1) or (Turn_4_5 < 1)) and (((AskForSection_6_4 < 1) or (Turn_4_5 < 1)) and (((AskForSection_5_4 < 1) or (Turn_4_5 < 1)) and (((AskForSection_1_4 < 1) or (Turn_4_6 < 1)) and (((Turn_4_6 < 1) or (AskForSection_0_4 < 1)) and (((AskForSection_3_4 < 1) or (Turn_4_6 < 1)) and (((Turn_4_6 < 1) or (AskForSection_2_4 < 1)) and (((AskForSection_5_4 < 1) or (Turn_4_6 < 1)) and (((Turn_4_6 < 1) or (AskForSection_4_4 < 1)) and (((AskForSection_0_5 < 1) or (Turn_5_0 < 1)) and (((Turn_4_6 < 1) or (AskForSection_6_4 < 1)) and (((AskForSection_2_5 < 1) or (Turn_5_0 < 1)) and (((Turn_5_0 < 1) or (AskForSection_1_5 < 1)) and (((AskForSection_4_5 < 1) or (Turn_5_0 < 1)) and (((Turn_5_0 < 1) or (AskForSection_3_5 < 1)) and (((Turn_4_3 < 1) or (AskForSection_1_4 < 1)) and (((Turn_4_3 < 1) or (AskForSection_2_4 < 1)) and (((Turn_4_3 < 1) or (AskForSection_3_4 < 1)) and (((AskForSection_4_4 < 1) or (Turn_4_3 < 1)) and (((AskForSection_5_4 < 1) or (Turn_4_3 < 1)) and (((AskForSection_6_4 < 1) or (Turn_4_3 < 1)) and (((AskForSection_0_4 < 1) or (Turn_4_4 < 1)) and (((AskForSection_1_4 < 1) or (Turn_4_4 < 1)) and (((AskForSection_2_4 < 1) or (Turn_4_4 < 1)) and (((AskForSection_3_4 < 1) or (Turn_4_4 < 1)) and (((AskForSection_4_4 < 1) or (Turn_4_4 < 1)) and (((AskForSection_5_4 < 1) or (Turn_4_4 < 1)) and (((AskForSection_6_4 < 1) or (Turn_4_4 < 1)) and (((AskForSection_0_4 < 1) or (Turn_4_5 < 1)) and (((AskForSection_1_4 < 1) or (Turn_4_5 < 1)) and (((AskForSection_2_4 < 1) or (Turn_4_5 < 1)) and (((AskForSection_3_5 < 1) or (Turn_5_3 < 1)) and (((AskForSection_2_5 < 1) or (Turn_5_3 < 1)) and (((Turn_5_3 < 1) or (AskForSection_1_5 < 1)) and (((AskForSection_0_5 < 1) or (Turn_5_3 < 1)) and (((Turn_5_4 < 1) or (AskForSection_0_5 < 1)) and (((Turn_5_3 < 1) or (AskForSection_6_5 < 1)) and (((Turn_5_3 < 1) or (AskForSection_5_5 < 1)) and (((Turn_5_3 < 1) or (AskForSection_4_5 < 1)) and (((AskForSection_4_5 < 1) or (Turn_5_4 < 1)) and (((Turn_5_4 < 1) or (AskForSection_3_5 < 1)) and (((Turn_5_4 < 1) or (AskForSection_2_5 < 1)) and (((Turn_5_4 < 1) or (AskForSection_1_5 < 1)) and (((Turn_5_5 < 1) or (AskForSection_1_5 < 1)) and (((Turn_5_5 < 1) or (AskForSection_0_5 < 1)) and (((Turn_5_4 < 1) or (AskForSection_6_5 < 1)) and (((Turn_5_4 < 1) or (AskForSection_5_5 < 1)) and (((Turn_5_1 < 1) or (AskForSection_0_5 < 1)) and (((AskForSection_1_5 < 1) or (Turn_5_1 < 1)) and (((Turn_5_0 < 1) or (AskForSection_5_5 < 1)) and (((AskForSection_6_5 < 1) or (Turn_5_0 < 1)) and (((AskForSection_4_5 < 1) or (Turn_5_1 < 1)) and (((Turn_5_1 < 1) or (AskForSection_5_5 < 1)) and (((AskForSection_2_5 < 1) or (Turn_5_1 < 1)) and (((Turn_5_1 < 1) or (AskForSection_3_5 < 1)) and (((AskForSection_1_5 < 1) or (Turn_5_2 < 1)) and (((AskForSection_2_5 < 1) or (Turn_5_2 < 1)) and (((AskForSection_6_5 < 1) or (Turn_5_1 < 1)) and (((Turn_5_2 < 1) or (AskForSection_0_5 < 1)) and (((AskForSection_5_5 < 1) or (Turn_5_2 < 1)) and (((Turn_5_2 < 1) or (AskForSection_6_5 < 1)) and (((AskForSection_3_5 < 1) or (Turn_5_2 < 1)) and (((Turn_5_2 < 1) or (AskForSection_4_5 < 1)) and (((AskForSection_4_0 < 1) or (Turn_0_4 < 1)) and (((Turn_0_4 < 1) or (AskForSection_5_0 < 1)) and (((AskForSection_2_0 < 1) or (Turn_0_4 < 1)) and (((Turn_0_4 < 1) or (AskForSection_3_0 < 1)) and (((Turn_0_4 < 1) or (AskForSection_0_0 < 1)) and (((AskForSection_1_0 < 1) or (Turn_0_4 < 1)) and (((Turn_0_3 < 1) or (AskForSection_5_0 < 1)) and (((AskForSection_6_0 < 1) or (Turn_0_3 < 1)) and (((AskForSection_5_0 < 1) or (Turn_0_5 < 1)) and (((Turn_0_5 < 1) or (AskForSection_6_0 < 1)) and (((AskForSection_3_0 < 1) or (Turn_0_5 < 1)) and (((Turn_0_5 < 1) or (AskForSection_4_0 < 1)) and (((AskForSection_1_0 < 1) or (Turn_0_5 < 1)) and (((AskForSection_2_0 < 1) or (Turn_0_5 < 1)) and (((AskForSection_6_0 < 1) or (Turn_0_4 < 1)) and (((Turn_0_5 < 1) or (AskForSection_0_0 < 1)) and (((Turn_1_0 < 1) or (AskForSection_0_1 < 1)) and (((Turn_0_6 < 1) or (AskForSection_6_0 < 1)) and (((Turn_0_6 < 1) or (AskForSection_5_0 < 1)) and (((Turn_0_6 < 1) or (AskForSection_4_0 < 1)) and (((Turn_0_6 < 1) or (AskForSection_3_0 < 1)) and (((AskForSection_2_0 < 1) or (Turn_0_6 < 1)) and (((Turn_0_6 < 1) or (AskForSection_1_0 < 1)) and (((AskForSection_0_0 < 1) or (Turn_0_6 < 1)) and (((Turn_1_1 < 1) or (AskForSection_1_1 < 1)) and (((Turn_1_1 < 1) or (AskForSection_0_1 < 1)) and (((Turn_1_0 < 1) or (AskForSection_6_1 < 1)) and (((Turn_1_0 < 1) or (AskForSection_5_1 < 1)) and (((AskForSection_4_1 < 1) or (Turn_1_0 < 1)) and (((Turn_1_0 < 1) or (AskForSection_3_1 < 1)) and (((Turn_1_0 < 1) or (AskForSection_2_1 < 1)) and (((Turn_1_0 < 1) or (AskForSection_1_1 < 1)) and (((Turn_1_1 < 1) or (AskForSection_6_1 < 1)) and (((AskForSection_0_1 < 1) or (Turn_1_2 < 1)) and (((Turn_1_2 < 1) or (AskForSection_1_1 < 1)) and (((AskForSection_2_1 < 1) or (Turn_1_2 < 1)) and (((Turn_1_1 < 1) or (AskForSection_2_1 < 1)) and (((Turn_1_1 < 1) or (AskForSection_3_1 < 1)) and (((Turn_1_1 < 1) or (AskForSection_4_1 < 1)) and (((Turn_1_1 < 1) or (AskForSection_5_1 < 1)) and (((Turn_1_3 < 1) or (AskForSection_0_1 < 1)) and (((AskForSection_1_1 < 1) or (Turn_1_3 < 1)) and (((Turn_1_3 < 1) or (AskForSection_2_1 < 1)) and (((AskForSection_3_1 < 1) or (Turn_1_3 < 1)) and (((Turn_1_2 < 1) or (AskForSection_3_1 < 1)) and (((AskForSection_4_1 < 1) or (Turn_1_2 < 1)) and (((Turn_1_2 < 1) or (AskForSection_5_1 < 1)) and (((Turn_1_2 < 1) or (AskForSection_6_1 < 1)) and (((AskForSection_2_1 < 1) or (Turn_1_4 < 1)) and (((AskForSection_1_1 < 1) or (Turn_1_4 < 1)) and (((AskForSection_4_1 < 1) or (Turn_1_4 < 1)) and (((AskForSection_3_1 < 1) or (Turn_1_4 < 1)) and (((AskForSection_5_1 < 1) or (Turn_1_3 < 1)) and (((Turn_1_3 < 1) or (AskForSection_4_1 < 1)) and (((Turn_1_4 < 1) or (AskForSection_0_1 < 1)) and (((Turn_1_3 < 1) or (AskForSection_6_1 < 1)) and (((AskForSection_3_1 < 1) or (Turn_1_5 < 1)) and (((AskForSection_2_1 < 1) or (Turn_1_5 < 1)) and (((AskForSection_5_1 < 1) or (Turn_1_5 < 1)) and (((AskForSection_4_1 < 1) or (Turn_1_5 < 1)) and (((AskForSection_6_1 < 1) or (Turn_1_4 < 1)) and (((AskForSection_5_1 < 1) or (Turn_1_4 < 1)) and (((Turn_1_5 < 1) or (AskForSection_1_1 < 1)) and (((AskForSection_0_1 < 1) or (Turn_1_5 < 1)) and (((AskForSection_0_0 < 1) or (Turn_0_0 < 1)) and (((Turn_0_0 < 1) or (AskForSection_1_0 < 1)) and (((AskForSection_2_0 < 1) or (Turn_0_0 < 1)) and (((AskForSection_3_0 < 1) or (Turn_0_0 < 1)) and (((AskForSection_4_0 < 1) or (Turn_0_0 < 1)) and (((AskForSection_5_0 < 1) or (Turn_0_0 < 1)) and (((AskForSection_6_0 < 1) or (Turn_0_0 < 1)) and (((AskForSection_0_0 < 1) or (Turn_0_1 < 1)) and (((AskForSection_1_0 < 1) or (Turn_0_1 < 1)) and (((Turn_0_1 < 1) or (AskForSection_2_0 < 1)) and (((AskForSection_4_0 < 1) or (Turn_0_1 < 1)) and (((AskForSection_3_0 < 1) or (Turn_0_1 < 1)) and (((Turn_0_1 < 1) or (AskForSection_6_0 < 1)) and (((AskForSection_5_0 < 1) or (Turn_0_1 < 1)) and (((AskForSection_1_0 < 1) or (Turn_0_2 < 1)) and (((Turn_0_2 < 1) or (AskForSection_0_0 < 1)) and (((Turn_0_2 < 1) or (AskForSection_3_0 < 1)) and (((Turn_0_2 < 1) or (AskForSection_2_0 < 1)) and (((AskForSection_5_0 < 1) or (Turn_0_2 < 1)) and (((Turn_0_2 < 1) or (AskForSection_4_0 < 1)) and (((AskForSection_0_0 < 1) or (Turn_0_3 < 1)) and (((Turn_0_2 < 1) or (AskForSection_6_0 < 1)) and (((AskForSection_2_0 < 1) or (Turn_0_3 < 1)) and (((Turn_0_3 < 1) or (AskForSection_1_0 < 1)) and (((Turn_0_3 < 1) or (AskForSection_4_0 < 1)) and ((Turn_0_3 < 1) or (AskForSection_3_0 < 1))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))) or (not (((TestAlone_4_5_5 >= 1) and (WantSection_5_T >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_3_5_5 >= 1)) or (((TestAlone_2_5_5 >= 1) and (WantSection_5_T >= 1)) or (((TestAlone_1_5_5 >= 1) and (WantSection_5_T >= 1)) or (((TestAlone_2_5_6 >= 1) and (WantSection_6_T >= 1)) or (((TestAlone_1_5_6 >= 1) and (WantSection_6_T >= 1)) or (((TestAlone_0_5_6 >= 1) and (WantSection_6_T >= 1)) or (((TestAlone_6_5_5 >= 1) and (WantSection_5_T >= 1)) or (((TestAlone_2_5_4 >= 1) and (WantSection_4_T >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_1_5_4 >= 1)) or (((TestAlone_0_5_4 >= 1) and (WantSection_4_T >= 1)) or (((TestAlone_6_5_3 >= 1) and (WantSection_3_T >= 1)) or (((TestAlone_0_5_5 >= 1) and (WantSection_5_T >= 1)) or (((TestAlone_6_5_4 >= 1) and (WantSection_4_T >= 1)) or (((TestAlone_5_5_4 >= 1) and (WantSection_4_T >= 1)) or (((TestAlone_3_5_4 >= 1) and (WantSection_4_T >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_5_5_6 >= 1)) or (((TestAlone_3_5_6 >= 1) and (WantSection_6_T >= 1)) or (((TestAlone_4_5_6 >= 1) and (WantSection_6_T >= 1)) or (((TestAlone_5_5_0 >= 1) and (WantSection_0_T >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_4_5_0 >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_0_5_1 >= 1)) or (((TestAlone_6_5_0 >= 1) and (WantSection_0_T >= 1)) or (((TestAlone_1_5_0 >= 1) and (WantSection_0_T >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_5_4_6 >= 1)) or (((TestAlone_3_5_0 >= 1) and (WantSection_0_T >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_2_5_0 >= 1)) or (((TestAlone_2_4_6 >= 1) and (WantSection_6_T >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_1_4_6 >= 1)) or (((TestAlone_4_4_6 >= 1) and (WantSection_6_T >= 1)) or (((TestAlone_3_4_6 >= 1) and (WantSection_6_T >= 1)) or (((TestAlone_4_4_5 >= 1) and (WantSection_5_T >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_3_4_5 >= 1)) or (((TestAlone_0_4_6 >= 1) and (WantSection_6_T >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_6_4_5 >= 1)) or (((TestAlone_1_5_3 >= 1) and (WantSection_3_T >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_2_5_3 >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_4_5_3 >= 1)) or (((TestAlone_5_5_3 >= 1) and (WantSection_3_T >= 1)) or (((TestAlone_4_5_2 >= 1) and (WantSection_2_T >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_5_5_2 >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_6_5_2 >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_0_5_3 >= 1)) or (((TestAlone_6_5_1 >= 1) and (WantSection_1_T >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_0_5_2 >= 1)) or (((TestAlone_1_5_2 >= 1) and (WantSection_2_T >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_3_5_2 >= 1)) or (((TestAlone_2_5_1 >= 1) and (WantSection_1_T >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_3_5_1 >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_4_5_1 >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_5_5_1 >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_5_4_2 >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_4_4_2 >= 1)) or (((TestAlone_3_4_2 >= 1) and (WantSection_2_T >= 1)) or (((TestAlone_1_4_2 >= 1) and (WantSection_2_T >= 1)) or (((TestAlone_0_4_2 >= 1) and (WantSection_2_T >= 1)) or (((TestAlone_6_4_1 >= 1) and (WantSection_1_T >= 1)) or (((TestAlone_5_4_1 >= 1) and (WantSection_1_T >= 1)) or (((TestAlone_4_4_1 >= 1) and (WantSection_1_T >= 1)) or (((TestAlone_3_4_1 >= 1) and (WantSection_1_T >= 1)) or (((TestAlone_2_4_1 >= 1) and (WantSection_1_T >= 1)) or (((TestAlone_0_4_1 >= 1) and (WantSection_1_T >= 1)) or (((TestAlone_6_4_0 >= 1) and (WantSection_0_T >= 1)) or (((TestAlone_5_4_0 >= 1) and (WantSection_0_T >= 1)) or (((TestAlone_4_4_0 >= 1) and (WantSection_0_T >= 1)) or (((TestAlone_3_4_0 >= 1) and (WantSection_0_T >= 1)) or (((TestAlone_2_4_0 >= 1) and (WantSection_0_T >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_1_4_5 >= 1)) or (((TestAlone_2_4_5 >= 1) and (WantSection_5_T >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_6_4_4 >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_0_4_5 >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_3_4_4 >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_5_4_4 >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_1_4_4 >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_2_4_4 >= 1)) or (((TestAlone_6_4_3 >= 1) and (WantSection_3_T >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_0_4_4 >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_4_4_3 >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_5_4_3 >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_1_4_3 >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_2_4_3 >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_6_4_2 >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_0_4_3 >= 1)) or (((TestAlone_2_1_1 >= 1) and (WantSection_1_T >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_3_1_1 >= 1)) or (((TestAlone_4_1_1 >= 1) and (WantSection_1_T >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_5_1_1 >= 1)) or (((TestAlone_6_1_1 >= 1) and (WantSection_1_T >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_0_1_2 >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_1_1_2 >= 1)) or (((TestAlone_3_1_2 >= 1) and (WantSection_2_T >= 1)) or (((TestAlone_5_0_6 >= 1) and (WantSection_6_T >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_1_1_0 >= 1)) or (((TestAlone_2_1_0 >= 1) and (WantSection_0_T >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_3_1_0 >= 1)) or (((TestAlone_4_1_0 >= 1) and (WantSection_0_T >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_5_1_0 >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_6_1_0 >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_0_1_1 >= 1)) or (((TestAlone_0_1_4 >= 1) and (WantSection_4_T >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_6_1_3 >= 1)) or (((TestAlone_2_1_4 >= 1) and (WantSection_4_T >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_1_1_4 >= 1)) or (((TestAlone_5_1_4 >= 1) and (WantSection_4_T >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_3_1_4 >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_0_1_5 >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_6_1_4 >= 1)) or (((TestAlone_5_1_2 >= 1) and (WantSection_2_T >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_4_1_2 >= 1)) or (((TestAlone_0_1_3 >= 1) and (WantSection_3_T >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_6_1_2 >= 1)) or (((TestAlone_2_1_3 >= 1) and (WantSection_3_T >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_1_1_3 >= 1)) or (((TestAlone_5_1_3 >= 1) and (WantSection_3_T >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_4_1_3 >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_5_1_6 >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_1_2_0 >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_3_1_6 >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_4_1_6 >= 1)) or (((TestAlone_4_2_0 >= 1) and (WantSection_0_T >= 1)) or (((TestAlone_5_2_0 >= 1) and (WantSection_0_T >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_2_2_0 >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_3_2_0 >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_3_1_5 >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_4_1_5 >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_1_1_5 >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_2_1_5 >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_1_1_6 >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_2_1_6 >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_6_1_5 >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_0_1_6 >= 1)) or (((TestAlone_5_2_2 >= 1) and (WantSection_2_T >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_4_2_2 >= 1)) or (((TestAlone_3_2_2 >= 1) and (WantSection_2_T >= 1)) or (((TestAlone_1_2_2 >= 1) and (WantSection_2_T >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_2_2_3 >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_1_2_3 >= 1)) or (((TestAlone_0_2_3 >= 1) and (WantSection_3_T >= 1)) or (((TestAlone_6_2_2 >= 1) and (WantSection_2_T >= 1)) or (((TestAlone_3_2_1 >= 1) and (WantSection_1_T >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_2_2_1 >= 1)) or (((TestAlone_0_2_1 >= 1) and (WantSection_1_T >= 1)) or (((TestAlone_6_2_0 >= 1) and (WantSection_0_T >= 1)) or (((TestAlone_0_2_2 >= 1) and (WantSection_2_T >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_6_2_1 >= 1)) or (((TestAlone_5_2_1 >= 1) and (WantSection_1_T >= 1)) or (((TestAlone_4_2_1 >= 1) and (WantSection_1_T >= 1)) or (((TestAlone_3_2_5 >= 1) and (WantSection_5_T >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_4_2_5 >= 1)) or (((TestAlone_6_2_5 >= 1) and (WantSection_5_T >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_0_2_6 >= 1)) or (((TestAlone_6_2_4 >= 1) and (WantSection_4_T >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_0_2_5 >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_1_2_5 >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_2_2_5 >= 1)) or (((TestAlone_1_2_4 >= 1) and (WantSection_4_T >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_2_2_4 >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_3_2_4 >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_5_2_4 >= 1)) or (((TestAlone_4_2_3 >= 1) and (WantSection_3_T >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_5_2_3 >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_6_2_3 >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_0_2_4 >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_3_3_1 >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_2_3_1 >= 1)) or (((TestAlone_5_3_1 >= 1) and (WantSection_1_T >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_4_3_1 >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_5_3_0 >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_4_3_0 >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_0_3_1 >= 1)) or (((TestAlone_6_3_0 >= 1) and (WantSection_0_T >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_1_3_0 >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_5_2_6 >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_3_3_0 >= 1)) or (((TestAlone_2_3_0 >= 1) and (WantSection_0_T >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_2_2_6 >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_1_2_6 >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_4_2_6 >= 1)) or (((TestAlone_3_2_6 >= 1) and (WantSection_6_T >= 1)) or (((TestAlone_1_3_4 >= 1) and (WantSection_4_T >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_2_3_4 >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_6_3_3 >= 1)) or (((TestAlone_0_3_4 >= 1) and (WantSection_4_T >= 1)) or (((TestAlone_4_3_3 >= 1) and (WantSection_3_T >= 1)) or (((TestAlone_5_3_3 >= 1) and (WantSection_3_T >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_1_3_3 >= 1)) or (((TestAlone_2_3_3 >= 1) and (WantSection_3_T >= 1)) or (((TestAlone_6_3_2 >= 1) and (WantSection_2_T >= 1)) or (((TestAlone_0_3_3 >= 1) and (WantSection_3_T >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_4_3_2 >= 1)) or (((TestAlone_5_3_2 >= 1) and (WantSection_2_T >= 1)) or (((TestAlone_1_3_2 >= 1) and (WantSection_2_T >= 1)) or (((TestAlone_3_3_2 >= 1) and (WantSection_2_T >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_6_3_1 >= 1)) or (((TestAlone_0_3_2 >= 1) and (WantSection_2_T >= 1)) or (((TestAlone_1_4_0 >= 1) and (WantSection_0_T >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_5_3_6 >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_4_3_6 >= 1)) or (((TestAlone_3_3_6 >= 1) and (WantSection_6_T >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_2_3_6 >= 1)) or (((TestAlone_1_3_6 >= 1) and (WantSection_6_T >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_0_3_6 >= 1)) or (((TestAlone_6_3_5 >= 1) and (WantSection_5_T >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_4_3_5 >= 1)) or (((TestAlone_3_3_5 >= 1) and (WantSection_5_T >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_2_3_5 >= 1)) or (((TestAlone_1_3_5 >= 1) and (WantSection_5_T >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_0_3_5 >= 1)) or (((TestAlone_6_3_4 >= 1) and (WantSection_4_T >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_5_3_4 >= 1)) or (((TestAlone_3_3_4 >= 1) and (WantSection_4_T >= 1)) or (((TestAlone_1_0_0 >= 1) and (WantSection_0_T >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_6_0_0 >= 1)) or (((TestAlone_0_0_1 >= 1) and (WantSection_1_T >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_2_0_1 >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_3_0_1 >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_2_0_0 >= 1)) or (((TestAlone_3_0_0 >= 1) and (WantSection_0_T >= 1)) or (((TestAlone_4_0_0 >= 1) and (WantSection_0_T >= 1)) or (((TestAlone_5_0_0 >= 1) and (WantSection_0_T >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_5_0_2 >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_4_0_2 >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_3_0_2 >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_1_0_2 >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_0_0_2 >= 1)) or (((TestAlone_6_0_1 >= 1) and (WantSection_1_T >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_5_0_1 >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_4_0_1 >= 1)) or (((TestAlone_0_0_4 >= 1) and (WantSection_4_T >= 1)) or (((TestAlone_6_0_3 >= 1) and (WantSection_3_T >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_5_0_3 >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_4_0_3 >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_2_0_3 >= 1)) or (((TestAlone_1_0_3 >= 1) and (WantSection_3_T >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_0_0_3 >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_6_0_2 >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_1_0_5 >= 1)) or (((TestAlone_2_0_5 >= 1) and (WantSection_5_T >= 1)) or (((TestAlone_6_0_4 >= 1) and (WantSection_4_T >= 1)) or (((TestAlone_0_0_5 >= 1) and (WantSection_5_T >= 1)) or (((TestAlone_3_0_4 >= 1) and (WantSection_4_T >= 1)) or (((TestAlone_5_0_4 >= 1) and (WantSection_4_T >= 1)) or (((TestAlone_1_0_4 >= 1) and (WantSection_4_T >= 1)) or (((TestAlone_2_0_4 >= 1) and (WantSection_4_T >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_3_0_6 >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_4_0_6 >= 1)) or (((TestAlone_1_0_6 >= 1) and (WantSection_6_T >= 1)) or (((TestAlone_2_0_6 >= 1) and (WantSection_6_T >= 1)) or (((TestAlone_6_0_5 >= 1) and (WantSection_5_T >= 1)) or (((TestAlone_0_0_6 >= 1) and (WantSection_6_T >= 1)) or (((TestAlone_3_0_5 >= 1) and (WantSection_5_T >= 1)) or ((TestAlone_4_0_5 >= 1) and (WantSection_5_T >= 1))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))) or (not ((((WantSection_6_F >= 1) and (TestAlone_4_3_6 >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_3_3_6 >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_1_4_0 >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_5_3_6 >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_3_4_0 >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_2_4_0 >= 1)) or (((TestAlone_5_4_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_4_4_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_0_4_1 >= 1) and (WantSection_1_F >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_6_4_0 >= 1)) or (((TestAlone_3_4_1 >= 1) and (WantSection_1_F >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_2_4_1 >= 1)) or (((TestAlone_5_4_1 >= 1) and (WantSection_1_F >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_4_4_1 >= 1)) or (((TestAlone_0_4_2 >= 1) and (WantSection_2_F >= 1)) or (((TestAlone_6_4_1 >= 1) and (WantSection_1_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_1_4_2 >= 1)) or (((TestAlone_3_4_2 >= 1) and (WantSection_2_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_4_4_2 >= 1)) or (((TestAlone_5_4_2 >= 1) and (WantSection_2_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_6_4_2 >= 1)) or (((TestAlone_0_4_3 >= 1) and (WantSection_3_F >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_1_4_3 >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_2_4_3 >= 1)) or (((TestAlone_4_4_3 >= 1) and (WantSection_3_F >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_5_4_3 >= 1)) or (((TestAlone_6_4_3 >= 1) and (WantSection_3_F >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_0_4_4 >= 1)) or (((TestAlone_1_4_4 >= 1) and (WantSection_4_F >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_2_4_4 >= 1)) or (((TestAlone_3_4_4 >= 1) and (WantSection_4_F >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_5_4_4 >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_2_4_5 >= 1)) or (((TestAlone_1_4_5 >= 1) and (WantSection_5_F >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_0_4_5 >= 1)) or (((TestAlone_6_4_4 >= 1) and (WantSection_4_F >= 1)) or (((TestAlone_0_4_6 >= 1) and (WantSection_6_F >= 1)) or (((TestAlone_6_4_5 >= 1) and (WantSection_5_F >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_4_4_5 >= 1)) or (((TestAlone_3_4_5 >= 1) and (WantSection_5_F >= 1)) or (((TestAlone_4_4_6 >= 1) and (WantSection_6_F >= 1)) or (((TestAlone_3_4_6 >= 1) and (WantSection_6_F >= 1)) or (((TestAlone_2_4_6 >= 1) and (WantSection_6_F >= 1)) or (((TestAlone_1_4_6 >= 1) and (WantSection_6_F >= 1)) or (((TestAlone_3_5_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_2_5_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_1_5_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_5_4_6 >= 1) and (WantSection_6_F >= 1)) or (((TestAlone_6_5_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_0_5_1 >= 1) and (WantSection_1_F >= 1)) or (((TestAlone_4_5_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_5_5_0 >= 1) and (WantSection_0_F >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_4_5_1 >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_5_5_1 >= 1)) or (((TestAlone_2_5_1 >= 1) and (WantSection_1_F >= 1)) or (((TestAlone_3_5_1 >= 1) and (WantSection_1_F >= 1)) or (((TestAlone_1_5_2 >= 1) and (WantSection_2_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_3_5_2 >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_6_5_1 >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_0_5_2 >= 1)) or (((TestAlone_6_5_2 >= 1) and (WantSection_2_F >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_0_5_3 >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_4_5_2 >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_5_5_2 >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_0_5_4 >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_6_5_3 >= 1)) or (((TestAlone_2_5_4 >= 1) and (WantSection_4_F >= 1)) or (((TestAlone_1_5_4 >= 1) and (WantSection_4_F >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_2_5_3 >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_1_5_3 >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_5_5_3 >= 1)) or (((TestAlone_4_5_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_2_5_5 >= 1) and (WantSection_5_F >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_1_5_5 >= 1)) or (((TestAlone_4_5_5 >= 1) and (WantSection_5_F >= 1)) or (((TestAlone_3_5_5 >= 1) and (WantSection_5_F >= 1)) or (((TestAlone_5_5_4 >= 1) and (WantSection_4_F >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_3_5_4 >= 1)) or (((TestAlone_0_5_5 >= 1) and (WantSection_5_F >= 1)) or (((TestAlone_6_5_4 >= 1) and (WantSection_4_F >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_3_5_6 >= 1)) or (((TestAlone_4_5_6 >= 1) and (WantSection_6_F >= 1)) or (((TestAlone_5_5_6 >= 1) and (WantSection_6_F >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_6_5_5 >= 1)) or (((TestAlone_0_5_6 >= 1) and (WantSection_6_F >= 1)) or (((TestAlone_1_5_6 >= 1) and (WantSection_6_F >= 1)) or (((TestAlone_2_5_6 >= 1) and (WantSection_6_F >= 1)) or (((TestAlone_5_1_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_4_1_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_2_1_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_1_1_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_2_1_4 >= 1) and (WantSection_4_F >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_1_1_4 >= 1)) or (((TestAlone_0_1_4 >= 1) and (WantSection_4_F >= 1)) or (((TestAlone_6_1_3 >= 1) and (WantSection_3_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_3_1_2 >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_1_1_2 >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_0_1_2 >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_6_1_1 >= 1)) or (((TestAlone_0_1_3 >= 1) and (WantSection_3_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_6_1_2 >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_5_1_2 >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_4_1_2 >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_6_1_0 >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_0_1_1 >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_4_1_0 >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_5_1_0 >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_4_1_1 >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_5_1_1 >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_2_1_1 >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_3_1_1 >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_3_0_6 >= 1)) or (((TestAlone_4_0_6 >= 1) and (WantSection_6_F >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_1_0_6 >= 1)) or (((TestAlone_2_0_6 >= 1) and (WantSection_6_F >= 1)) or (((TestAlone_2_1_0 >= 1) and (WantSection_0_F >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_3_1_0 >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_5_0_6 >= 1)) or (((TestAlone_1_1_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_5_2_1 >= 1) and (WantSection_1_F >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_4_2_1 >= 1)) or (((TestAlone_0_2_2 >= 1) and (WantSection_2_F >= 1)) or (((TestAlone_6_2_1 >= 1) and (WantSection_1_F >= 1)) or (((TestAlone_3_2_2 >= 1) and (WantSection_2_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_1_2_2 >= 1)) or (((TestAlone_5_2_2 >= 1) and (WantSection_2_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_4_2_2 >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_3_2_0 >= 1)) or (((TestAlone_2_2_0 >= 1) and (WantSection_0_F >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_5_2_0 >= 1)) or (((TestAlone_4_2_0 >= 1) and (WantSection_0_F >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_0_2_1 >= 1)) or (((TestAlone_6_2_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_3_2_1 >= 1) and (WantSection_1_F >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_2_2_1 >= 1)) or (((TestAlone_6_1_5 >= 1) and (WantSection_5_F >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_0_1_6 >= 1)) or (((TestAlone_1_1_6 >= 1) and (WantSection_6_F >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_2_1_6 >= 1)) or (((TestAlone_3_1_6 >= 1) and (WantSection_6_F >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_4_1_6 >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_5_1_6 >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_1_2_0 >= 1)) or (((TestAlone_3_1_4 >= 1) and (WantSection_4_F >= 1)) or (((TestAlone_5_1_4 >= 1) and (WantSection_4_F >= 1)) or (((TestAlone_6_1_4 >= 1) and (WantSection_4_F >= 1)) or (((TestAlone_0_1_5 >= 1) and (WantSection_5_F >= 1)) or (((TestAlone_1_1_5 >= 1) and (WantSection_5_F >= 1)) or (((TestAlone_2_1_5 >= 1) and (WantSection_5_F >= 1)) or (((TestAlone_3_1_5 >= 1) and (WantSection_5_F >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_4_1_5 >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_0_3_1 >= 1)) or (((TestAlone_6_3_0 >= 1) and (WantSection_0_F >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_5_3_0 >= 1)) or (((TestAlone_4_3_0 >= 1) and (WantSection_0_F >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_3_3_0 >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_2_3_0 >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_1_3_0 >= 1)) or (((TestAlone_5_2_6 >= 1) and (WantSection_6_F >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_4_2_6 >= 1)) or (((TestAlone_3_2_6 >= 1) and (WantSection_6_F >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_2_2_6 >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_1_2_6 >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_0_2_6 >= 1)) or (((TestAlone_6_2_5 >= 1) and (WantSection_5_F >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_4_2_5 >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_3_2_5 >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_1_2_5 >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_2_2_5 >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_6_2_4 >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_0_2_5 >= 1)) or (((TestAlone_3_2_4 >= 1) and (WantSection_4_F >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_5_2_4 >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_1_2_4 >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_2_2_4 >= 1)) or (((TestAlone_6_2_3 >= 1) and (WantSection_3_F >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_0_2_4 >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_4_2_3 >= 1)) or (((TestAlone_5_2_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_1_2_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_2_2_3 >= 1) and (WantSection_3_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_6_2_2 >= 1)) or (((TestAlone_0_2_3 >= 1) and (WantSection_3_F >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_0_3_6 >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_6_3_5 >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_2_3_6 >= 1)) or (((TestAlone_1_3_6 >= 1) and (WantSection_6_F >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_2_3_5 >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_1_3_5 >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_4_3_5 >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_3_3_5 >= 1)) or (((TestAlone_5_3_4 >= 1) and (WantSection_4_F >= 1)) or (((TestAlone_3_3_4 >= 1) and (WantSection_4_F >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_0_3_5 >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_6_3_4 >= 1)) or (((TestAlone_0_3_4 >= 1) and (WantSection_4_F >= 1)) or (((TestAlone_6_3_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_2_3_4 >= 1) and (WantSection_4_F >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_1_3_4 >= 1)) or (((TestAlone_1_3_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_2_3_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_4_3_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_5_3_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_4_3_2 >= 1) and (WantSection_2_F >= 1)) or (((TestAlone_5_3_2 >= 1) and (WantSection_2_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_6_3_2 >= 1)) or (((TestAlone_0_3_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_6_3_1 >= 1) and (WantSection_1_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_0_3_2 >= 1)) or (((TestAlone_1_3_2 >= 1) and (WantSection_2_F >= 1)) or (((TestAlone_3_3_2 >= 1) and (WantSection_2_F >= 1)) or (((TestAlone_2_3_1 >= 1) and (WantSection_1_F >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_3_3_1 >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_4_3_1 >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_5_3_1 >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_4_0_0 >= 1)) or (((TestAlone_5_0_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_2_0_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_3_0_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_1_0_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_1_0_4 >= 1) and (WantSection_4_F >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_2_0_4 >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_3_0_4 >= 1)) or (((TestAlone_5_0_4 >= 1) and (WantSection_4_F >= 1)) or (((TestAlone_4_0_3 >= 1) and (WantSection_3_F >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_5_0_3 >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_6_0_3 >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_0_0_4 >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_3_0_5 >= 1)) or (((TestAlone_4_0_5 >= 1) and (WantSection_5_F >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_6_0_5 >= 1)) or (((TestAlone_0_0_6 >= 1) and (WantSection_6_F >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_6_0_4 >= 1)) or (((TestAlone_0_0_5 >= 1) and (WantSection_5_F >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_1_0_5 >= 1)) or (((TestAlone_2_0_5 >= 1) and (WantSection_5_F >= 1)) or (((TestAlone_5_0_1 >= 1) and (WantSection_1_F >= 1)) or (((TestAlone_4_0_1 >= 1) and (WantSection_1_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_0_0_2 >= 1)) or (((TestAlone_6_0_1 >= 1) and (WantSection_1_F >= 1)) or (((TestAlone_0_0_1 >= 1) and (WantSection_1_F >= 1)) or (((TestAlone_6_0_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_3_0_1 >= 1) and (WantSection_1_F >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_2_0_1 >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_0_0_3 >= 1)) or (((TestAlone_6_0_2 >= 1) and (WantSection_2_F >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_2_0_3 >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_1_0_3 >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_3_0_2 >= 1)) or (((TestAlone_1_0_2 >= 1) and (WantSection_2_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_5_0_2 >= 1)) or ((WantSection_2_F >= 1) and (TestAlone_4_0_2 >= 1))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))) and (((Turn_5_0 >= 1) and (TestTurn_5_5 >= 1)) or (((Turn_5_0 >= 1) and (TestTurn_4_5 >= 1)) or (((Turn_5_0 >= 1) and (TestTurn_3_5 >= 1)) or (((Turn_5_0 >= 1) and (TestTurn_2_5 >= 1)) or (((TestTurn_1_5 >= 1) and (Turn_5_0 >= 1)) or (((Turn_4_6 >= 1) and (TestTurn_5_4 >= 1)) or (((Turn_4_6 >= 1) and (TestTurn_4_4 >= 1)) or (((Turn_4_6 >= 1) and (TestTurn_3_4 >= 1)) or (((TestTurn_2_4 >= 1) and (Turn_4_6 >= 1)) or (((Turn_4_6 >= 1) and (TestTurn_1_4 >= 1)) or (((Turn_4_6 >= 1) and (TestTurn_0_4 >= 1)) or (((Turn_4_5 >= 1) and (TestTurn_6_4 >= 1)) or (((TestTurn_4_4 >= 1) and (Turn_4_5 >= 1)) or (((Turn_4_5 >= 1) and (TestTurn_3_4 >= 1)) or (((Turn_4_5 >= 1) and (TestTurn_2_4 >= 1)) or (((Turn_4_5 >= 1) and (TestTurn_1_4 >= 1)) or (((TestTurn_1_5 >= 1) and (Turn_5_3 >= 1)) or (((Turn_5_3 >= 1) and (TestTurn_2_5 >= 1)) or (((TestTurn_6_5 >= 1) and (Turn_5_2 >= 1)) or (((Turn_5_3 >= 1) and (TestTurn_0_5 >= 1)) or (((TestTurn_4_5 >= 1) and (Turn_5_2 >= 1)) or (((TestTurn_5_5 >= 1) and (Turn_5_2 >= 1)) or (((TestTurn_1_5 >= 1) and (Turn_5_2 >= 1)) or (((Turn_5_2 >= 1) and (TestTurn_3_5 >= 1)) or (((TestTurn_6_5 >= 1) and (Turn_5_1 >= 1)) or (((TestTurn_0_5 >= 1) and (Turn_5_2 >= 1)) or (((TestTurn_4_5 >= 1) and (Turn_5_1 >= 1)) or (((Turn_5_1 >= 1) and (TestTurn_5_5 >= 1)) or (((TestTurn_2_5 >= 1) and (Turn_5_1 >= 1)) or (((TestTurn_3_5 >= 1) and (Turn_5_1 >= 1)) or (((TestTurn_6_5 >= 1) and (Turn_5_0 >= 1)) or (((Turn_5_1 >= 1) and (TestTurn_0_5 >= 1)) or (((TestTurn_4_5 >= 1) and (Turn_5_5 >= 1)) or (((Turn_5_5 >= 1) and (TestTurn_3_5 >= 1)) or (((Turn_5_6 >= 1) and (TestTurn_0_5 >= 1)) or (((Turn_5_5 >= 1) and (TestTurn_6_5 >= 1)) or (((TestTurn_0_5 >= 1) and (Turn_5_5 >= 1)) or (((Turn_5_4 >= 1) and (TestTurn_6_5 >= 1)) or (((TestTurn_2_5 >= 1) and (Turn_5_5 >= 1)) or (((Turn_5_5 >= 1) and (TestTurn_1_5 >= 1)) or (((TestTurn_2_5 >= 1) and (Turn_5_4 >= 1)) or (((Turn_5_4 >= 1) and (TestTurn_1_5 >= 1)) or (((TestTurn_5_5 >= 1) and (Turn_5_4 >= 1)) or (((Turn_5_4 >= 1) and (TestTurn_3_5 >= 1)) or (((TestTurn_5_5 >= 1) and (Turn_5_3 >= 1)) or (((Turn_5_3 >= 1) and (TestTurn_4_5 >= 1)) or (((TestTurn_0_5 >= 1) and (Turn_5_4 >= 1)) or (((Turn_5_3 >= 1) and (TestTurn_6_5 >= 1)) or (((TestTurn_5_5 >= 1) and (Turn_5_6 >= 1)) or (((TestTurn_1_5 >= 1) and (Turn_5_6 >= 1)) or (((TestTurn_2_5 >= 1) and (Turn_5_6 >= 1)) or (((TestTurn_3_5 >= 1) and (Turn_5_6 >= 1)) or (((TestTurn_4_5 >= 1) and (Turn_5_6 >= 1)) or (((Turn_3_3 >= 1) and (TestTurn_2_3 >= 1)) or (((Turn_3_3 >= 1) and (TestTurn_1_3 >= 1)) or (((Turn_3_3 >= 1) and (TestTurn_0_3 >= 1)) or (((Turn_3_2 >= 1) and (TestTurn_6_3 >= 1)) or (((Turn_3_4 >= 1) and (TestTurn_0_3 >= 1)) or (((Turn_3_3 >= 1) and (TestTurn_6_3 >= 1)) or (((Turn_3_3 >= 1) and (TestTurn_5_3 >= 1)) or (((Turn_3_3 >= 1) and (TestTurn_4_3 >= 1)) or (((Turn_3_2 >= 1) and (TestTurn_0_3 >= 1)) or (((Turn_3_1 >= 1) and (TestTurn_6_3 >= 1)) or (((Turn_3_1 >= 1) and (TestTurn_5_3 >= 1)) or (((Turn_3_1 >= 1) and (TestTurn_4_3 >= 1)) or (((TestTurn_5_3 >= 1) and (Turn_3_2 >= 1)) or (((Turn_3_2 >= 1) and (TestTurn_4_3 >= 1)) or (((Turn_3_2 >= 1) and (TestTurn_3_3 >= 1)) or (((Turn_3_2 >= 1) and (TestTurn_1_3 >= 1)) or (((TestTurn_6_3 >= 1) and (Turn_3_5 >= 1)) or (((Turn_3_6 >= 1) and (TestTurn_0_3 >= 1)) or (((TestTurn_3_3 >= 1) and (Turn_3_5 >= 1)) or (((Turn_3_5 >= 1) and (TestTurn_4_3 >= 1)) or (((TestTurn_3_3 >= 1) and (Turn_3_6 >= 1)) or (((Turn_3_6 >= 1) and (TestTurn_4_3 >= 1)) or (((TestTurn_1_3 >= 1) and (Turn_3_6 >= 1)) or (((Turn_3_6 >= 1) and (TestTurn_2_3 >= 1)) or (((TestTurn_3_3 >= 1) and (Turn_3_4 >= 1)) or (((Turn_3_4 >= 1) and (TestTurn_5_3 >= 1)) or (((TestTurn_1_3 >= 1) and (Turn_3_4 >= 1)) or (((Turn_3_4 >= 1) and (TestTurn_2_3 >= 1)) or (((TestTurn_1_3 >= 1) and (Turn_3_5 >= 1)) or (((TestTurn_2_3 >= 1) and (Turn_3_5 >= 1)) or (((TestTurn_6_3 >= 1) and (Turn_3_4 >= 1)) or (((Turn_3_5 >= 1) and (TestTurn_0_3 >= 1)) or (((TestTurn_3_4 >= 1) and (Turn_4_1 >= 1)) or (((Turn_4_1 >= 1) and (TestTurn_2_4 >= 1)) or (((Turn_4_1 >= 1) and (TestTurn_5_4 >= 1)) or (((Turn_4_1 >= 1) and (TestTurn_4_4 >= 1)) or (((TestTurn_0_4 >= 1) and (Turn_4_2 >= 1)) or (((Turn_4_1 >= 1) and (TestTurn_6_4 >= 1)) or (((Turn_4_2 >= 1) and (TestTurn_3_4 >= 1)) or (((Turn_4_2 >= 1) and (TestTurn_1_4 >= 1)) or (((TestTurn_1_4 >= 1) and (Turn_4_0 >= 1)) or (((Turn_3_6 >= 1) and (TestTurn_5_3 >= 1)) or (((Turn_4_0 >= 1) and (TestTurn_3_4 >= 1)) or (((Turn_4_0 >= 1) and (TestTurn_2_4 >= 1)) or (((TestTurn_5_4 >= 1) and (Turn_4_0 >= 1)) or (((Turn_4_0 >= 1) and (TestTurn_4_4 >= 1)) or (((TestTurn_0_4 >= 1) and (Turn_4_1 >= 1)) or (((Turn_4_0 >= 1) and (TestTurn_6_4 >= 1)) or (((TestTurn_6_4 >= 1) and (Turn_4_3 >= 1)) or (((TestTurn_0_4 >= 1) and (Turn_4_4 >= 1)) or (((TestTurn_1_4 >= 1) and (Turn_4_4 >= 1)) or (((Turn_4_4 >= 1) and (TestTurn_2_4 >= 1)) or (((TestTurn_3_4 >= 1) and (Turn_4_4 >= 1)) or (((TestTurn_5_4 >= 1) and (Turn_4_4 >= 1)) or (((TestTurn_6_4 >= 1) and (Turn_4_4 >= 1)) or (((TestTurn_0_4 >= 1) and (Turn_4_5 >= 1)) or (((TestTurn_4_4 >= 1) and (Turn_4_2 >= 1)) or (((TestTurn_5_4 >= 1) and (Turn_4_2 >= 1)) or (((TestTurn_6_4 >= 1) and (Turn_4_2 >= 1)) or (((Turn_4_3 >= 1) and (TestTurn_0_4 >= 1)) or (((TestTurn_1_4 >= 1) and (Turn_4_3 >= 1)) or (((TestTurn_2_4 >= 1) and (Turn_4_3 >= 1)) or (((TestTurn_4_4 >= 1) and (Turn_4_3 >= 1)) or (((TestTurn_5_4 >= 1) and (Turn_4_3 >= 1)) or (((TestTurn_2_0 >= 1) and (Turn_0_0 >= 1)) or (((Turn_0_0 >= 1) and (TestTurn_3_0 >= 1)) or (((Turn_0_0 >= 1) and (TestTurn_1_0 >= 1)) or (((TestTurn_6_0 >= 1) and (Turn_0_0 >= 1)) or (((Turn_0_1 >= 1) and (TestTurn_0_0 >= 1)) or (((TestTurn_4_0 >= 1) and (Turn_0_0 >= 1)) or (((Turn_0_0 >= 1) and (TestTurn_5_0 >= 1)) or (((Turn_2_6 >= 1) and (TestTurn_2_2 >= 1)) or (((Turn_2_6 >= 1) and (TestTurn_1_2 >= 1)) or (((Turn_2_6 >= 1) and (TestTurn_0_2 >= 1)) or (((Turn_2_5 >= 1) and (TestTurn_6_2 >= 1)) or (((TestTurn_1_3 >= 1) and (Turn_3_0 >= 1)) or (((Turn_2_6 >= 1) and (TestTurn_5_2 >= 1)) or (((Turn_2_6 >= 1) and (TestTurn_4_2 >= 1)) or (((Turn_2_6 >= 1) and (TestTurn_3_2 >= 1)) or (((Turn_3_0 >= 1) and (TestTurn_5_3 >= 1)) or (((Turn_3_0 >= 1) and (TestTurn_4_3 >= 1)) or (((Turn_3_0 >= 1) and (TestTurn_3_3 >= 1)) or (((Turn_3_0 >= 1) and (TestTurn_2_3 >= 1)) or (((Turn_3_1 >= 1) and (TestTurn_3_3 >= 1)) or (((Turn_3_1 >= 1) and (TestTurn_2_3 >= 1)) or (((Turn_3_1 >= 1) and (TestTurn_0_3 >= 1)) or (((Turn_3_0 >= 1) and (TestTurn_6_3 >= 1)) or (((TestTurn_4_2 >= 1) and (Turn_2_3 >= 1)) or (((Turn_2_3 >= 1) and (TestTurn_5_2 >= 1)) or (((TestTurn_1_2 >= 1) and (Turn_2_3 >= 1)) or (((Turn_2_3 >= 1) and (TestTurn_2_2 >= 1)) or (((TestTurn_1_2 >= 1) and (Turn_2_4 >= 1)) or (((TestTurn_2_2 >= 1) and (Turn_2_4 >= 1)) or (((TestTurn_6_2 >= 1) and (Turn_2_3 >= 1)) or (((Turn_2_4 >= 1) and (TestTurn_0_2 >= 1)) or (((TestTurn_6_2 >= 1) and (Turn_2_4 >= 1)) or (((Turn_2_5 >= 1) and (TestTurn_0_2 >= 1)) or (((TestTurn_3_2 >= 1) and (Turn_2_4 >= 1)) or (((Turn_2_4 >= 1) and (TestTurn_5_2 >= 1)) or (((TestTurn_3_2 >= 1) and (Turn_2_5 >= 1)) or (((TestTurn_4_2 >= 1) and (Turn_2_5 >= 1)) or (((TestTurn_1_2 >= 1) and (Turn_2_5 >= 1)) or (((Turn_2_5 >= 1) and (TestTurn_2_2 >= 1)) or (((TestTurn_5_2 >= 1) and (Turn_2_0 >= 1)) or (((Turn_2_0 >= 1) and (TestTurn_4_2 >= 1)) or (((Turn_2_1 >= 1) and (TestTurn_0_2 >= 1)) or (((Turn_2_0 >= 1) and (TestTurn_6_2 >= 1)) or (((TestTurn_3_2 >= 1) and (Turn_2_1 >= 1)) or (((Turn_2_1 >= 1) and (TestTurn_2_2 >= 1)) or (((TestTurn_5_2 >= 1) and (Turn_2_1 >= 1)) or (((Turn_2_1 >= 1) and (TestTurn_4_2 >= 1)) or (((TestTurn_0_2 >= 1) and (Turn_2_2 >= 1)) or (((Turn_2_1 >= 1) and (TestTurn_6_2 >= 1)) or (((Turn_2_2 >= 1) and (TestTurn_3_2 >= 1)) or (((Turn_2_2 >= 1) and (TestTurn_1_2 >= 1)) or (((TestTurn_5_2 >= 1) and (Turn_2_2 >= 1)) or (((Turn_2_2 >= 1) and (TestTurn_4_2 >= 1)) or (((TestTurn_0_2 >= 1) and (Turn_2_3 >= 1)) or (((Turn_2_2 >= 1) and (TestTurn_6_2 >= 1)) or (((TestTurn_6_1 >= 1) and (Turn_1_4 >= 1)) or (((TestTurn_0_1 >= 1) and (Turn_1_5 >= 1)) or (((TestTurn_1_1 >= 1) and (Turn_1_5 >= 1)) or (((Turn_1_5 >= 1) and (TestTurn_2_1 >= 1)) or (((TestTurn_3_1 >= 1) and (Turn_1_5 >= 1)) or (((TestTurn_4_1 >= 1) and (Turn_1_5 >= 1)) or (((TestTurn_6_1 >= 1) and (Turn_1_5 >= 1)) or (((TestTurn_0_1 >= 1) and (Turn_1_6 >= 1)) or (((TestTurn_1_1 >= 1) and (Turn_1_6 >= 1)) or (((TestTurn_2_1 >= 1) and (Turn_1_6 >= 1)) or (((TestTurn_3_1 >= 1) and (Turn_1_6 >= 1)) or (((Turn_1_6 >= 1) and (TestTurn_4_1 >= 1)) or (((TestTurn_5_1 >= 1) and (Turn_1_6 >= 1)) or (((TestTurn_1_2 >= 1) and (Turn_2_0 >= 1)) or (((TestTurn_2_2 >= 1) and (Turn_2_0 >= 1)) or (((TestTurn_3_2 >= 1) and (Turn_2_0 >= 1)) or (((TestTurn_2_1 >= 1) and (Turn_1_3 >= 1)) or (((Turn_1_3 >= 1) and (TestTurn_1_1 >= 1)) or (((Turn_1_3 >= 1) and (TestTurn_0_1 >= 1)) or (((Turn_1_2 >= 1) and (TestTurn_6_1 >= 1)) or (((TestTurn_5_1 >= 1) and (Turn_1_2 >= 1)) or (((Turn_1_2 >= 1) and (TestTurn_4_1 >= 1)) or (((Turn_1_2 >= 1) and (TestTurn_3_1 >= 1)) or (((Turn_1_2 >= 1) and (TestTurn_1_1 >= 1)) or (((Turn_1_4 >= 1) and (TestTurn_5_1 >= 1)) or (((Turn_1_4 >= 1) and (TestTurn_3_1 >= 1)) or (((Turn_1_4 >= 1) and (TestTurn_2_1 >= 1)) or (((Turn_1_4 >= 1) and (TestTurn_1_1 >= 1)) or (((TestTurn_0_1 >= 1) and (Turn_1_4 >= 1)) or (((Turn_1_3 >= 1) and (TestTurn_6_1 >= 1)) or (((Turn_1_3 >= 1) and (TestTurn_5_1 >= 1)) or (((Turn_1_3 >= 1) and (TestTurn_4_1 >= 1)) or (((TestTurn_4_1 >= 1) and (Turn_1_0 >= 1)) or (((TestTurn_5_1 >= 1) and (Turn_1_0 >= 1)) or (((TestTurn_2_1 >= 1) and (Turn_1_0 >= 1)) or (((Turn_1_0 >= 1) and (TestTurn_3_1 >= 1)) or (((TestTurn_5_0 >= 1) and (Turn_0_6 >= 1)) or (((TestTurn_1_1 >= 1) and (Turn_1_0 >= 1)) or (((TestTurn_3_0 >= 1) and (Turn_0_6 >= 1)) or (((Turn_0_6 >= 1) and (TestTurn_4_0 >= 1)) or (((TestTurn_6_1 >= 1) and (Turn_1_1 >= 1)) or (((TestTurn_0_1 >= 1) and (Turn_1_2 >= 1)) or (((TestTurn_4_1 >= 1) and (Turn_1_1 >= 1)) or (((Turn_1_1 >= 1) and (TestTurn_5_1 >= 1)) or (((TestTurn_2_1 >= 1) and (Turn_1_1 >= 1)) or (((TestTurn_3_1 >= 1) and (Turn_1_1 >= 1)) or (((TestTurn_6_1 >= 1) and (Turn_1_0 >= 1)) or (((Turn_1_1 >= 1) and (TestTurn_0_1 >= 1)) or (((TestTurn_5_0 >= 1) and (Turn_0_4 >= 1)) or (((Turn_0_4 >= 1) and (TestTurn_3_0 >= 1)) or (((TestTurn_0_0 >= 1) and (Turn_0_5 >= 1)) or (((Turn_0_4 >= 1) and (TestTurn_6_0 >= 1)) or (((TestTurn_0_0 >= 1) and (Turn_0_4 >= 1)) or (((Turn_0_3 >= 1) and (TestTurn_6_0 >= 1)) or (((TestTurn_2_0 >= 1) and (Turn_0_4 >= 1)) or (((Turn_0_4 >= 1) and (TestTurn_1_0 >= 1)) or (((TestTurn_0_0 >= 1) and (Turn_0_6 >= 1)) or (((Turn_0_5 >= 1) and (TestTurn_6_0 >= 1)) or (((TestTurn_2_0 >= 1) and (Turn_0_6 >= 1)) or (((Turn_0_6 >= 1) and (TestTurn_1_0 >= 1)) or (((TestTurn_2_0 >= 1) and (Turn_0_5 >= 1)) or (((Turn_0_5 >= 1) and (TestTurn_1_0 >= 1)) or (((TestTurn_4_0 >= 1) and (Turn_0_5 >= 1)) or (((Turn_0_5 >= 1) and (TestTurn_3_0 >= 1)) or (((TestTurn_6_0 >= 1) and (Turn_0_1 >= 1)) or (((TestTurn_0_0 >= 1) and (Turn_0_2 >= 1)) or (((TestTurn_1_0 >= 1) and (Turn_0_2 >= 1)) or (((TestTurn_3_0 >= 1) and (Turn_0_2 >= 1)) or (((TestTurn_2_0 >= 1) and (Turn_0_1 >= 1)) or (((TestTurn_3_0 >= 1) and (Turn_0_1 >= 1)) or (((TestTurn_4_0 >= 1) and (Turn_0_1 >= 1)) or (((TestTurn_5_0 >= 1) and (Turn_0_1 >= 1)) or (((TestTurn_1_0 >= 1) and (Turn_0_3 >= 1)) or (((TestTurn_2_0 >= 1) and (Turn_0_3 >= 1)) or (((TestTurn_4_0 >= 1) and (Turn_0_3 >= 1)) or (((TestTurn_5_0 >= 1) and (Turn_0_3 >= 1)) or (((TestTurn_4_0 >= 1) and (Turn_0_2 >= 1)) or (((TestTurn_5_0 >= 1) and (Turn_0_2 >= 1)) or (((TestTurn_6_0 >= 1) and (Turn_0_2 >= 1)) or ((TestTurn_0_0 >= 1) and (Turn_0_3 >= 1)))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))) or (not (((WantSection_6_T >= 1) and (CS_6 >= 1)) or (((CS_5 >= 1) and (WantSection_5_T >= 1)) or (((CS_4 >= 1) and (WantSection_4_T >= 1)) or (((WantSection_0_T >= 1) and (CS_0 >= 1)) or (((CS_1 >= 1) and (WantSection_1_T >= 1)) or (((WantSection_2_T >= 1) and (CS_2 >= 1)) or ((CS_3 >= 1) and (WantSection_3_T >= 1))))))))))
Query size reduced from 8456 to 8456 nodes (0.00 percent reduction).
Query reduction reached timeout.
Size of net before structural reductions: 1330 places, 2030 transitions
Size of net after structural reductions: 700 places, 1400 transitions
Structural reduction finished after 0.01558 seconds

Net reduction is enabled.
Removed transitions: 630
Removed places: 630
Applications of rule A: 630
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0


------------------- QUERY 7 ----------------------
Solution found
perl: warning: Setting locale failed.
perl: warning: Please check that your locale settings:
LANGUAGE = (unset),
LC_ALL = (unset),
LANG = "en_US.UTF-8"
are supported and installed on your system.
perl: warning: Falling back to the standard locale ("C").
perl: warning: Setting locale failed.
perl: warning: Please check that your locale settings:
LANGUAGE = (unset),
LC_ALL = (unset),
LANG = "en_US.UTF-8"
are supported and installed on your system.
perl: warning: Falling back to the standard locale ("C").

Parameters: -s BFS -q 0 -d 10 ./model.pnml ./ReachabilityFireability.xml -x 7

Parameters: -s BestFS -q 0 -d 10 ./model.pnml ./ReachabilityFireability.xml -x 7

Parameters: -s BestFS -d 10 ./model.pnml ./ReachabilityFireability.xml -x 7

Parameters: -s DFS -q 0 -d 10 ./model.pnml ./ReachabilityFireability.xml -x 7

Search=HEUR,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=ENABLED,QSTimeout=30,Siphon_Trap=DISABLED,LPSolve_Timeout=10


Search=BFS,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=DISABLED,Siphon_Trap=DISABLED,LPSolve_Timeout=10
Size of net before structural reductions: 1330 places, 2030 transitions
Size of net after structural reductions: 658 places, 1358 transitions
Structural reduction finished after 0.013528 seconds

Net reduction is enabled.
Removed transitions: 672
Removed places: 672
Applications of rule A: 672
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0

Search=HEUR,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=DISABLED,Siphon_Trap=DISABLED,LPSolve_Timeout=10
Size of net before structural reductions: 1330 places, 2030 transitions
Size of net after structural reductions: 658 places, 1358 transitions
Structural reduction finished after 0.014012 seconds

Net reduction is enabled.
Removed transitions: 672
Removed places: 672
Applications of rule A: 672
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0


Query is satisfied.

STATS:
discovered states: 35
explored states: 35
expanded states: 4
max tokens: 20

parallel: This job succeeded:
/home/mcc/BenchKit/start.sh 14500000 /home/mcc/BenchKit/bin/verifypn-linux64 -s\ BestFS\ -q\ 0\ -d\ 10 ./model.pnml ./ReachabilityFireability.xml -x 7

FORMULA Peterson-COL-6-ReachabilityFireability-6 TRUE TECHNIQUES COLLATERAL_PROCESSING EXPLICIT STRUCTURAL_REDUCTION STATE_COMPRESSION STUBBORN_SETS
------------------- QUERY 8 ----------------------
Solution found
perl: warning: Setting locale failed.
perl: warning: Please check that your locale settings:
LANGUAGE = (unset),
LC_ALL = (unset),
LANG = "en_US.UTF-8"
are supported and installed on your system.
perl: warning: Falling back to the standard locale ("C").
perl: warning: Setting locale failed.
perl: warning: Please check that your locale settings:
LANGUAGE = (unset),
LC_ALL = (unset),
LANG = "en_US.UTF-8"
are supported and installed on your system.
perl: warning: Falling back to the standard locale ("C").

Parameters: -s BestFS -d 10 ./model.pnml ./ReachabilityFireability.xml -x 8

Parameters: -s BFS -q 0 -d 10 ./model.pnml ./ReachabilityFireability.xml -x 8

Parameters: -s BestFS -q 0 -d 10 ./model.pnml ./ReachabilityFireability.xml -x 8

Parameters: -s DFS -q 0 -d 10 ./model.pnml ./ReachabilityFireability.xml -x 8

Search=HEUR,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=ENABLED,QSTimeout=30,Siphon_Trap=DISABLED,LPSolve_Timeout=10


Search=HEUR,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=DISABLED,Siphon_Trap=DISABLED,LPSolve_Timeout=10
Size of net before structural reductions: 1330 places, 2030 transitions
Size of net after structural reductions: 1281 places, 1981 transitions
Structural reduction finished after 0.031746 seconds

Net reduction is enabled.
Removed transitions: 49
Removed places: 49
Applications of rule A: 49
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0

Search=BFS,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=DISABLED,Siphon_Trap=DISABLED,LPSolve_Timeout=10
Size of net before structural reductions: 1330 places, 2030 transitions
Size of net after structural reductions: 1281 places, 1981 transitions
Structural reduction finished after 0.032017 seconds

Net reduction is enabled.
Removed transitions: 49
Removed places: 49
Applications of rule A: 49
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0

Search=DFS,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=DISABLED,Siphon_Trap=DISABLED,LPSolve_Timeout=10
Size of net before structural reductions: 1330 places, 2030 transitions
Size of net after structural reductions: 1281 places, 1981 transitions
Structural reduction finished after 0.033531 seconds

Net reduction is enabled.
Removed transitions: 49
Removed places: 49
Applications of rule A: 49
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0


Query is satisfied.

STATS:
discovered states: 189
explored states: 182
expanded states: 31
max tokens: 20

parallel: This job succeeded:
/home/mcc/BenchKit/start.sh 14500000 /home/mcc/BenchKit/bin/verifypn-linux64 -s\ BestFS\ -q\ 0\ -d\ 10 ./model.pnml ./ReachabilityFireability.xml -x 8

FORMULA Peterson-COL-6-ReachabilityFireability-7 TRUE TECHNIQUES COLLATERAL_PROCESSING EXPLICIT STRUCTURAL_REDUCTION STATE_COMPRESSION STUBBORN_SETS
------------------- QUERY 9 ----------------------
No solution found
perl: warning: Setting locale failed.
perl: warning: Please check that your locale settings:
LANGUAGE = (unset),
LC_ALL = (unset),
LANG = "en_US.UTF-8"
are supported and installed on your system.
perl: warning: Falling back to the standard locale ("C").
perl: warning: Setting locale failed.
perl: warning: Please check that your locale settings:
LANGUAGE = (unset),
LC_ALL = (unset),
LANG = "en_US.UTF-8"
are supported and installed on your system.
perl: warning: Falling back to the standard locale ("C").

Parameters: -s BFS -q 0 -d 10 ./model.pnml ./ReachabilityFireability.xml -x 9

Parameters: -s BestFS -q 0 -d 10 ./model.pnml ./ReachabilityFireability.xml -x 9

Parameters: -s BestFS -d 10 ./model.pnml ./ReachabilityFireability.xml -x 9

Parameters: -s DFS -q 0 -d 10 ./model.pnml ./ReachabilityFireability.xml -x 9

Search=HEUR,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=ENABLED,QSTimeout=30,Siphon_Trap=DISABLED,LPSolve_Timeout=10


Search=BFS,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=DISABLED,Siphon_Trap=DISABLED,LPSolve_Timeout=10
Size of net before structural reductions: 1330 places, 2030 transitions
Size of net after structural reductions: 1330 places, 2030 transitions
Structural reduction finished after 0.033365 seconds

Net reduction is enabled.
Removed transitions: 0
Removed places: 0
Applications of rule A: 0
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0

Search=HEUR,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=DISABLED,Siphon_Trap=DISABLED,LPSolve_Timeout=10
Size of net before structural reductions: 1330 places, 2030 transitions
Size of net after structural reductions: 1330 places, 2030 transitions
Structural reduction finished after 0.033153 seconds

Net reduction is enabled.
Removed transitions: 0
Removed places: 0
Applications of rule A: 0
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0

Search=DFS,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=DISABLED,Siphon_Trap=DISABLED,LPSolve_Timeout=10
Size of net before structural reductions: 1330 places, 2030 transitions
Size of net after structural reductions: 1330 places, 2030 transitions
Structural reduction finished after 0.034033 seconds

Net reduction is enabled.
Removed transitions: 0
Removed places: 0
Applications of rule A: 0
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0
Query before reduction: AG (((not (((BeginLoop_6_5_6 >= 1) or ((BeginLoop_0_5_6 >= 1) or ((BeginLoop_1_5_6 >= 1) or ((BeginLoop_5_5_5 >= 1) or ((BeginLoop_6_5_5 >= 1) or ((BeginLoop_4_5_6 >= 1) or ((BeginLoop_5_5_6 >= 1) or ((BeginLoop_2_5_6 >= 1) or ((BeginLoop_3_5_6 >= 1) or ((BeginLoop_0_5_5 >= 1) or ((BeginLoop_6_5_4 >= 1) or ((BeginLoop_5_5_4 >= 1) or ((BeginLoop_4_5_4 >= 1) or ((BeginLoop_4_5_5 >= 1) or ((BeginLoop_3_5_5 >= 1) or ((BeginLoop_2_5_5 >= 1) or ((BeginLoop_1_5_5 >= 1) or ((BeginLoop_6_5_3 >= 1) or ((BeginLoop_5_5_3 >= 1) or ((BeginLoop_4_5_3 >= 1) or ((BeginLoop_3_5_3 >= 1) or ((BeginLoop_3_5_4 >= 1) or ((BeginLoop_2_5_4 >= 1) or ((BeginLoop_1_5_4 >= 1) or ((BeginLoop_0_5_4 >= 1) or ((BeginLoop_2_5_2 >= 1) or ((BeginLoop_3_5_2 >= 1) or ((BeginLoop_4_5_2 >= 1) or ((BeginLoop_5_5_2 >= 1) or ((BeginLoop_6_5_2 >= 1) or ((BeginLoop_0_5_3 >= 1) or ((BeginLoop_1_5_3 >= 1) or ((BeginLoop_2_5_3 >= 1) or ((BeginLoop_1_5_1 >= 1) or ((BeginLoop_2_5_1 >= 1) or ((BeginLoop_3_5_1 >= 1) or ((BeginLoop_4_5_1 >= 1) or ((BeginLoop_5_5_1 >= 1) or ((BeginLoop_6_5_1 >= 1) or ((BeginLoop_0_5_2 >= 1) or ((BeginLoop_1_5_2 >= 1) or ((BeginLoop_1_5_0 >= 1) or ((BeginLoop_0_5_0 >= 1) or ((BeginLoop_3_5_0 >= 1) or ((BeginLoop_2_5_0 >= 1) or ((BeginLoop_5_5_0 >= 1) or ((BeginLoop_4_5_0 >= 1) or ((BeginLoop_0_5_1 >= 1) or ((BeginLoop_6_5_0 >= 1) or ((BeginLoop_0_4_6 >= 1) or ((BeginLoop_6_4_5 >= 1) or ((BeginLoop_2_4_6 >= 1) or ((BeginLoop_1_4_6 >= 1) or ((BeginLoop_4_4_6 >= 1) or ((BeginLoop_3_4_6 >= 1) or ((BeginLoop_6_4_6 >= 1) or ((BeginLoop_5_4_6 >= 1) or ((BeginLoop_4_4_5 >= 1) or ((BeginLoop_5_4_5 >= 1) or ((BeginLoop_2_4_5 >= 1) or ((BeginLoop_3_4_5 >= 1) or ((BeginLoop_0_4_5 >= 1) or ((BeginLoop_1_4_5 >= 1) or ((BeginLoop_5_4_4 >= 1) or ((BeginLoop_6_4_4 >= 1) or ((BeginLoop_3_4_4 >= 1) or ((BeginLoop_4_4_4 >= 1) or ((BeginLoop_1_4_4 >= 1) or ((BeginLoop_2_4_4 >= 1) or ((BeginLoop_6_4_3 >= 1) or ((BeginLoop_0_4_4 >= 1) or ((BeginLoop_4_4_3 >= 1) or ((BeginLoop_5_4_3 >= 1) or ((BeginLoop_3_4_3 >= 1) or ((BeginLoop_2_4_3 >= 1) or ((BeginLoop_1_4_3 >= 1) or ((BeginLoop_0_4_3 >= 1) or ((BeginLoop_6_4_2 >= 1) or ((BeginLoop_5_4_2 >= 1) or ((BeginLoop_4_4_2 >= 1) or ((BeginLoop_3_4_2 >= 1) or ((BeginLoop_2_4_2 >= 1) or ((BeginLoop_1_4_2 >= 1) or ((BeginLoop_0_4_2 >= 1) or ((BeginLoop_6_4_1 >= 1) or ((BeginLoop_5_4_1 >= 1) or ((BeginLoop_4_4_1 >= 1) or ((BeginLoop_3_4_1 >= 1) or ((BeginLoop_2_4_1 >= 1) or ((BeginLoop_5_4_0 >= 1) or ((BeginLoop_6_4_0 >= 1) or ((BeginLoop_0_4_1 >= 1) or ((BeginLoop_1_4_1 >= 1) or ((BeginLoop_1_4_0 >= 1) or ((BeginLoop_2_4_0 >= 1) or ((BeginLoop_3_4_0 >= 1) or ((BeginLoop_4_4_0 >= 1) or ((BeginLoop_4_3_6 >= 1) or ((BeginLoop_5_3_6 >= 1) or ((BeginLoop_6_3_6 >= 1) or ((BeginLoop_0_4_0 >= 1) or ((BeginLoop_0_3_6 >= 1) or ((BeginLoop_1_3_6 >= 1) or ((BeginLoop_2_3_6 >= 1) or ((BeginLoop_3_3_6 >= 1) or ((BeginLoop_4_3_5 >= 1) or ((BeginLoop_3_3_5 >= 1) or ((BeginLoop_6_3_5 >= 1) or ((BeginLoop_5_3_5 >= 1) or ((BeginLoop_0_3_5 >= 1) or ((BeginLoop_6_3_4 >= 1) or ((BeginLoop_2_3_5 >= 1) or ((BeginLoop_1_3_5 >= 1) or ((BeginLoop_3_3_4 >= 1) or ((BeginLoop_2_3_4 >= 1) or ((BeginLoop_5_3_4 >= 1) or ((BeginLoop_4_3_4 >= 1) or ((BeginLoop_6_3_3 >= 1) or ((BeginLoop_5_3_3 >= 1) or ((BeginLoop_1_3_4 >= 1) or ((BeginLoop_0_3_4 >= 1) or ((BeginLoop_1_0_3 >= 1) or ((BeginLoop_0_0_3 >= 1) or ((BeginLoop_3_0_3 >= 1) or ((BeginLoop_2_0_3 >= 1) or ((BeginLoop_5_0_3 >= 1) or ((BeginLoop_4_0_3 >= 1) or ((BeginLoop_0_0_4 >= 1) or ((BeginLoop_6_0_3 >= 1) or ((BeginLoop_0_0_2 >= 1) or ((BeginLoop_6_0_1 >= 1) or ((BeginLoop_2_0_2 >= 1) or ((BeginLoop_1_0_2 >= 1) or ((BeginLoop_4_0_2 >= 1) or ((BeginLoop_3_0_2 >= 1) or ((BeginLoop_6_0_2 >= 1) or ((BeginLoop_5_0_2 >= 1) or ((BeginLoop_2_0_5 >= 1) or ((BeginLoop_3_0_5 >= 1) or ((BeginLoop_4_0_5 >= 1) or ((BeginLoop_5_0_5 >= 1) or ((BeginLoop_6_0_5 >= 1) or ((BeginLoop_0_0_6 >= 1) or ((BeginLoop_1_0_6 >= 1) or ((BeginLoop_2_0_6 >= 1) or ((BeginLoop_1_0_4 >= 1) or ((BeginLoop_2_0_4 >= 1) or ((BeginLoop_3_0_4 >= 1) or ((BeginLoop_4_0_4 >= 1) or ((BeginLoop_5_0_4 >= 1) or ((BeginLoop_6_0_4 >= 1) or ((BeginLoop_0_0_5 >= 1) or ((BeginLoop_1_0_5 >= 1) or ((BeginLoop_0_0_1 >= 1) or ((BeginLoop_1_0_1 >= 1) or ((BeginLoop_5_0_0 >= 1) or ((BeginLoop_6_0_0 >= 1) or ((BeginLoop_4_0_1 >= 1) or ((BeginLoop_5_0_1 >= 1) or ((BeginLoop_2_0_1 >= 1) or ((BeginLoop_3_0_1 >= 1) or ((BeginLoop_0_0_0 >= 1) or ((BeginLoop_3_0_0 >= 1) or ((BeginLoop_4_0_0 >= 1) or ((BeginLoop_1_0_0 >= 1) or ((BeginLoop_2_0_0 >= 1) or ((BeginLoop_1_2_6 >= 1) or ((BeginLoop_2_2_6 >= 1) or ((BeginLoop_3_2_6 >= 1) or ((BeginLoop_4_2_6 >= 1) or ((BeginLoop_5_2_6 >= 1) or ((BeginLoop_6_2_6 >= 1) or ((BeginLoop_0_3_0 >= 1) or ((BeginLoop_1_3_0 >= 1) or ((BeginLoop_2_3_0 >= 1) or ((BeginLoop_3_3_0 >= 1) or ((BeginLoop_4_3_0 >= 1) or ((BeginLoop_5_3_0 >= 1) or ((BeginLoop_6_3_0 >= 1) or ((BeginLoop_0_3_1 >= 1) or ((BeginLoop_1_3_1 >= 1) or ((BeginLoop_2_3_1 >= 1) or ((BeginLoop_4_3_1 >= 1) or ((BeginLoop_3_3_1 >= 1) or ((BeginLoop_6_3_1 >= 1) or ((BeginLoop_5_3_1 >= 1) or ((BeginLoop_1_3_2 >= 1) or ((BeginLoop_0_3_2 >= 1) or ((BeginLoop_3_3_2 >= 1) or ((BeginLoop_2_3_2 >= 1) or ((BeginLoop_5_3_2 >= 1) or ((BeginLoop_4_3_2 >= 1) or ((BeginLoop_0_3_3 >= 1) or ((BeginLoop_6_3_2 >= 1) or ((BeginLoop_2_3_3 >= 1) or ((BeginLoop_1_3_3 >= 1) or ((BeginLoop_4_3_3 >= 1) or ((BeginLoop_3_3_3 >= 1) or ((BeginLoop_6_2_1 >= 1) or ((BeginLoop_0_2_2 >= 1) or ((BeginLoop_4_2_1 >= 1) or ((BeginLoop_5_2_1 >= 1) or ((BeginLoop_3_2_2 >= 1) or ((BeginLoop_4_2_2 >= 1) or ((BeginLoop_1_2_2 >= 1) or ((BeginLoop_2_2_2 >= 1) or ((BeginLoop_0_2_3 >= 1) or ((BeginLoop_1_2_3 >= 1) or ((BeginLoop_5_2_2 >= 1) or ((BeginLoop_6_2_2 >= 1) or ((BeginLoop_4_2_3 >= 1) or ((BeginLoop_5_2_3 >= 1) or ((BeginLoop_2_2_3 >= 1) or ((BeginLoop_3_2_3 >= 1) or ((BeginLoop_2_2_4 >= 1) or ((BeginLoop_1_2_4 >= 1) or ((BeginLoop_0_2_4 >= 1) or ((BeginLoop_6_2_3 >= 1) or ((BeginLoop_6_2_4 >= 1) or ((BeginLoop_5_2_4 >= 1) or ((BeginLoop_4_2_4 >= 1) or ((BeginLoop_3_2_4 >= 1) or ((BeginLoop_3_2_5 >= 1) or ((BeginLoop_2_2_5 >= 1) or ((BeginLoop_1_2_5 >= 1) or ((BeginLoop_0_2_5 >= 1) or ((BeginLoop_0_2_6 >= 1) or ((BeginLoop_6_2_5 >= 1) or ((BeginLoop_5_2_5 >= 1) or ((BeginLoop_4_2_5 >= 1) or ((BeginLoop_4_1_4 >= 1) or ((BeginLoop_5_1_4 >= 1) or ((BeginLoop_6_1_4 >= 1) or ((BeginLoop_0_1_5 >= 1) or ((BeginLoop_0_1_4 >= 1) or ((BeginLoop_1_1_4 >= 1) or ((BeginLoop_2_1_4 >= 1) or ((BeginLoop_3_1_4 >= 1) or ((BeginLoop_5_1_5 >= 1) or ((BeginLoop_6_1_5 >= 1) or ((BeginLoop_0_1_6 >= 1) or ((BeginLoop_1_1_6 >= 1) or ((BeginLoop_1_1_5 >= 1) or ((BeginLoop_2_1_5 >= 1) or ((BeginLoop_3_1_5 >= 1) or ((BeginLoop_4_1_5 >= 1) or ((BeginLoop_0_2_0 >= 1) or ((BeginLoop_6_1_6 >= 1) or ((BeginLoop_2_2_0 >= 1) or ((BeginLoop_1_2_0 >= 1) or ((BeginLoop_3_1_6 >= 1) or ((BeginLoop_2_1_6 >= 1) or ((BeginLoop_5_1_6 >= 1) or ((BeginLoop_4_1_6 >= 1) or ((BeginLoop_1_2_1 >= 1) or ((BeginLoop_0_2_1 >= 1) or ((BeginLoop_3_2_1 >= 1) or ((BeginLoop_2_2_1 >= 1) or ((BeginLoop_4_2_0 >= 1) or ((BeginLoop_3_2_0 >= 1) or ((BeginLoop_6_2_0 >= 1) or ((BeginLoop_5_2_0 >= 1) or ((BeginLoop_2_1_0 >= 1) or ((BeginLoop_3_1_0 >= 1) or ((BeginLoop_0_1_0 >= 1) or ((BeginLoop_1_1_0 >= 1) or ((BeginLoop_5_0_6 >= 1) or ((BeginLoop_6_0_6 >= 1) or ((BeginLoop_3_0_6 >= 1) or ((BeginLoop_4_0_6 >= 1) or ((BeginLoop_3_1_1 >= 1) or ((BeginLoop_4_1_1 >= 1) or ((BeginLoop_1_1_1 >= 1) or ((BeginLoop_2_1_1 >= 1) or ((BeginLoop_6_1_0 >= 1) or ((BeginLoop_0_1_1 >= 1) or ((BeginLoop_4_1_0 >= 1) or ((BeginLoop_5_1_0 >= 1) or ((BeginLoop_5_1_2 >= 1) or ((BeginLoop_4_1_2 >= 1) or ((BeginLoop_3_1_2 >= 1) or ((BeginLoop_2_1_2 >= 1) or ((BeginLoop_1_1_2 >= 1) or ((BeginLoop_0_1_2 >= 1) or ((BeginLoop_6_1_1 >= 1) or ((BeginLoop_5_1_1 >= 1) or ((BeginLoop_6_1_3 >= 1) or ((BeginLoop_5_1_3 >= 1) or ((BeginLoop_4_1_3 >= 1) or ((BeginLoop_3_1_3 >= 1) or ((BeginLoop_2_1_3 >= 1) or ((BeginLoop_1_1_3 >= 1) or ((BeginLoop_0_1_3 >= 1) or (BeginLoop_6_1_2 >= 1)))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))) or (((Turn_5_0 >= 1) and (TestTurn_5_5 >= 1)) or (((Turn_5_0 >= 1) and (TestTurn_4_5 >= 1)) or (((Turn_5_0 >= 1) and (TestTurn_3_5 >= 1)) or (((Turn_5_0 >= 1) and (TestTurn_2_5 >= 1)) or (((TestTurn_1_5 >= 1) and (Turn_5_0 >= 1)) or (((Turn_4_6 >= 1) and (TestTurn_5_4 >= 1)) or (((Turn_4_6 >= 1) and (TestTurn_4_4 >= 1)) or (((Turn_4_6 >= 1) and (TestTurn_3_4 >= 1)) or (((TestTurn_2_4 >= 1) and (Turn_4_6 >= 1)) or (((Turn_4_6 >= 1) and (TestTurn_1_4 >= 1)) or (((Turn_4_6 >= 1) and (TestTurn_0_4 >= 1)) or (((Turn_4_5 >= 1) and (TestTurn_6_4 >= 1)) or (((TestTurn_4_4 >= 1) and (Turn_4_5 >= 1)) or (((Turn_4_5 >= 1) and (TestTurn_3_4 >= 1)) or (((Turn_4_5 >= 1) and (TestTurn_2_4 >= 1)) or (((Turn_4_5 >= 1) and (TestTurn_1_4 >= 1)) or (((TestTurn_1_5 >= 1) and (Turn_5_3 >= 1)) or (((Turn_5_3 >= 1) and (TestTurn_2_5 >= 1)) or (((TestTurn_6_5 >= 1) and (Turn_5_2 >= 1)) or (((Turn_5_3 >= 1) and (TestTurn_0_5 >= 1)) or (((TestTurn_4_5 >= 1) and (Turn_5_2 >= 1)) or (((TestTurn_5_5 >= 1) and (Turn_5_2 >= 1)) or (((TestTurn_1_5 >= 1) and (Turn_5_2 >= 1)) or (((Turn_5_2 >= 1) and (TestTurn_3_5 >= 1)) or (((TestTurn_6_5 >= 1) and (Turn_5_1 >= 1)) or (((TestTurn_0_5 >= 1) and (Turn_5_2 >= 1)) or (((TestTurn_4_5 >= 1) and (Turn_5_1 >= 1)) or (((Turn_5_1 >= 1) and (TestTurn_5_5 >= 1)) or (((TestTurn_2_5 >= 1) and (Turn_5_1 >= 1)) or (((TestTurn_3_5 >= 1) and (Turn_5_1 >= 1)) or (((TestTurn_6_5 >= 1) and (Turn_5_0 >= 1)) or (((Turn_5_1 >= 1) and (TestTurn_0_5 >= 1)) or (((TestTurn_4_5 >= 1) and (Turn_5_5 >= 1)) or (((Turn_5_5 >= 1) and (TestTurn_3_5 >= 1)) or (((Turn_5_6 >= 1) and (TestTurn_0_5 >= 1)) or (((Turn_5_5 >= 1) and (TestTurn_6_5 >= 1)) or (((TestTurn_0_5 >= 1) and (Turn_5_5 >= 1)) or (((Turn_5_4 >= 1) and (TestTurn_6_5 >= 1)) or (((TestTurn_2_5 >= 1) and (Turn_5_5 >= 1)) or (((Turn_5_5 >= 1) and (TestTurn_1_5 >= 1)) or (((TestTurn_2_5 >= 1) and (Turn_5_4 >= 1)) or (((Turn_5_4 >= 1) and (TestTurn_1_5 >= 1)) or (((TestTurn_5_5 >= 1) and (Turn_5_4 >= 1)) or (((Turn_5_4 >= 1) and (TestTurn_3_5 >= 1)) or (((TestTurn_5_5 >= 1) and (Turn_5_3 >= 1)) or (((Turn_5_3 >= 1) and (TestTurn_4_5 >= 1)) or (((TestTurn_0_5 >= 1) and (Turn_5_4 >= 1)) or (((Turn_5_3 >= 1) and (TestTurn_6_5 >= 1)) or (((TestTurn_5_5 >= 1) and (Turn_5_6 >= 1)) or (((TestTurn_1_5 >= 1) and (Turn_5_6 >= 1)) or (((TestTurn_2_5 >= 1) and (Turn_5_6 >= 1)) or (((TestTurn_3_5 >= 1) and (Turn_5_6 >= 1)) or (((TestTurn_4_5 >= 1) and (Turn_5_6 >= 1)) or (((Turn_3_3 >= 1) and (TestTurn_2_3 >= 1)) or (((Turn_3_3 >= 1) and (TestTurn_1_3 >= 1)) or (((Turn_3_3 >= 1) and (TestTurn_0_3 >= 1)) or (((Turn_3_2 >= 1) and (TestTurn_6_3 >= 1)) or (((Turn_3_4 >= 1) and (TestTurn_0_3 >= 1)) or (((Turn_3_3 >= 1) and (TestTurn_6_3 >= 1)) or (((Turn_3_3 >= 1) and (TestTurn_5_3 >= 1)) or (((Turn_3_3 >= 1) and (TestTurn_4_3 >= 1)) or (((Turn_3_2 >= 1) and (TestTurn_0_3 >= 1)) or (((Turn_3_1 >= 1) and (TestTurn_6_3 >= 1)) or (((Turn_3_1 >= 1) and (TestTurn_5_3 >= 1)) or (((Turn_3_1 >= 1) and (TestTurn_4_3 >= 1)) or (((TestTurn_5_3 >= 1) and (Turn_3_2 >= 1)) or (((Turn_3_2 >= 1) and (TestTurn_4_3 >= 1)) or (((Turn_3_2 >= 1) and (TestTurn_3_3 >= 1)) or (((Turn_3_2 >= 1) and (TestTurn_1_3 >= 1)) or (((TestTurn_6_3 >= 1) and (Turn_3_5 >= 1)) or (((Turn_3_6 >= 1) and (TestTurn_0_3 >= 1)) or (((TestTurn_3_3 >= 1) and (Turn_3_5 >= 1)) or (((Turn_3_5 >= 1) and (TestTurn_4_3 >= 1)) or (((TestTurn_3_3 >= 1) and (Turn_3_6 >= 1)) or (((Turn_3_6 >= 1) and (TestTurn_4_3 >= 1)) or (((TestTurn_1_3 >= 1) and (Turn_3_6 >= 1)) or (((Turn_3_6 >= 1) and (TestTurn_2_3 >= 1)) or (((TestTurn_3_3 >= 1) and (Turn_3_4 >= 1)) or (((Turn_3_4 >= 1) and (TestTurn_5_3 >= 1)) or (((TestTurn_1_3 >= 1) and (Turn_3_4 >= 1)) or (((Turn_3_4 >= 1) and (TestTurn_2_3 >= 1)) or (((TestTurn_1_3 >= 1) and (Turn_3_5 >= 1)) or (((TestTurn_2_3 >= 1) and (Turn_3_5 >= 1)) or (((TestTurn_6_3 >= 1) and (Turn_3_4 >= 1)) or (((Turn_3_5 >= 1) and (TestTurn_0_3 >= 1)) or (((TestTurn_3_4 >= 1) and (Turn_4_1 >= 1)) or (((Turn_4_1 >= 1) and (TestTurn_2_4 >= 1)) or (((Turn_4_1 >= 1) and (TestTurn_5_4 >= 1)) or (((Turn_4_1 >= 1) and (TestTurn_4_4 >= 1)) or (((TestTurn_0_4 >= 1) and (Turn_4_2 >= 1)) or (((Turn_4_1 >= 1) and (TestTurn_6_4 >= 1)) or (((Turn_4_2 >= 1) and (TestTurn_3_4 >= 1)) or (((Turn_4_2 >= 1) and (TestTurn_1_4 >= 1)) or (((TestTurn_1_4 >= 1) and (Turn_4_0 >= 1)) or (((Turn_3_6 >= 1) and (TestTurn_5_3 >= 1)) or (((Turn_4_0 >= 1) and (TestTurn_3_4 >= 1)) or (((Turn_4_0 >= 1) and (TestTurn_2_4 >= 1)) or (((TestTurn_5_4 >= 1) and (Turn_4_0 >= 1)) or (((Turn_4_0 >= 1) and (TestTurn_4_4 >= 1)) or (((TestTurn_0_4 >= 1) and (Turn_4_1 >= 1)) or (((Turn_4_0 >= 1) and (TestTurn_6_4 >= 1)) or (((TestTurn_6_4 >= 1) and (Turn_4_3 >= 1)) or (((TestTurn_0_4 >= 1) and (Turn_4_4 >= 1)) or (((TestTurn_1_4 >= 1) and (Turn_4_4 >= 1)) or (((Turn_4_4 >= 1) and (TestTurn_2_4 >= 1)) or (((TestTurn_3_4 >= 1) and (Turn_4_4 >= 1)) or (((TestTurn_5_4 >= 1) and (Turn_4_4 >= 1)) or (((TestTurn_6_4 >= 1) and (Turn_4_4 >= 1)) or (((TestTurn_0_4 >= 1) and (Turn_4_5 >= 1)) or (((TestTurn_4_4 >= 1) and (Turn_4_2 >= 1)) or (((TestTurn_5_4 >= 1) and (Turn_4_2 >= 1)) or (((TestTurn_6_4 >= 1) and (Turn_4_2 >= 1)) or (((Turn_4_3 >= 1) and (TestTurn_0_4 >= 1)) or (((TestTurn_1_4 >= 1) and (Turn_4_3 >= 1)) or (((TestTurn_2_4 >= 1) and (Turn_4_3 >= 1)) or (((TestTurn_4_4 >= 1) and (Turn_4_3 >= 1)) or (((TestTurn_5_4 >= 1) and (Turn_4_3 >= 1)) or (((TestTurn_2_0 >= 1) and (Turn_0_0 >= 1)) or (((Turn_0_0 >= 1) and (TestTurn_3_0 >= 1)) or (((Turn_0_0 >= 1) and (TestTurn_1_0 >= 1)) or (((TestTurn_6_0 >= 1) and (Turn_0_0 >= 1)) or (((Turn_0_1 >= 1) and (TestTurn_0_0 >= 1)) or (((TestTurn_4_0 >= 1) and (Turn_0_0 >= 1)) or (((Turn_0_0 >= 1) and (TestTurn_5_0 >= 1)) or (((Turn_2_6 >= 1) and (TestTurn_2_2 >= 1)) or (((Turn_2_6 >= 1) and (TestTurn_1_2 >= 1)) or (((Turn_2_6 >= 1) and (TestTurn_0_2 >= 1)) or (((Turn_2_5 >= 1) and (TestTurn_6_2 >= 1)) or (((TestTurn_1_3 >= 1) and (Turn_3_0 >= 1)) or (((Turn_2_6 >= 1) and (TestTurn_5_2 >= 1)) or (((Turn_2_6 >= 1) and (TestTurn_4_2 >= 1)) or (((Turn_2_6 >= 1) and (TestTurn_3_2 >= 1)) or (((Turn_3_0 >= 1) and (TestTurn_5_3 >= 1)) or (((Turn_3_0 >= 1) and (TestTurn_4_3 >= 1)) or (((Turn_3_0 >= 1) and (TestTurn_3_3 >= 1)) or (((Turn_3_0 >= 1) and (TestTurn_2_3 >= 1)) or (((Turn_3_1 >= 1) and (TestTurn_3_3 >= 1)) or (((Turn_3_1 >= 1) and (TestTurn_2_3 >= 1)) or (((Turn_3_1 >= 1) and (TestTurn_0_3 >= 1)) or (((Turn_3_0 >= 1) and (TestTurn_6_3 >= 1)) or (((TestTurn_4_2 >= 1) and (Turn_2_3 >= 1)) or (((Turn_2_3 >= 1) and (TestTurn_5_2 >= 1)) or (((TestTurn_1_2 >= 1) and (Turn_2_3 >= 1)) or (((Turn_2_3 >= 1) and (TestTurn_2_2 >= 1)) or (((TestTurn_1_2 >= 1) and (Turn_2_4 >= 1)) or (((TestTurn_2_2 >= 1) and (Turn_2_4 >= 1)) or (((TestTurn_6_2 >= 1) and (Turn_2_3 >= 1)) or (((Turn_2_4 >= 1) and (TestTurn_0_2 >= 1)) or (((TestTurn_6_2 >= 1) and (Turn_2_4 >= 1)) or (((Turn_2_5 >= 1) and (TestTurn_0_2 >= 1)) or (((TestTurn_3_2 >= 1) and (Turn_2_4 >= 1)) or (((Turn_2_4 >= 1) and (TestTurn_5_2 >= 1)) or (((TestTurn_3_2 >= 1) and (Turn_2_5 >= 1)) or (((TestTurn_4_2 >= 1) and (Turn_2_5 >= 1)) or (((TestTurn_1_2 >= 1) and (Turn_2_5 >= 1)) or (((Turn_2_5 >= 1) and (TestTurn_2_2 >= 1)) or (((TestTurn_5_2 >= 1) and (Turn_2_0 >= 1)) or (((Turn_2_0 >= 1) and (TestTurn_4_2 >= 1)) or (((Turn_2_1 >= 1) and (TestTurn_0_2 >= 1)) or (((Turn_2_0 >= 1) and (TestTurn_6_2 >= 1)) or (((TestTurn_3_2 >= 1) and (Turn_2_1 >= 1)) or (((Turn_2_1 >= 1) and (TestTurn_2_2 >= 1)) or (((TestTurn_5_2 >= 1) and (Turn_2_1 >= 1)) or (((Turn_2_1 >= 1) and (TestTurn_4_2 >= 1)) or (((TestTurn_0_2 >= 1) and (Turn_2_2 >= 1)) or (((Turn_2_1 >= 1) and (TestTurn_6_2 >= 1)) or (((Turn_2_2 >= 1) and (TestTurn_3_2 >= 1)) or (((Turn_2_2 >= 1) and (TestTurn_1_2 >= 1)) or (((TestTurn_5_2 >= 1) and (Turn_2_2 >= 1)) or (((Turn_2_2 >= 1) and (TestTurn_4_2 >= 1)) or (((TestTurn_0_2 >= 1) and (Turn_2_3 >= 1)) or (((Turn_2_2 >= 1) and (TestTurn_6_2 >= 1)) or (((TestTurn_6_1 >= 1) and (Turn_1_4 >= 1)) or (((TestTurn_0_1 >= 1) and (Turn_1_5 >= 1)) or (((TestTurn_1_1 >= 1) and (Turn_1_5 >= 1)) or (((Turn_1_5 >= 1) and (TestTurn_2_1 >= 1)) or (((TestTurn_3_1 >= 1) and (Turn_1_5 >= 1)) or (((TestTurn_4_1 >= 1) and (Turn_1_5 >= 1)) or (((TestTurn_6_1 >= 1) and (Turn_1_5 >= 1)) or (((TestTurn_0_1 >= 1) and (Turn_1_6 >= 1)) or (((TestTurn_1_1 >= 1) and (Turn_1_6 >= 1)) or (((TestTurn_2_1 >= 1) and (Turn_1_6 >= 1)) or (((TestTurn_3_1 >= 1) and (Turn_1_6 >= 1)) or (((Turn_1_6 >= 1) and (TestTurn_4_1 >= 1)) or (((TestTurn_5_1 >= 1) and (Turn_1_6 >= 1)) or (((TestTurn_1_2 >= 1) and (Turn_2_0 >= 1)) or (((TestTurn_2_2 >= 1) and (Turn_2_0 >= 1)) or (((TestTurn_3_2 >= 1) and (Turn_2_0 >= 1)) or (((TestTurn_2_1 >= 1) and (Turn_1_3 >= 1)) or (((Turn_1_3 >= 1) and (TestTurn_1_1 >= 1)) or (((Turn_1_3 >= 1) and (TestTurn_0_1 >= 1)) or (((Turn_1_2 >= 1) and (TestTurn_6_1 >= 1)) or (((TestTurn_5_1 >= 1) and (Turn_1_2 >= 1)) or (((Turn_1_2 >= 1) and (TestTurn_4_1 >= 1)) or (((Turn_1_2 >= 1) and (TestTurn_3_1 >= 1)) or (((Turn_1_2 >= 1) and (TestTurn_1_1 >= 1)) or (((Turn_1_4 >= 1) and (TestTurn_5_1 >= 1)) or (((Turn_1_4 >= 1) and (TestTurn_3_1 >= 1)) or (((Turn_1_4 >= 1) and (TestTurn_2_1 >= 1)) or (((Turn_1_4 >= 1) and (TestTurn_1_1 >= 1)) or (((TestTurn_0_1 >= 1) and (Turn_1_4 >= 1)) or (((Turn_1_3 >= 1) and (TestTurn_6_1 >= 1)) or (((Turn_1_3 >= 1) and (TestTurn_5_1 >= 1)) or (((Turn_1_3 >= 1) and (TestTurn_4_1 >= 1)) or (((TestTurn_4_1 >= 1) and (Turn_1_0 >= 1)) or (((TestTurn_5_1 >= 1) and (Turn_1_0 >= 1)) or (((TestTurn_2_1 >= 1) and (Turn_1_0 >= 1)) or (((Turn_1_0 >= 1) and (TestTurn_3_1 >= 1)) or (((TestTurn_5_0 >= 1) and (Turn_0_6 >= 1)) or (((TestTurn_1_1 >= 1) and (Turn_1_0 >= 1)) or (((TestTurn_3_0 >= 1) and (Turn_0_6 >= 1)) or (((Turn_0_6 >= 1) and (TestTurn_4_0 >= 1)) or (((TestTurn_6_1 >= 1) and (Turn_1_1 >= 1)) or (((TestTurn_0_1 >= 1) and (Turn_1_2 >= 1)) or (((TestTurn_4_1 >= 1) and (Turn_1_1 >= 1)) or (((Turn_1_1 >= 1) and (TestTurn_5_1 >= 1)) or (((TestTurn_2_1 >= 1) and (Turn_1_1 >= 1)) or (((TestTurn_3_1 >= 1) and (Turn_1_1 >= 1)) or (((TestTurn_6_1 >= 1) and (Turn_1_0 >= 1)) or (((Turn_1_1 >= 1) and (TestTurn_0_1 >= 1)) or (((TestTurn_5_0 >= 1) and (Turn_0_4 >= 1)) or (((Turn_0_4 >= 1) and (TestTurn_3_0 >= 1)) or (((TestTurn_0_0 >= 1) and (Turn_0_5 >= 1)) or (((Turn_0_4 >= 1) and (TestTurn_6_0 >= 1)) or (((TestTurn_0_0 >= 1) and (Turn_0_4 >= 1)) or (((Turn_0_3 >= 1) and (TestTurn_6_0 >= 1)) or (((TestTurn_2_0 >= 1) and (Turn_0_4 >= 1)) or (((Turn_0_4 >= 1) and (TestTurn_1_0 >= 1)) or (((TestTurn_0_0 >= 1) and (Turn_0_6 >= 1)) or (((Turn_0_5 >= 1) and (TestTurn_6_0 >= 1)) or (((TestTurn_2_0 >= 1) and (Turn_0_6 >= 1)) or (((Turn_0_6 >= 1) and (TestTurn_1_0 >= 1)) or (((TestTurn_2_0 >= 1) and (Turn_0_5 >= 1)) or (((Turn_0_5 >= 1) and (TestTurn_1_0 >= 1)) or (((TestTurn_4_0 >= 1) and (Turn_0_5 >= 1)) or (((Turn_0_5 >= 1) and (TestTurn_3_0 >= 1)) or (((TestTurn_6_0 >= 1) and (Turn_0_1 >= 1)) or (((TestTurn_0_0 >= 1) and (Turn_0_2 >= 1)) or (((TestTurn_1_0 >= 1) and (Turn_0_2 >= 1)) or (((TestTurn_3_0 >= 1) and (Turn_0_2 >= 1)) or (((TestTurn_2_0 >= 1) and (Turn_0_1 >= 1)) or (((TestTurn_3_0 >= 1) and (Turn_0_1 >= 1)) or (((TestTurn_4_0 >= 1) and (Turn_0_1 >= 1)) or (((TestTurn_5_0 >= 1) and (Turn_0_1 >= 1)) or (((TestTurn_1_0 >= 1) and (Turn_0_3 >= 1)) or (((TestTurn_2_0 >= 1) and (Turn_0_3 >= 1)) or (((TestTurn_4_0 >= 1) and (Turn_0_3 >= 1)) or (((TestTurn_5_0 >= 1) and (Turn_0_3 >= 1)) or (((TestTurn_4_0 >= 1) and (Turn_0_2 >= 1)) or (((TestTurn_5_0 >= 1) and (Turn_0_2 >= 1)) or (((TestTurn_6_0 >= 1) and (Turn_0_2 >= 1)) or ((TestTurn_0_0 >= 1) and (Turn_0_3 >= 1))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))) and ((IsEndLoop_1_0_6 >= 1) or ((IsEndLoop_2_0_6 >= 1) or ((IsEndLoop_0_0_6 >= 1) or ((IsEndLoop_2_1_6 >= 1) or ((IsEndLoop_3_1_6 >= 1) or ((IsEndLoop_0_1_6 >= 1) or ((IsEndLoop_1_1_6 >= 1) or ((IsEndLoop_5_0_6 >= 1) or ((IsEndLoop_6_0_6 >= 1) or ((IsEndLoop_3_0_6 >= 1) or ((IsEndLoop_4_0_6 >= 1) or ((IsEndLoop_5_1_6 >= 1) or ((IsEndLoop_4_1_6 >= 1) or ((IsEndLoop_0_2_6 >= 1) or ((IsEndLoop_6_1_6 >= 1) or ((IsEndLoop_2_2_6 >= 1) or ((IsEndLoop_1_2_6 >= 1) or ((IsEndLoop_4_2_6 >= 1) or ((IsEndLoop_3_2_6 >= 1) or ((IsEndLoop_6_2_6 >= 1) or ((IsEndLoop_5_2_6 >= 1) or ((IsEndLoop_1_3_6 >= 1) or ((IsEndLoop_0_3_6 >= 1) or ((IsEndLoop_3_3_6 >= 1) or ((IsEndLoop_2_3_6 >= 1) or ((IsEndLoop_5_3_6 >= 1) or ((IsEndLoop_4_3_6 >= 1) or ((IsEndLoop_6_3_6 >= 1) or ((IsEndLoop_0_4_6 >= 1) or ((IsEndLoop_1_4_6 >= 1) or ((IsEndLoop_2_4_6 >= 1) or ((IsEndLoop_3_4_6 >= 1) or ((IsEndLoop_4_4_6 >= 1) or ((IsEndLoop_5_4_6 >= 1) or ((IsEndLoop_6_4_6 >= 1) or ((IsEndLoop_0_5_6 >= 1) or ((IsEndLoop_1_5_6 >= 1) or ((IsEndLoop_2_5_6 >= 1) or ((IsEndLoop_3_5_6 >= 1) or ((IsEndLoop_4_5_6 >= 1) or ((IsEndLoop_5_5_6 >= 1) or (IsEndLoop_6_5_6 >= 1))))))))))))))))))))))))))))))))))))))))))) or (not (((((AskForSection_0_3 >= 1) and (Turn_3_5 >= 1)) or (((Turn_3_5 >= 1) and (AskForSection_1_3 >= 1)) or (((AskForSection_2_3 >= 1) and (Turn_3_5 >= 1)) or (((Turn_3_5 >= 1) and (AskForSection_3_3 >= 1)) or (((AskForSection_3_3 >= 1) and (Turn_3_4 >= 1)) or (((Turn_3_4 >= 1) and (AskForSection_4_3 >= 1)) or (((AskForSection_5_3 >= 1) and (Turn_3_4 >= 1)) or (((Turn_3_4 >= 1) and (AskForSection_6_3 >= 1)) or (((AskForSection_6_3 >= 1) and (Turn_3_3 >= 1)) or (((Turn_3_4 >= 1) and (AskForSection_0_3 >= 1)) or (((AskForSection_1_3 >= 1) and (Turn_3_4 >= 1)) or (((Turn_3_4 >= 1) and (AskForSection_2_3 >= 1)) or (((Turn_3_3 >= 1) and (AskForSection_2_3 >= 1)) or (((Turn_3_3 >= 1) and (AskForSection_3_3 >= 1)) or (((Turn_3_3 >= 1) and (AskForSection_4_3 >= 1)) or (((Turn_3_3 >= 1) and (AskForSection_5_3 >= 1)) or (((Turn_3_2 >= 1) and (AskForSection_6_3 >= 1)) or (((Turn_3_2 >= 1) and (AskForSection_5_3 >= 1)) or (((Turn_3_3 >= 1) and (AskForSection_1_3 >= 1)) or (((Turn_3_3 >= 1) and (AskForSection_0_3 >= 1)) or (((Turn_3_2 >= 1) and (AskForSection_2_3 >= 1)) or (((Turn_3_2 >= 1) and (AskForSection_1_3 >= 1)) or (((Turn_3_2 >= 1) and (AskForSection_4_3 >= 1)) or (((Turn_3_2 >= 1) and (AskForSection_3_3 >= 1)) or (((Turn_3_1 >= 1) and (AskForSection_5_3 >= 1)) or (((Turn_3_1 >= 1) and (AskForSection_4_3 >= 1)) or (((Turn_3_2 >= 1) and (AskForSection_0_3 >= 1)) or (((Turn_3_1 >= 1) and (AskForSection_6_3 >= 1)) or (((AskForSection_1_3 >= 1) and (Turn_3_1 >= 1)) or (((AskForSection_0_3 >= 1) and (Turn_3_1 >= 1)) or (((AskForSection_3_3 >= 1) and (Turn_3_1 >= 1)) or (((AskForSection_2_3 >= 1) and (Turn_3_1 >= 1)) or (((Turn_4_2 >= 1) and (AskForSection_6_4 >= 1)) or (((AskForSection_0_4 >= 1) and (Turn_4_3 >= 1)) or (((Turn_4_2 >= 1) and (AskForSection_4_4 >= 1)) or (((Turn_4_2 >= 1) and (AskForSection_5_4 >= 1)) or (((Turn_4_2 >= 1) and (AskForSection_2_4 >= 1)) or (((AskForSection_3_4 >= 1) and (Turn_4_2 >= 1)) or (((Turn_4_2 >= 1) and (AskForSection_0_4 >= 1)) or (((Turn_4_2 >= 1) and (AskForSection_1_4 >= 1)) or (((Turn_4_1 >= 1) and (AskForSection_5_4 >= 1)) or (((AskForSection_6_4 >= 1) and (Turn_4_1 >= 1)) or (((Turn_4_1 >= 1) and (AskForSection_3_4 >= 1)) or (((Turn_4_1 >= 1) and (AskForSection_4_4 >= 1)) or (((AskForSection_1_4 >= 1) and (Turn_4_1 >= 1)) or (((AskForSection_2_4 >= 1) and (Turn_4_1 >= 1)) or (((AskForSection_6_4 >= 1) and (Turn_4_0 >= 1)) or (((Turn_4_1 >= 1) and (AskForSection_0_4 >= 1)) or (((AskForSection_5_4 >= 1) and (Turn_4_0 >= 1)) or (((AskForSection_4_4 >= 1) and (Turn_4_0 >= 1)) or (((Turn_4_0 >= 1) and (AskForSection_3_4 >= 1)) or (((AskForSection_2_4 >= 1) and (Turn_4_0 >= 1)) or (((AskForSection_1_4 >= 1) and (Turn_4_0 >= 1)) or (((AskForSection_0_4 >= 1) and (Turn_4_0 >= 1)) or (((Turn_3_6 >= 1) and (AskForSection_6_3 >= 1)) or (((AskForSection_5_3 >= 1) and (Turn_3_6 >= 1)) or (((AskForSection_4_3 >= 1) and (Turn_3_6 >= 1)) or (((AskForSection_3_3 >= 1) and (Turn_3_6 >= 1)) or (((Turn_3_6 >= 1) and (AskForSection_2_3 >= 1)) or (((AskForSection_1_3 >= 1) and (Turn_3_6 >= 1)) or (((AskForSection_0_3 >= 1) and (Turn_3_6 >= 1)) or (((AskForSection_6_3 >= 1) and (Turn_3_5 >= 1)) or (((Turn_3_5 >= 1) and (AskForSection_5_3 >= 1)) or (((AskForSection_4_3 >= 1) and (Turn_3_5 >= 1)) or (((AskForSection_2_2 >= 1) and (Turn_2_2 >= 1)) or (((Turn_2_2 >= 1) and (AskForSection_3_2 >= 1)) or (((AskForSection_4_2 >= 1) and (Turn_2_2 >= 1)) or (((Turn_2_2 >= 1) and (AskForSection_5_2 >= 1)) or (((AskForSection_6_2 >= 1) and (Turn_2_2 >= 1)) or (((Turn_2_3 >= 1) and (AskForSection_0_2 >= 1)) or (((AskForSection_1_2 >= 1) and (Turn_2_3 >= 1)) or (((AskForSection_2_2 >= 1) and (Turn_2_3 >= 1)) or (((Turn_2_1 >= 1) and (AskForSection_1_2 >= 1)) or (((Turn_2_1 >= 1) and (AskForSection_2_2 >= 1)) or (((Turn_2_1 >= 1) and (AskForSection_3_2 >= 1)) or (((Turn_2_1 >= 1) and (AskForSection_4_2 >= 1)) or (((AskForSection_5_2 >= 1) and (Turn_2_1 >= 1)) or (((Turn_2_1 >= 1) and (AskForSection_6_2 >= 1)) or (((AskForSection_0_2 >= 1) and (Turn_2_2 >= 1)) or (((AskForSection_1_2 >= 1) and (Turn_2_2 >= 1)) or (((Turn_2_0 >= 1) and (AskForSection_1_2 >= 1)) or (((Turn_2_0 >= 1) and (AskForSection_0_2 >= 1)) or (((Turn_2_0 >= 1) and (AskForSection_3_2 >= 1)) or (((Turn_2_0 >= 1) and (AskForSection_2_2 >= 1)) or (((Turn_2_0 >= 1) and (AskForSection_5_2 >= 1)) or (((Turn_2_0 >= 1) and (AskForSection_4_2 >= 1)) or (((AskForSection_0_2 >= 1) and (Turn_2_1 >= 1)) or (((Turn_2_0 >= 1) and (AskForSection_6_2 >= 1)) or (((AskForSection_0_1 >= 1) and (Turn_1_6 >= 1)) or (((AskForSection_6_1 >= 1) and (Turn_1_5 >= 1)) or (((AskForSection_2_1 >= 1) and (Turn_1_6 >= 1)) or (((AskForSection_1_1 >= 1) and (Turn_1_6 >= 1)) or (((Turn_1_6 >= 1) and (AskForSection_4_1 >= 1)) or (((Turn_1_6 >= 1) and (AskForSection_3_1 >= 1)) or (((AskForSection_6_1 >= 1) and (Turn_1_6 >= 1)) or (((Turn_1_6 >= 1) and (AskForSection_5_1 >= 1)) or (((AskForSection_1_3 >= 1) and (Turn_3_0 >= 1)) or (((Turn_3_0 >= 1) and (AskForSection_2_3 >= 1)) or (((AskForSection_6_2 >= 1) and (Turn_2_6 >= 1)) or (((AskForSection_0_3 >= 1) and (Turn_3_0 >= 1)) or (((AskForSection_5_3 >= 1) and (Turn_3_0 >= 1)) or (((Turn_3_0 >= 1) and (AskForSection_6_3 >= 1)) or (((AskForSection_3_3 >= 1) and (Turn_3_0 >= 1)) or (((AskForSection_4_3 >= 1) and (Turn_3_0 >= 1)) or (((Turn_2_6 >= 1) and (AskForSection_0_2 >= 1)) or (((Turn_2_6 >= 1) and (AskForSection_1_2 >= 1)) or (((Turn_2_5 >= 1) and (AskForSection_5_2 >= 1)) or (((AskForSection_6_2 >= 1) and (Turn_2_5 >= 1)) or (((AskForSection_4_2 >= 1) and (Turn_2_6 >= 1)) or (((Turn_2_6 >= 1) and (AskForSection_5_2 >= 1)) or (((AskForSection_2_2 >= 1) and (Turn_2_6 >= 1)) or (((AskForSection_3_2 >= 1) and (Turn_2_6 >= 1)) or (((Turn_2_5 >= 1) and (AskForSection_0_2 >= 1)) or (((Turn_2_4 >= 1) and (AskForSection_6_2 >= 1)) or (((AskForSection_5_2 >= 1) and (Turn_2_4 >= 1)) or (((Turn_2_4 >= 1) and (AskForSection_4_2 >= 1)) or (((Turn_2_5 >= 1) and (AskForSection_4_2 >= 1)) or (((Turn_2_5 >= 1) and (AskForSection_3_2 >= 1)) or (((AskForSection_2_2 >= 1) and (Turn_2_5 >= 1)) or (((Turn_2_5 >= 1) and (AskForSection_1_2 >= 1)) or (((AskForSection_6_2 >= 1) and (Turn_2_3 >= 1)) or (((AskForSection_5_2 >= 1) and (Turn_2_3 >= 1)) or (((Turn_2_3 >= 1) and (AskForSection_4_2 >= 1)) or (((AskForSection_3_2 >= 1) and (Turn_2_3 >= 1)) or (((Turn_2_4 >= 1) and (AskForSection_3_2 >= 1)) or (((Turn_2_4 >= 1) and (AskForSection_2_2 >= 1)) or (((AskForSection_1_2 >= 1) and (Turn_2_4 >= 1)) or (((Turn_2_4 >= 1) and (AskForSection_0_2 >= 1)) or (((AskForSection_6_5 >= 1) and (Turn_5_5 >= 1)) or (((AskForSection_0_5 >= 1) and (Turn_5_6 >= 1)) or (((AskForSection_1_5 >= 1) and (Turn_5_6 >= 1)) or (((Turn_5_6 >= 1) and (AskForSection_2_5 >= 1)) or (((Turn_5_5 >= 1) and (AskForSection_2_5 >= 1)) or (((Turn_5_5 >= 1) and (AskForSection_3_5 >= 1)) or (((Turn_5_5 >= 1) and (AskForSection_4_5 >= 1)) or (((AskForSection_5_5 >= 1) and (Turn_5_5 >= 1)) or (((AskForSection_3_5 >= 1) and (Turn_5_6 >= 1)) or (((AskForSection_4_5 >= 1) and (Turn_5_6 >= 1)) or (((AskForSection_5_5 >= 1) and (Turn_5_6 >= 1)) or (((AskForSection_6_5 >= 1) and (Turn_5_6 >= 1)) or (((AskForSection_4_4 >= 1) and (Turn_4_5 >= 1)) or (((AskForSection_3_4 >= 1) and (Turn_4_5 >= 1)) or (((AskForSection_6_4 >= 1) and (Turn_4_5 >= 1)) or (((AskForSection_5_4 >= 1) and (Turn_4_5 >= 1)) or (((AskForSection_1_4 >= 1) and (Turn_4_6 >= 1)) or (((Turn_4_6 >= 1) and (AskForSection_0_4 >= 1)) or (((AskForSection_3_4 >= 1) and (Turn_4_6 >= 1)) or (((Turn_4_6 >= 1) and (AskForSection_2_4 >= 1)) or (((AskForSection_5_4 >= 1) and (Turn_4_6 >= 1)) or (((Turn_4_6 >= 1) and (AskForSection_4_4 >= 1)) or (((AskForSection_0_5 >= 1) and (Turn_5_0 >= 1)) or (((Turn_4_6 >= 1) and (AskForSection_6_4 >= 1)) or (((AskForSection_2_5 >= 1) and (Turn_5_0 >= 1)) or (((Turn_5_0 >= 1) and (AskForSection_1_5 >= 1)) or (((AskForSection_4_5 >= 1) and (Turn_5_0 >= 1)) or (((Turn_5_0 >= 1) and (AskForSection_3_5 >= 1)) or (((Turn_4_3 >= 1) and (AskForSection_1_4 >= 1)) or (((Turn_4_3 >= 1) and (AskForSection_2_4 >= 1)) or (((Turn_4_3 >= 1) and (AskForSection_3_4 >= 1)) or (((AskForSection_4_4 >= 1) and (Turn_4_3 >= 1)) or (((AskForSection_5_4 >= 1) and (Turn_4_3 >= 1)) or (((AskForSection_6_4 >= 1) and (Turn_4_3 >= 1)) or (((AskForSection_0_4 >= 1) and (Turn_4_4 >= 1)) or (((AskForSection_1_4 >= 1) and (Turn_4_4 >= 1)) or (((AskForSection_2_4 >= 1) and (Turn_4_4 >= 1)) or (((AskForSection_3_4 >= 1) and (Turn_4_4 >= 1)) or (((AskForSection_4_4 >= 1) and (Turn_4_4 >= 1)) or (((AskForSection_5_4 >= 1) and (Turn_4_4 >= 1)) or (((AskForSection_6_4 >= 1) and (Turn_4_4 >= 1)) or (((AskForSection_0_4 >= 1) and (Turn_4_5 >= 1)) or (((AskForSection_1_4 >= 1) and (Turn_4_5 >= 1)) or (((AskForSection_2_4 >= 1) and (Turn_4_5 >= 1)) or (((AskForSection_3_5 >= 1) and (Turn_5_3 >= 1)) or (((AskForSection_2_5 >= 1) and (Turn_5_3 >= 1)) or (((Turn_5_3 >= 1) and (AskForSection_1_5 >= 1)) or (((AskForSection_0_5 >= 1) and (Turn_5_3 >= 1)) or (((Turn_5_4 >= 1) and (AskForSection_0_5 >= 1)) or (((Turn_5_3 >= 1) and (AskForSection_6_5 >= 1)) or (((Turn_5_3 >= 1) and (AskForSection_5_5 >= 1)) or (((Turn_5_3 >= 1) and (AskForSection_4_5 >= 1)) or (((AskForSection_4_5 >= 1) and (Turn_5_4 >= 1)) or (((Turn_5_4 >= 1) and (AskForSection_3_5 >= 1)) or (((Turn_5_4 >= 1) and (AskForSection_2_5 >= 1)) or (((Turn_5_4 >= 1) and (AskForSection_1_5 >= 1)) or (((Turn_5_5 >= 1) and (AskForSection_1_5 >= 1)) or (((Turn_5_5 >= 1) and (AskForSection_0_5 >= 1)) or (((Turn_5_4 >= 1) and (AskForSection_6_5 >= 1)) or (((Turn_5_4 >= 1) and (AskForSection_5_5 >= 1)) or (((Turn_5_1 >= 1) and (AskForSection_0_5 >= 1)) or (((AskForSection_1_5 >= 1) and (Turn_5_1 >= 1)) or (((Turn_5_0 >= 1) and (AskForSection_5_5 >= 1)) or (((AskForSection_6_5 >= 1) and (Turn_5_0 >= 1)) or (((AskForSection_4_5 >= 1) and (Turn_5_1 >= 1)) or (((Turn_5_1 >= 1) and (AskForSection_5_5 >= 1)) or (((AskForSection_2_5 >= 1) and (Turn_5_1 >= 1)) or (((Turn_5_1 >= 1) and (AskForSection_3_5 >= 1)) or (((AskForSection_1_5 >= 1) and (Turn_5_2 >= 1)) or (((AskForSection_2_5 >= 1) and (Turn_5_2 >= 1)) or (((AskForSection_6_5 >= 1) and (Turn_5_1 >= 1)) or (((Turn_5_2 >= 1) and (AskForSection_0_5 >= 1)) or (((AskForSection_5_5 >= 1) and (Turn_5_2 >= 1)) or (((Turn_5_2 >= 1) and (AskForSection_6_5 >= 1)) or (((AskForSection_3_5 >= 1) and (Turn_5_2 >= 1)) or (((Turn_5_2 >= 1) and (AskForSection_4_5 >= 1)) or (((AskForSection_4_0 >= 1) and (Turn_0_4 >= 1)) or (((Turn_0_4 >= 1) and (AskForSection_5_0 >= 1)) or (((AskForSection_2_0 >= 1) and (Turn_0_4 >= 1)) or (((Turn_0_4 >= 1) and (AskForSection_3_0 >= 1)) or (((Turn_0_4 >= 1) and (AskForSection_0_0 >= 1)) or (((AskForSection_1_0 >= 1) and (Turn_0_4 >= 1)) or (((Turn_0_3 >= 1) and (AskForSection_5_0 >= 1)) or (((AskForSection_6_0 >= 1) and (Turn_0_3 >= 1)) or (((AskForSection_5_0 >= 1) and (Turn_0_5 >= 1)) or (((Turn_0_5 >= 1) and (AskForSection_6_0 >= 1)) or (((AskForSection_3_0 >= 1) and (Turn_0_5 >= 1)) or (((Turn_0_5 >= 1) and (AskForSection_4_0 >= 1)) or (((AskForSection_1_0 >= 1) and (Turn_0_5 >= 1)) or (((AskForSection_2_0 >= 1) and (Turn_0_5 >= 1)) or (((AskForSection_6_0 >= 1) and (Turn_0_4 >= 1)) or (((Turn_0_5 >= 1) and (AskForSection_0_0 >= 1)) or (((Turn_1_0 >= 1) and (AskForSection_0_1 >= 1)) or (((Turn_0_6 >= 1) and (AskForSection_6_0 >= 1)) or (((Turn_0_6 >= 1) and (AskForSection_5_0 >= 1)) or (((Turn_0_6 >= 1) and (AskForSection_4_0 >= 1)) or (((Turn_0_6 >= 1) and (AskForSection_3_0 >= 1)) or (((AskForSection_2_0 >= 1) and (Turn_0_6 >= 1)) or (((Turn_0_6 >= 1) and (AskForSection_1_0 >= 1)) or (((AskForSection_0_0 >= 1) and (Turn_0_6 >= 1)) or (((Turn_1_1 >= 1) and (AskForSection_1_1 >= 1)) or (((Turn_1_1 >= 1) and (AskForSection_0_1 >= 1)) or (((Turn_1_0 >= 1) and (AskForSection_6_1 >= 1)) or (((Turn_1_0 >= 1) and (AskForSection_5_1 >= 1)) or (((AskForSection_4_1 >= 1) and (Turn_1_0 >= 1)) or (((Turn_1_0 >= 1) and (AskForSection_3_1 >= 1)) or (((Turn_1_0 >= 1) and (AskForSection_2_1 >= 1)) or (((Turn_1_0 >= 1) and (AskForSection_1_1 >= 1)) or (((Turn_1_1 >= 1) and (AskForSection_6_1 >= 1)) or (((AskForSection_0_1 >= 1) and (Turn_1_2 >= 1)) or (((Turn_1_2 >= 1) and (AskForSection_1_1 >= 1)) or (((AskForSection_2_1 >= 1) and (Turn_1_2 >= 1)) or (((Turn_1_1 >= 1) and (AskForSection_2_1 >= 1)) or (((Turn_1_1 >= 1) and (AskForSection_3_1 >= 1)) or (((Turn_1_1 >= 1) and (AskForSection_4_1 >= 1)) or (((Turn_1_1 >= 1) and (AskForSection_5_1 >= 1)) or (((Turn_1_3 >= 1) and (AskForSection_0_1 >= 1)) or (((AskForSection_1_1 >= 1) and (Turn_1_3 >= 1)) or (((Turn_1_3 >= 1) and (AskForSection_2_1 >= 1)) or (((AskForSection_3_1 >= 1) and (Turn_1_3 >= 1)) or (((Turn_1_2 >= 1) and (AskForSection_3_1 >= 1)) or (((AskForSection_4_1 >= 1) and (Turn_1_2 >= 1)) or (((Turn_1_2 >= 1) and (AskForSection_5_1 >= 1)) or (((Turn_1_2 >= 1) and (AskForSection_6_1 >= 1)) or (((AskForSection_2_1 >= 1) and (Turn_1_4 >= 1)) or (((AskForSection_1_1 >= 1) and (Turn_1_4 >= 1)) or (((AskForSection_4_1 >= 1) and (Turn_1_4 >= 1)) or (((AskForSection_3_1 >= 1) and (Turn_1_4 >= 1)) or (((AskForSection_5_1 >= 1) and (Turn_1_3 >= 1)) or (((Turn_1_3 >= 1) and (AskForSection_4_1 >= 1)) or (((Turn_1_4 >= 1) and (AskForSection_0_1 >= 1)) or (((Turn_1_3 >= 1) and (AskForSection_6_1 >= 1)) or (((AskForSection_3_1 >= 1) and (Turn_1_5 >= 1)) or (((AskForSection_2_1 >= 1) and (Turn_1_5 >= 1)) or (((AskForSection_5_1 >= 1) and (Turn_1_5 >= 1)) or (((AskForSection_4_1 >= 1) and (Turn_1_5 >= 1)) or (((AskForSection_6_1 >= 1) and (Turn_1_4 >= 1)) or (((AskForSection_5_1 >= 1) and (Turn_1_4 >= 1)) or (((Turn_1_5 >= 1) and (AskForSection_1_1 >= 1)) or (((AskForSection_0_1 >= 1) and (Turn_1_5 >= 1)) or (((AskForSection_0_0 >= 1) and (Turn_0_0 >= 1)) or (((Turn_0_0 >= 1) and (AskForSection_1_0 >= 1)) or (((AskForSection_2_0 >= 1) and (Turn_0_0 >= 1)) or (((AskForSection_3_0 >= 1) and (Turn_0_0 >= 1)) or (((AskForSection_4_0 >= 1) and (Turn_0_0 >= 1)) or (((AskForSection_5_0 >= 1) and (Turn_0_0 >= 1)) or (((AskForSection_6_0 >= 1) and (Turn_0_0 >= 1)) or (((AskForSection_0_0 >= 1) and (Turn_0_1 >= 1)) or (((AskForSection_1_0 >= 1) and (Turn_0_1 >= 1)) or (((Turn_0_1 >= 1) and (AskForSection_2_0 >= 1)) or (((AskForSection_4_0 >= 1) and (Turn_0_1 >= 1)) or (((AskForSection_3_0 >= 1) and (Turn_0_1 >= 1)) or (((Turn_0_1 >= 1) and (AskForSection_6_0 >= 1)) or (((AskForSection_5_0 >= 1) and (Turn_0_1 >= 1)) or (((AskForSection_1_0 >= 1) and (Turn_0_2 >= 1)) or (((Turn_0_2 >= 1) and (AskForSection_0_0 >= 1)) or (((Turn_0_2 >= 1) and (AskForSection_3_0 >= 1)) or (((Turn_0_2 >= 1) and (AskForSection_2_0 >= 1)) or (((AskForSection_5_0 >= 1) and (Turn_0_2 >= 1)) or (((Turn_0_2 >= 1) and (AskForSection_4_0 >= 1)) or (((AskForSection_0_0 >= 1) and (Turn_0_3 >= 1)) or (((Turn_0_2 >= 1) and (AskForSection_6_0 >= 1)) or (((AskForSection_2_0 >= 1) and (Turn_0_3 >= 1)) or (((Turn_0_3 >= 1) and (AskForSection_1_0 >= 1)) or (((Turn_0_3 >= 1) and (AskForSection_4_0 >= 1)) or ((Turn_0_3 >= 1) and (AskForSection_3_0 >= 1))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))) and ((TestIdentity_4_4_4 >= 1) or ((TestIdentity_5_4_5 >= 1) or ((TestIdentity_6_4_6 >= 1) or ((TestIdentity_0_5_0 >= 1) or ((TestIdentity_1_5_1 >= 1) or ((TestIdentity_2_5_2 >= 1) or ((TestIdentity_3_5_3 >= 1) or ((TestIdentity_4_5_4 >= 1) or ((TestIdentity_3_3_3 >= 1) or ((TestIdentity_4_3_4 >= 1) or ((TestIdentity_5_3_5 >= 1) or ((TestIdentity_6_3_6 >= 1) or ((TestIdentity_0_4_0 >= 1) or ((TestIdentity_1_4_1 >= 1) or ((TestIdentity_2_4_2 >= 1) or ((TestIdentity_3_4_3 >= 1) or ((TestIdentity_6_5_6 >= 1) or ((TestIdentity_5_5_5 >= 1) or ((TestIdentity_2_0_2 >= 1) or ((TestIdentity_3_0_3 >= 1) or ((TestIdentity_0_0_0 >= 1) or ((TestIdentity_1_0_1 >= 1) or ((TestIdentity_6_0_6 >= 1) or ((TestIdentity_0_1_0 >= 1) or ((TestIdentity_4_0_4 >= 1) or ((TestIdentity_5_0_5 >= 1) or ((TestIdentity_5_2_5 >= 1) or ((TestIdentity_4_2_4 >= 1) or ((TestIdentity_3_2_3 >= 1) or ((TestIdentity_2_2_2 >= 1) or ((TestIdentity_2_3_2 >= 1) or ((TestIdentity_1_3_1 >= 1) or ((TestIdentity_0_3_0 >= 1) or ((TestIdentity_6_2_6 >= 1) or ((TestIdentity_4_1_4 >= 1) or ((TestIdentity_3_1_3 >= 1) or ((TestIdentity_2_1_2 >= 1) or ((TestIdentity_1_1_1 >= 1) or ((TestIdentity_1_2_1 >= 1) or ((TestIdentity_0_2_0 >= 1) or ((TestIdentity_6_1_6 >= 1) or (TestIdentity_5_1_5 >= 1))))))))))))))))))))))))))))))))))))))))))) and (((TestIdentity_6_2_0 >= 1) or ((TestIdentity_5_2_0 >= 1) or ((TestIdentity_2_2_1 >= 1) or ((TestIdentity_0_2_1 >= 1) or ((TestIdentity_2_2_0 >= 1) or ((TestIdentity_1_2_0 >= 1) or ((TestIdentity_4_2_0 >= 1) or ((TestIdentity_3_2_0 >= 1) or ((TestIdentity_1_2_2 >= 1) or ((TestIdentity_0_2_2 >= 1) or ((TestIdentity_4_2_2 >= 1) or ((TestIdentity_3_2_2 >= 1) or ((TestIdentity_4_2_1 >= 1) or ((TestIdentity_3_2_1 >= 1) or ((TestIdentity_6_2_1 >= 1) or ((TestIdentity_5_2_1 >= 1) or ((TestIdentity_2_2_3 >= 1) or ((TestIdentity_4_2_3 >= 1) or ((TestIdentity_5_2_3 >= 1) or ((TestIdentity_6_2_3 >= 1) or ((TestIdentity_5_2_2 >= 1) or ((TestIdentity_6_2_2 >= 1) or ((TestIdentity_0_2_3 >= 1) or ((TestIdentity_1_2_3 >= 1) or ((TestIdentity_5_2_4 >= 1) or ((TestIdentity_6_2_4 >= 1) or ((TestIdentity_0_2_5 >= 1) or ((TestIdentity_1_2_5 >= 1) or ((TestIdentity_0_2_4 >= 1) or ((TestIdentity_1_2_4 >= 1) or ((TestIdentity_2_2_4 >= 1) or ((TestIdentity_3_2_4 >= 1) or ((TestIdentity_6_1_2 >= 1) or ((TestIdentity_5_1_2 >= 1) or ((TestIdentity_4_1_2 >= 1) or ((TestIdentity_3_1_2 >= 1) or ((TestIdentity_1_1_2 >= 1) or ((TestIdentity_0_1_2 >= 1) or ((TestIdentity_6_1_1 >= 1) or ((TestIdentity_5_1_1 >= 1) or ((TestIdentity_1_1_4 >= 1) or ((TestIdentity_0_1_4 >= 1) or ((TestIdentity_6_1_3 >= 1) or ((TestIdentity_5_1_3 >= 1) or ((TestIdentity_4_1_3 >= 1) or ((TestIdentity_2_1_3 >= 1) or ((TestIdentity_1_1_3 >= 1) or ((TestIdentity_0_1_3 >= 1) or ((TestIdentity_2_1_5 >= 1) or ((TestIdentity_3_1_5 >= 1) or ((TestIdentity_0_1_5 >= 1) or ((TestIdentity_1_1_5 >= 1) or ((TestIdentity_5_1_4 >= 1) or ((TestIdentity_6_1_4 >= 1) or ((TestIdentity_2_1_4 >= 1) or ((TestIdentity_3_1_4 >= 1) or ((TestIdentity_4_1_6 >= 1) or ((TestIdentity_5_1_6 >= 1) or ((TestIdentity_2_1_6 >= 1) or ((TestIdentity_3_1_6 >= 1) or ((TestIdentity_0_1_6 >= 1) or ((TestIdentity_1_1_6 >= 1) or ((TestIdentity_4_1_5 >= 1) or ((TestIdentity_6_1_5 >= 1) or ((TestIdentity_6_3_3 >= 1) or ((TestIdentity_5_3_3 >= 1) or ((TestIdentity_1_3_4 >= 1) or ((TestIdentity_0_3_4 >= 1) or ((TestIdentity_3_3_4 >= 1) or ((TestIdentity_2_3_4 >= 1) or ((TestIdentity_6_3_4 >= 1) or ((TestIdentity_5_3_4 >= 1) or ((TestIdentity_1_3_5 >= 1) or ((TestIdentity_0_3_5 >= 1) or ((TestIdentity_3_3_5 >= 1) or ((TestIdentity_2_3_5 >= 1) or ((TestIdentity_6_3_5 >= 1) or ((TestIdentity_4_3_5 >= 1) or ((TestIdentity_1_3_6 >= 1) or ((TestIdentity_0_3_6 >= 1) or ((TestIdentity_2_3_6 >= 1) or ((TestIdentity_3_3_6 >= 1) or ((TestIdentity_4_3_6 >= 1) or ((TestIdentity_5_3_6 >= 1) or ((TestIdentity_1_4_0 >= 1) or ((TestIdentity_2_4_0 >= 1) or ((TestIdentity_3_4_0 >= 1) or ((TestIdentity_4_4_0 >= 1) or ((TestIdentity_5_4_0 >= 1) or ((TestIdentity_6_4_0 >= 1) or ((TestIdentity_0_4_1 >= 1) or ((TestIdentity_2_4_1 >= 1) or ((TestIdentity_3_4_1 >= 1) or ((TestIdentity_4_4_1 >= 1) or ((TestIdentity_5_4_1 >= 1) or ((TestIdentity_6_4_1 >= 1) or ((TestIdentity_6_2_5 >= 1) or ((TestIdentity_4_2_5 >= 1) or ((TestIdentity_3_2_5 >= 1) or ((TestIdentity_2_2_5 >= 1) or ((TestIdentity_3_2_6 >= 1) or ((TestIdentity_2_2_6 >= 1) or ((TestIdentity_1_2_6 >= 1) or ((TestIdentity_0_2_6 >= 1) or ((TestIdentity_2_3_0 >= 1) or ((TestIdentity_1_3_0 >= 1) or ((TestIdentity_5_2_6 >= 1) or ((TestIdentity_4_2_6 >= 1) or ((TestIdentity_6_3_0 >= 1) or ((TestIdentity_5_3_0 >= 1) or ((TestIdentity_4_3_0 >= 1) or ((TestIdentity_3_3_0 >= 1) or ((TestIdentity_3_3_1 >= 1) or ((TestIdentity_4_3_1 >= 1) or ((TestIdentity_0_3_1 >= 1) or ((TestIdentity_2_3_1 >= 1) or ((TestIdentity_0_3_2 >= 1) or ((TestIdentity_1_3_2 >= 1) or ((TestIdentity_5_3_1 >= 1) or ((TestIdentity_6_3_1 >= 1) or ((TestIdentity_5_3_2 >= 1) or ((TestIdentity_6_3_2 >= 1) or ((TestIdentity_3_3_2 >= 1) or ((TestIdentity_4_3_2 >= 1) or ((TestIdentity_2_3_3 >= 1) or ((TestIdentity_4_3_3 >= 1) or ((TestIdentity_0_3_3 >= 1) or ((TestIdentity_1_3_3 >= 1) or ((TestIdentity_3_5_2 >= 1) or ((TestIdentity_4_5_2 >= 1) or ((TestIdentity_5_5_2 >= 1) or ((TestIdentity_6_5_2 >= 1) or ((TestIdentity_5_5_1 >= 1) or ((TestIdentity_6_5_1 >= 1) or ((TestIdentity_0_5_2 >= 1) or ((TestIdentity_1_5_2 >= 1) or ((TestIdentity_0_5_1 >= 1) or ((TestIdentity_2_5_1 >= 1) or ((TestIdentity_3_5_1 >= 1) or ((TestIdentity_4_5_1 >= 1) or ((TestIdentity_3_5_0 >= 1) or ((TestIdentity_4_5_0 >= 1) or ((TestIdentity_5_5_0 >= 1) or ((TestIdentity_6_5_0 >= 1) or ((TestIdentity_1_5_5 >= 1) or ((TestIdentity_0_5_5 >= 1) or ((TestIdentity_3_5_5 >= 1) or ((TestIdentity_2_5_5 >= 1) or ((TestIdentity_3_5_4 >= 1) or ((TestIdentity_2_5_4 >= 1) or ((TestIdentity_6_5_4 >= 1) or ((TestIdentity_5_5_4 >= 1) or ((TestIdentity_6_5_3 >= 1) or ((TestIdentity_5_5_3 >= 1) or ((TestIdentity_1_5_4 >= 1) or ((TestIdentity_0_5_4 >= 1) or ((TestIdentity_1_5_3 >= 1) or ((TestIdentity_0_5_3 >= 1) or ((TestIdentity_4_5_3 >= 1) or ((TestIdentity_2_5_3 >= 1) or ((TestIdentity_2_4_4 >= 1) or ((TestIdentity_3_4_4 >= 1) or ((TestIdentity_0_4_4 >= 1) or ((TestIdentity_1_4_4 >= 1) or ((TestIdentity_5_4_3 >= 1) or ((TestIdentity_6_4_3 >= 1) or ((TestIdentity_2_4_3 >= 1) or ((TestIdentity_4_4_3 >= 1) or ((TestIdentity_0_4_3 >= 1) or ((TestIdentity_1_4_3 >= 1) or ((TestIdentity_5_4_2 >= 1) or ((TestIdentity_6_4_2 >= 1) or ((TestIdentity_3_4_2 >= 1) or ((TestIdentity_4_4_2 >= 1) or ((TestIdentity_0_4_2 >= 1) or ((TestIdentity_1_4_2 >= 1) or ((TestIdentity_2_5_0 >= 1) or ((TestIdentity_1_5_0 >= 1) or ((TestIdentity_5_4_6 >= 1) or ((TestIdentity_4_4_6 >= 1) or ((TestIdentity_3_4_6 >= 1) or ((TestIdentity_2_4_6 >= 1) or ((TestIdentity_1_4_6 >= 1) or ((TestIdentity_0_4_6 >= 1) or ((TestIdentity_6_4_5 >= 1) or ((TestIdentity_4_4_5 >= 1) or ((TestIdentity_3_4_5 >= 1) or ((TestIdentity_2_4_5 >= 1) or ((TestIdentity_1_4_5 >= 1) or ((TestIdentity_0_4_5 >= 1) or ((TestIdentity_6_4_4 >= 1) or ((TestIdentity_5_4_4 >= 1) or ((TestIdentity_0_5_6 >= 1) or ((TestIdentity_1_5_6 >= 1) or ((TestIdentity_4_5_5 >= 1) or ((TestIdentity_6_5_5 >= 1) or ((TestIdentity_4_5_6 >= 1) or ((TestIdentity_5_5_6 >= 1) or ((TestIdentity_2_5_6 >= 1) or ((TestIdentity_3_5_6 >= 1) or ((TestIdentity_4_0_1 >= 1) or ((TestIdentity_3_0_1 >= 1) or ((TestIdentity_6_0_1 >= 1) or ((TestIdentity_5_0_1 >= 1) or ((TestIdentity_6_0_0 >= 1) or ((TestIdentity_5_0_0 >= 1) or ((TestIdentity_2_0_1 >= 1) or ((TestIdentity_0_0_1 >= 1) or ((TestIdentity_6_0_2 >= 1) or ((TestIdentity_5_0_2 >= 1) or ((TestIdentity_1_0_3 >= 1) or ((TestIdentity_0_0_3 >= 1) or ((TestIdentity_1_0_2 >= 1) or ((TestIdentity_0_0_2 >= 1) or ((TestIdentity_4_0_2 >= 1) or ((TestIdentity_3_0_2 >= 1) or ((TestIdentity_1_0_0 >= 1) or ((TestIdentity_2_0_0 >= 1) or ((TestIdentity_3_0_0 >= 1) or ((TestIdentity_4_0_0 >= 1) or ((TestIdentity_2_1_0 >= 1) or ((TestIdentity_1_1_0 >= 1) or ((TestIdentity_5_0_6 >= 1) or ((TestIdentity_4_0_6 >= 1) or ((TestIdentity_3_0_6 >= 1) or ((TestIdentity_2_0_6 >= 1) or ((TestIdentity_1_0_6 >= 1) or ((TestIdentity_0_0_6 >= 1) or ((TestIdentity_4_1_1 >= 1) or ((TestIdentity_3_1_1 >= 1) or ((TestIdentity_2_1_1 >= 1) or ((TestIdentity_0_1_1 >= 1) or ((TestIdentity_6_1_0 >= 1) or ((TestIdentity_5_1_0 >= 1) or ((TestIdentity_4_1_0 >= 1) or ((TestIdentity_3_1_0 >= 1) or ((TestIdentity_2_0_4 >= 1) or ((TestIdentity_3_0_4 >= 1) or ((TestIdentity_0_0_4 >= 1) or ((TestIdentity_1_0_4 >= 1) or ((TestIdentity_5_0_3 >= 1) or ((TestIdentity_6_0_3 >= 1) or ((TestIdentity_2_0_3 >= 1) or ((TestIdentity_4_0_3 >= 1) or ((TestIdentity_4_0_5 >= 1) or ((TestIdentity_6_0_5 >= 1) or ((TestIdentity_2_0_5 >= 1) or ((TestIdentity_3_0_5 >= 1) or ((TestIdentity_0_0_5 >= 1) or ((TestIdentity_1_0_5 >= 1) or ((TestIdentity_5_0_4 >= 1) or (TestIdentity_6_0_4 >= 1)))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))) and ((EndTurn_0_5 >= 1) or ((EndTurn_1_5 >= 1) or ((EndTurn_2_5 >= 1) or ((EndTurn_3_5 >= 1) or ((EndTurn_4_5 >= 1) or ((EndTurn_5_5 >= 1) or (EndTurn_6_5 >= 1)))))))))))
Query after reduction: AG (((((BeginLoop_6_5_6 < 1) and ((BeginLoop_0_5_6 < 1) and ((BeginLoop_1_5_6 < 1) and ((BeginLoop_5_5_5 < 1) and ((BeginLoop_6_5_5 < 1) and ((BeginLoop_4_5_6 < 1) and ((BeginLoop_5_5_6 < 1) and ((BeginLoop_2_5_6 < 1) and ((BeginLoop_3_5_6 < 1) and ((BeginLoop_0_5_5 < 1) and ((BeginLoop_6_5_4 < 1) and ((BeginLoop_5_5_4 < 1) and ((BeginLoop_4_5_4 < 1) and ((BeginLoop_4_5_5 < 1) and ((BeginLoop_3_5_5 < 1) and ((BeginLoop_2_5_5 < 1) and ((BeginLoop_1_5_5 < 1) and ((BeginLoop_6_5_3 < 1) and ((BeginLoop_5_5_3 < 1) and ((BeginLoop_4_5_3 < 1) and ((BeginLoop_3_5_3 < 1) and ((BeginLoop_3_5_4 < 1) and ((BeginLoop_2_5_4 < 1) and ((BeginLoop_1_5_4 < 1) and ((BeginLoop_0_5_4 < 1) and ((BeginLoop_2_5_2 < 1) and ((BeginLoop_3_5_2 < 1) and ((BeginLoop_4_5_2 < 1) and ((BeginLoop_5_5_2 < 1) and ((BeginLoop_6_5_2 < 1) and ((BeginLoop_0_5_3 < 1) and ((BeginLoop_1_5_3 < 1) and ((BeginLoop_2_5_3 < 1) and ((BeginLoop_1_5_1 < 1) and ((BeginLoop_2_5_1 < 1) and ((BeginLoop_3_5_1 < 1) and ((BeginLoop_4_5_1 < 1) and ((BeginLoop_5_5_1 < 1) and ((BeginLoop_6_5_1 < 1) and ((BeginLoop_0_5_2 < 1) and ((BeginLoop_1_5_2 < 1) and ((BeginLoop_1_5_0 < 1) and ((BeginLoop_0_5_0 < 1) and ((BeginLoop_3_5_0 < 1) and ((BeginLoop_2_5_0 < 1) and ((BeginLoop_5_5_0 < 1) and ((BeginLoop_4_5_0 < 1) and ((BeginLoop_0_5_1 < 1) and ((BeginLoop_6_5_0 < 1) and ((BeginLoop_0_4_6 < 1) and ((BeginLoop_6_4_5 < 1) and ((BeginLoop_2_4_6 < 1) and ((BeginLoop_1_4_6 < 1) and ((BeginLoop_4_4_6 < 1) and ((BeginLoop_3_4_6 < 1) and ((BeginLoop_6_4_6 < 1) and ((BeginLoop_5_4_6 < 1) and ((BeginLoop_4_4_5 < 1) and ((BeginLoop_5_4_5 < 1) and ((BeginLoop_2_4_5 < 1) and ((BeginLoop_3_4_5 < 1) and ((BeginLoop_0_4_5 < 1) and ((BeginLoop_1_4_5 < 1) and ((BeginLoop_5_4_4 < 1) and ((BeginLoop_6_4_4 < 1) and ((BeginLoop_3_4_4 < 1) and ((BeginLoop_4_4_4 < 1) and ((BeginLoop_1_4_4 < 1) and ((BeginLoop_2_4_4 < 1) and ((BeginLoop_6_4_3 < 1) and ((BeginLoop_0_4_4 < 1) and ((BeginLoop_4_4_3 < 1) and ((BeginLoop_5_4_3 < 1) and ((BeginLoop_3_4_3 < 1) and ((BeginLoop_2_4_3 < 1) and ((BeginLoop_1_4_3 < 1) and ((BeginLoop_0_4_3 < 1) and ((BeginLoop_6_4_2 < 1) and ((BeginLoop_5_4_2 < 1) and ((BeginLoop_4_4_2 < 1) and ((BeginLoop_3_4_2 < 1) and ((BeginLoop_2_4_2 < 1) and ((BeginLoop_1_4_2 < 1) and ((BeginLoop_0_4_2 < 1) and ((BeginLoop_6_4_1 < 1) and ((BeginLoop_5_4_1 < 1) and ((BeginLoop_4_4_1 < 1) and ((BeginLoop_3_4_1 < 1) and ((BeginLoop_2_4_1 < 1) and ((BeginLoop_5_4_0 < 1) and ((BeginLoop_6_4_0 < 1) and ((BeginLoop_0_4_1 < 1) and ((BeginLoop_1_4_1 < 1) and ((BeginLoop_1_4_0 < 1) and ((BeginLoop_2_4_0 < 1) and ((BeginLoop_3_4_0 < 1) and ((BeginLoop_4_4_0 < 1) and ((BeginLoop_4_3_6 < 1) and ((BeginLoop_5_3_6 < 1) and ((BeginLoop_6_3_6 < 1) and ((BeginLoop_0_4_0 < 1) and ((BeginLoop_0_3_6 < 1) and ((BeginLoop_1_3_6 < 1) and ((BeginLoop_2_3_6 < 1) and ((BeginLoop_3_3_6 < 1) and ((BeginLoop_4_3_5 < 1) and ((BeginLoop_3_3_5 < 1) and ((BeginLoop_6_3_5 < 1) and ((BeginLoop_5_3_5 < 1) and ((BeginLoop_0_3_5 < 1) and ((BeginLoop_6_3_4 < 1) and ((BeginLoop_2_3_5 < 1) and ((BeginLoop_1_3_5 < 1) and ((BeginLoop_3_3_4 < 1) and ((BeginLoop_2_3_4 < 1) and ((BeginLoop_5_3_4 < 1) and ((BeginLoop_4_3_4 < 1) and ((BeginLoop_6_3_3 < 1) and ((BeginLoop_5_3_3 < 1) and ((BeginLoop_1_3_4 < 1) and ((BeginLoop_0_3_4 < 1) and ((BeginLoop_1_0_3 < 1) and ((BeginLoop_0_0_3 < 1) and ((BeginLoop_3_0_3 < 1) and ((BeginLoop_2_0_3 < 1) and ((BeginLoop_5_0_3 < 1) and ((BeginLoop_4_0_3 < 1) and ((BeginLoop_0_0_4 < 1) and ((BeginLoop_6_0_3 < 1) and ((BeginLoop_0_0_2 < 1) and ((BeginLoop_6_0_1 < 1) and ((BeginLoop_2_0_2 < 1) and ((BeginLoop_1_0_2 < 1) and ((BeginLoop_4_0_2 < 1) and ((BeginLoop_3_0_2 < 1) and ((BeginLoop_6_0_2 < 1) and ((BeginLoop_5_0_2 < 1) and ((BeginLoop_2_0_5 < 1) and ((BeginLoop_3_0_5 < 1) and ((BeginLoop_4_0_5 < 1) and ((BeginLoop_5_0_5 < 1) and ((BeginLoop_6_0_5 < 1) and ((BeginLoop_0_0_6 < 1) and ((BeginLoop_1_0_6 < 1) and ((BeginLoop_2_0_6 < 1) and ((BeginLoop_1_0_4 < 1) and ((BeginLoop_2_0_4 < 1) and ((BeginLoop_3_0_4 < 1) and ((BeginLoop_4_0_4 < 1) and ((BeginLoop_5_0_4 < 1) and ((BeginLoop_6_0_4 < 1) and ((BeginLoop_0_0_5 < 1) and ((BeginLoop_1_0_5 < 1) and ((BeginLoop_0_0_1 < 1) and ((BeginLoop_1_0_1 < 1) and ((BeginLoop_5_0_0 < 1) and ((BeginLoop_6_0_0 < 1) and ((BeginLoop_4_0_1 < 1) and ((BeginLoop_5_0_1 < 1) and ((BeginLoop_2_0_1 < 1) and ((BeginLoop_3_0_1 < 1) and ((BeginLoop_0_0_0 < 1) and ((BeginLoop_3_0_0 < 1) and ((BeginLoop_4_0_0 < 1) and ((BeginLoop_1_0_0 < 1) and ((BeginLoop_2_0_0 < 1) and ((BeginLoop_1_2_6 < 1) and ((BeginLoop_2_2_6 < 1) and ((BeginLoop_3_2_6 < 1) and ((BeginLoop_4_2_6 < 1) and ((BeginLoop_5_2_6 < 1) and ((BeginLoop_6_2_6 < 1) and ((BeginLoop_0_3_0 < 1) and ((BeginLoop_1_3_0 < 1) and ((BeginLoop_2_3_0 < 1) and ((BeginLoop_3_3_0 < 1) and ((BeginLoop_4_3_0 < 1) and ((BeginLoop_5_3_0 < 1) and ((BeginLoop_6_3_0 < 1) and ((BeginLoop_0_3_1 < 1) and ((BeginLoop_1_3_1 < 1) and ((BeginLoop_2_3_1 < 1) and ((BeginLoop_4_3_1 < 1) and ((BeginLoop_3_3_1 < 1) and ((BeginLoop_6_3_1 < 1) and ((BeginLoop_5_3_1 < 1) and ((BeginLoop_1_3_2 < 1) and ((BeginLoop_0_3_2 < 1) and ((BeginLoop_3_3_2 < 1) and ((BeginLoop_2_3_2 < 1) and ((BeginLoop_5_3_2 < 1) and ((BeginLoop_4_3_2 < 1) and ((BeginLoop_0_3_3 < 1) and ((BeginLoop_6_3_2 < 1) and ((BeginLoop_2_3_3 < 1) and ((BeginLoop_1_3_3 < 1) and ((BeginLoop_4_3_3 < 1) and ((BeginLoop_3_3_3 < 1) and ((BeginLoop_6_2_1 < 1) and ((BeginLoop_0_2_2 < 1) and ((BeginLoop_4_2_1 < 1) and ((BeginLoop_5_2_1 < 1) and ((BeginLoop_3_2_2 < 1) and ((BeginLoop_4_2_2 < 1) and ((BeginLoop_1_2_2 < 1) and ((BeginLoop_2_2_2 < 1) and ((BeginLoop_0_2_3 < 1) and ((BeginLoop_1_2_3 < 1) and ((BeginLoop_5_2_2 < 1) and ((BeginLoop_6_2_2 < 1) and ((BeginLoop_4_2_3 < 1) and ((BeginLoop_5_2_3 < 1) and ((BeginLoop_2_2_3 < 1) and ((BeginLoop_3_2_3 < 1) and ((BeginLoop_2_2_4 < 1) and ((BeginLoop_1_2_4 < 1) and ((BeginLoop_0_2_4 < 1) and ((BeginLoop_6_2_3 < 1) and ((BeginLoop_6_2_4 < 1) and ((BeginLoop_5_2_4 < 1) and ((BeginLoop_4_2_4 < 1) and ((BeginLoop_3_2_4 < 1) and ((BeginLoop_3_2_5 < 1) and ((BeginLoop_2_2_5 < 1) and ((BeginLoop_1_2_5 < 1) and ((BeginLoop_0_2_5 < 1) and ((BeginLoop_0_2_6 < 1) and ((BeginLoop_6_2_5 < 1) and ((BeginLoop_5_2_5 < 1) and ((BeginLoop_4_2_5 < 1) and ((BeginLoop_4_1_4 < 1) and ((BeginLoop_5_1_4 < 1) and ((BeginLoop_6_1_4 < 1) and ((BeginLoop_0_1_5 < 1) and ((BeginLoop_0_1_4 < 1) and ((BeginLoop_1_1_4 < 1) and ((BeginLoop_2_1_4 < 1) and ((BeginLoop_3_1_4 < 1) and ((BeginLoop_5_1_5 < 1) and ((BeginLoop_6_1_5 < 1) and ((BeginLoop_0_1_6 < 1) and ((BeginLoop_1_1_6 < 1) and ((BeginLoop_1_1_5 < 1) and ((BeginLoop_2_1_5 < 1) and ((BeginLoop_3_1_5 < 1) and ((BeginLoop_4_1_5 < 1) and ((BeginLoop_0_2_0 < 1) and ((BeginLoop_6_1_6 < 1) and ((BeginLoop_2_2_0 < 1) and ((BeginLoop_1_2_0 < 1) and ((BeginLoop_3_1_6 < 1) and ((BeginLoop_2_1_6 < 1) and ((BeginLoop_5_1_6 < 1) and ((BeginLoop_4_1_6 < 1) and ((BeginLoop_1_2_1 < 1) and ((BeginLoop_0_2_1 < 1) and ((BeginLoop_3_2_1 < 1) and ((BeginLoop_2_2_1 < 1) and ((BeginLoop_4_2_0 < 1) and ((BeginLoop_3_2_0 < 1) and ((BeginLoop_6_2_0 < 1) and ((BeginLoop_5_2_0 < 1) and ((BeginLoop_2_1_0 < 1) and ((BeginLoop_3_1_0 < 1) and ((BeginLoop_0_1_0 < 1) and ((BeginLoop_1_1_0 < 1) and ((BeginLoop_5_0_6 < 1) and ((BeginLoop_6_0_6 < 1) and ((BeginLoop_3_0_6 < 1) and ((BeginLoop_4_0_6 < 1) and ((BeginLoop_3_1_1 < 1) and ((BeginLoop_4_1_1 < 1) and ((BeginLoop_1_1_1 < 1) and ((BeginLoop_2_1_1 < 1) and ((BeginLoop_6_1_0 < 1) and ((BeginLoop_0_1_1 < 1) and ((BeginLoop_4_1_0 < 1) and ((BeginLoop_5_1_0 < 1) and ((BeginLoop_5_1_2 < 1) and ((BeginLoop_4_1_2 < 1) and ((BeginLoop_3_1_2 < 1) and ((BeginLoop_2_1_2 < 1) and ((BeginLoop_1_1_2 < 1) and ((BeginLoop_0_1_2 < 1) and ((BeginLoop_6_1_1 < 1) and ((BeginLoop_5_1_1 < 1) and ((BeginLoop_6_1_3 < 1) and ((BeginLoop_5_1_3 < 1) and ((BeginLoop_4_1_3 < 1) and ((BeginLoop_3_1_3 < 1) and ((BeginLoop_2_1_3 < 1) and ((BeginLoop_1_1_3 < 1) and ((BeginLoop_0_1_3 < 1) and (BeginLoop_6_1_2 < 1)))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))) and (((Turn_5_0 < 1) or (TestTurn_5_5 < 1)) and (((Turn_5_0 < 1) or (TestTurn_4_5 < 1)) and (((Turn_5_0 < 1) or (TestTurn_3_5 < 1)) and (((Turn_5_0 < 1) or (TestTurn_2_5 < 1)) and (((TestTurn_1_5 < 1) or (Turn_5_0 < 1)) and (((Turn_4_6 < 1) or (TestTurn_5_4 < 1)) and (((Turn_4_6 < 1) or (TestTurn_4_4 < 1)) and (((Turn_4_6 < 1) or (TestTurn_3_4 < 1)) and (((TestTurn_2_4 < 1) or (Turn_4_6 < 1)) and (((Turn_4_6 < 1) or (TestTurn_1_4 < 1)) and (((Turn_4_6 < 1) or (TestTurn_0_4 < 1)) and (((Turn_4_5 < 1) or (TestTurn_6_4 < 1)) and (((TestTurn_4_4 < 1) or (Turn_4_5 < 1)) and (((Turn_4_5 < 1) or (TestTurn_3_4 < 1)) and (((Turn_4_5 < 1) or (TestTurn_2_4 < 1)) and (((Turn_4_5 < 1) or (TestTurn_1_4 < 1)) and (((TestTurn_1_5 < 1) or (Turn_5_3 < 1)) and (((Turn_5_3 < 1) or (TestTurn_2_5 < 1)) and (((TestTurn_6_5 < 1) or (Turn_5_2 < 1)) and (((Turn_5_3 < 1) or (TestTurn_0_5 < 1)) and (((TestTurn_4_5 < 1) or (Turn_5_2 < 1)) and (((TestTurn_5_5 < 1) or (Turn_5_2 < 1)) and (((TestTurn_1_5 < 1) or (Turn_5_2 < 1)) and (((Turn_5_2 < 1) or (TestTurn_3_5 < 1)) and (((TestTurn_6_5 < 1) or (Turn_5_1 < 1)) and (((TestTurn_0_5 < 1) or (Turn_5_2 < 1)) and (((TestTurn_4_5 < 1) or (Turn_5_1 < 1)) and (((Turn_5_1 < 1) or (TestTurn_5_5 < 1)) and (((TestTurn_2_5 < 1) or (Turn_5_1 < 1)) and (((TestTurn_3_5 < 1) or (Turn_5_1 < 1)) and (((TestTurn_6_5 < 1) or (Turn_5_0 < 1)) and (((Turn_5_1 < 1) or (TestTurn_0_5 < 1)) and (((TestTurn_4_5 < 1) or (Turn_5_5 < 1)) and (((Turn_5_5 < 1) or (TestTurn_3_5 < 1)) and (((Turn_5_6 < 1) or (TestTurn_0_5 < 1)) and (((Turn_5_5 < 1) or (TestTurn_6_5 < 1)) and (((TestTurn_0_5 < 1) or (Turn_5_5 < 1)) and (((Turn_5_4 < 1) or (TestTurn_6_5 < 1)) and (((TestTurn_2_5 < 1) or (Turn_5_5 < 1)) and (((Turn_5_5 < 1) or (TestTurn_1_5 < 1)) and (((TestTurn_2_5 < 1) or (Turn_5_4 < 1)) and (((Turn_5_4 < 1) or (TestTurn_1_5 < 1)) and (((TestTurn_5_5 < 1) or (Turn_5_4 < 1)) and (((Turn_5_4 < 1) or (TestTurn_3_5 < 1)) and (((TestTurn_5_5 < 1) or (Turn_5_3 < 1)) and (((Turn_5_3 < 1) or (TestTurn_4_5 < 1)) and (((TestTurn_0_5 < 1) or (Turn_5_4 < 1)) and (((Turn_5_3 < 1) or (TestTurn_6_5 < 1)) and (((TestTurn_5_5 < 1) or (Turn_5_6 < 1)) and (((TestTurn_1_5 < 1) or (Turn_5_6 < 1)) and (((TestTurn_2_5 < 1) or (Turn_5_6 < 1)) and (((TestTurn_3_5 < 1) or (Turn_5_6 < 1)) and (((TestTurn_4_5 < 1) or (Turn_5_6 < 1)) and (((Turn_3_3 < 1) or (TestTurn_2_3 < 1)) and (((Turn_3_3 < 1) or (TestTurn_1_3 < 1)) and (((Turn_3_3 < 1) or (TestTurn_0_3 < 1)) and (((Turn_3_2 < 1) or (TestTurn_6_3 < 1)) and (((Turn_3_4 < 1) or (TestTurn_0_3 < 1)) and (((Turn_3_3 < 1) or (TestTurn_6_3 < 1)) and (((Turn_3_3 < 1) or (TestTurn_5_3 < 1)) and (((Turn_3_3 < 1) or (TestTurn_4_3 < 1)) and (((Turn_3_2 < 1) or (TestTurn_0_3 < 1)) and (((Turn_3_1 < 1) or (TestTurn_6_3 < 1)) and (((Turn_3_1 < 1) or (TestTurn_5_3 < 1)) and (((Turn_3_1 < 1) or (TestTurn_4_3 < 1)) and (((TestTurn_5_3 < 1) or (Turn_3_2 < 1)) and (((Turn_3_2 < 1) or (TestTurn_4_3 < 1)) and (((Turn_3_2 < 1) or (TestTurn_3_3 < 1)) and (((Turn_3_2 < 1) or (TestTurn_1_3 < 1)) and (((TestTurn_6_3 < 1) or (Turn_3_5 < 1)) and (((Turn_3_6 < 1) or (TestTurn_0_3 < 1)) and (((TestTurn_3_3 < 1) or (Turn_3_5 < 1)) and (((Turn_3_5 < 1) or (TestTurn_4_3 < 1)) and (((TestTurn_3_3 < 1) or (Turn_3_6 < 1)) and (((Turn_3_6 < 1) or (TestTurn_4_3 < 1)) and (((TestTurn_1_3 < 1) or (Turn_3_6 < 1)) and (((Turn_3_6 < 1) or (TestTurn_2_3 < 1)) and (((TestTurn_3_3 < 1) or (Turn_3_4 < 1)) and (((Turn_3_4 < 1) or (TestTurn_5_3 < 1)) and (((TestTurn_1_3 < 1) or (Turn_3_4 < 1)) and (((Turn_3_4 < 1) or (TestTurn_2_3 < 1)) and (((TestTurn_1_3 < 1) or (Turn_3_5 < 1)) and (((TestTurn_2_3 < 1) or (Turn_3_5 < 1)) and (((TestTurn_6_3 < 1) or (Turn_3_4 < 1)) and (((Turn_3_5 < 1) or (TestTurn_0_3 < 1)) and (((TestTurn_3_4 < 1) or (Turn_4_1 < 1)) and (((Turn_4_1 < 1) or (TestTurn_2_4 < 1)) and (((Turn_4_1 < 1) or (TestTurn_5_4 < 1)) and (((Turn_4_1 < 1) or (TestTurn_4_4 < 1)) and (((TestTurn_0_4 < 1) or (Turn_4_2 < 1)) and (((Turn_4_1 < 1) or (TestTurn_6_4 < 1)) and (((Turn_4_2 < 1) or (TestTurn_3_4 < 1)) and (((Turn_4_2 < 1) or (TestTurn_1_4 < 1)) and (((TestTurn_1_4 < 1) or (Turn_4_0 < 1)) and (((Turn_3_6 < 1) or (TestTurn_5_3 < 1)) and (((Turn_4_0 < 1) or (TestTurn_3_4 < 1)) and (((Turn_4_0 < 1) or (TestTurn_2_4 < 1)) and (((TestTurn_5_4 < 1) or (Turn_4_0 < 1)) and (((Turn_4_0 < 1) or (TestTurn_4_4 < 1)) and (((TestTurn_0_4 < 1) or (Turn_4_1 < 1)) and (((Turn_4_0 < 1) or (TestTurn_6_4 < 1)) and (((TestTurn_6_4 < 1) or (Turn_4_3 < 1)) and (((TestTurn_0_4 < 1) or (Turn_4_4 < 1)) and (((TestTurn_1_4 < 1) or (Turn_4_4 < 1)) and (((Turn_4_4 < 1) or (TestTurn_2_4 < 1)) and (((TestTurn_3_4 < 1) or (Turn_4_4 < 1)) and (((TestTurn_5_4 < 1) or (Turn_4_4 < 1)) and (((TestTurn_6_4 < 1) or (Turn_4_4 < 1)) and (((TestTurn_0_4 < 1) or (Turn_4_5 < 1)) and (((TestTurn_4_4 < 1) or (Turn_4_2 < 1)) and (((TestTurn_5_4 < 1) or (Turn_4_2 < 1)) and (((TestTurn_6_4 < 1) or (Turn_4_2 < 1)) and (((Turn_4_3 < 1) or (TestTurn_0_4 < 1)) and (((TestTurn_1_4 < 1) or (Turn_4_3 < 1)) and (((TestTurn_2_4 < 1) or (Turn_4_3 < 1)) and (((TestTurn_4_4 < 1) or (Turn_4_3 < 1)) and (((TestTurn_5_4 < 1) or (Turn_4_3 < 1)) and (((TestTurn_2_0 < 1) or (Turn_0_0 < 1)) and (((Turn_0_0 < 1) or (TestTurn_3_0 < 1)) and (((Turn_0_0 < 1) or (TestTurn_1_0 < 1)) and (((TestTurn_6_0 < 1) or (Turn_0_0 < 1)) and (((Turn_0_1 < 1) or (TestTurn_0_0 < 1)) and (((TestTurn_4_0 < 1) or (Turn_0_0 < 1)) and (((Turn_0_0 < 1) or (TestTurn_5_0 < 1)) and (((Turn_2_6 < 1) or (TestTurn_2_2 < 1)) and (((Turn_2_6 < 1) or (TestTurn_1_2 < 1)) and (((Turn_2_6 < 1) or (TestTurn_0_2 < 1)) and (((Turn_2_5 < 1) or (TestTurn_6_2 < 1)) and (((TestTurn_1_3 < 1) or (Turn_3_0 < 1)) and (((Turn_2_6 < 1) or (TestTurn_5_2 < 1)) and (((Turn_2_6 < 1) or (TestTurn_4_2 < 1)) and (((Turn_2_6 < 1) or (TestTurn_3_2 < 1)) and (((Turn_3_0 < 1) or (TestTurn_5_3 < 1)) and (((Turn_3_0 < 1) or (TestTurn_4_3 < 1)) and (((Turn_3_0 < 1) or (TestTurn_3_3 < 1)) and (((Turn_3_0 < 1) or (TestTurn_2_3 < 1)) and (((Turn_3_1 < 1) or (TestTurn_3_3 < 1)) and (((Turn_3_1 < 1) or (TestTurn_2_3 < 1)) and (((Turn_3_1 < 1) or (TestTurn_0_3 < 1)) and (((Turn_3_0 < 1) or (TestTurn_6_3 < 1)) and (((TestTurn_4_2 < 1) or (Turn_2_3 < 1)) and (((Turn_2_3 < 1) or (TestTurn_5_2 < 1)) and (((TestTurn_1_2 < 1) or (Turn_2_3 < 1)) and (((Turn_2_3 < 1) or (TestTurn_2_2 < 1)) and (((TestTurn_1_2 < 1) or (Turn_2_4 < 1)) and (((TestTurn_2_2 < 1) or (Turn_2_4 < 1)) and (((TestTurn_6_2 < 1) or (Turn_2_3 < 1)) and (((Turn_2_4 < 1) or (TestTurn_0_2 < 1)) and (((TestTurn_6_2 < 1) or (Turn_2_4 < 1)) and (((Turn_2_5 < 1) or (TestTurn_0_2 < 1)) and (((TestTurn_3_2 < 1) or (Turn_2_4 < 1)) and (((Turn_2_4 < 1) or (TestTurn_5_2 < 1)) and (((TestTurn_3_2 < 1) or (Turn_2_5 < 1)) and (((TestTurn_4_2 < 1) or (Turn_2_5 < 1)) and (((TestTurn_1_2 < 1) or (Turn_2_5 < 1)) and (((Turn_2_5 < 1) or (TestTurn_2_2 < 1)) and (((TestTurn_5_2 < 1) or (Turn_2_0 < 1)) and (((Turn_2_0 < 1) or (TestTurn_4_2 < 1)) and (((Turn_2_1 < 1) or (TestTurn_0_2 < 1)) and (((Turn_2_0 < 1) or (TestTurn_6_2 < 1)) and (((TestTurn_3_2 < 1) or (Turn_2_1 < 1)) and (((Turn_2_1 < 1) or (TestTurn_2_2 < 1)) and (((TestTurn_5_2 < 1) or (Turn_2_1 < 1)) and (((Turn_2_1 < 1) or (TestTurn_4_2 < 1)) and (((TestTurn_0_2 < 1) or (Turn_2_2 < 1)) and (((Turn_2_1 < 1) or (TestTurn_6_2 < 1)) and (((Turn_2_2 < 1) or (TestTurn_3_2 < 1)) and (((Turn_2_2 < 1) or (TestTurn_1_2 < 1)) and (((TestTurn_5_2 < 1) or (Turn_2_2 < 1)) and (((Turn_2_2 < 1) or (TestTurn_4_2 < 1)) and (((TestTurn_0_2 < 1) or (Turn_2_3 < 1)) and (((Turn_2_2 < 1) or (TestTurn_6_2 < 1)) and (((TestTurn_6_1 < 1) or (Turn_1_4 < 1)) and (((TestTurn_0_1 < 1) or (Turn_1_5 < 1)) and (((TestTurn_1_1 < 1) or (Turn_1_5 < 1)) and (((Turn_1_5 < 1) or (TestTurn_2_1 < 1)) and (((TestTurn_3_1 < 1) or (Turn_1_5 < 1)) and (((TestTurn_4_1 < 1) or (Turn_1_5 < 1)) and (((TestTurn_6_1 < 1) or (Turn_1_5 < 1)) and (((TestTurn_0_1 < 1) or (Turn_1_6 < 1)) and (((TestTurn_1_1 < 1) or (Turn_1_6 < 1)) and (((TestTurn_2_1 < 1) or (Turn_1_6 < 1)) and (((TestTurn_3_1 < 1) or (Turn_1_6 < 1)) and (((Turn_1_6 < 1) or (TestTurn_4_1 < 1)) and (((TestTurn_5_1 < 1) or (Turn_1_6 < 1)) and (((TestTurn_1_2 < 1) or (Turn_2_0 < 1)) and (((TestTurn_2_2 < 1) or (Turn_2_0 < 1)) and (((TestTurn_3_2 < 1) or (Turn_2_0 < 1)) and (((TestTurn_2_1 < 1) or (Turn_1_3 < 1)) and (((Turn_1_3 < 1) or (TestTurn_1_1 < 1)) and (((Turn_1_3 < 1) or (TestTurn_0_1 < 1)) and (((Turn_1_2 < 1) or (TestTurn_6_1 < 1)) and (((TestTurn_5_1 < 1) or (Turn_1_2 < 1)) and (((Turn_1_2 < 1) or (TestTurn_4_1 < 1)) and (((Turn_1_2 < 1) or (TestTurn_3_1 < 1)) and (((Turn_1_2 < 1) or (TestTurn_1_1 < 1)) and (((Turn_1_4 < 1) or (TestTurn_5_1 < 1)) and (((Turn_1_4 < 1) or (TestTurn_3_1 < 1)) and (((Turn_1_4 < 1) or (TestTurn_2_1 < 1)) and (((Turn_1_4 < 1) or (TestTurn_1_1 < 1)) and (((TestTurn_0_1 < 1) or (Turn_1_4 < 1)) and (((Turn_1_3 < 1) or (TestTurn_6_1 < 1)) and (((Turn_1_3 < 1) or (TestTurn_5_1 < 1)) and (((Turn_1_3 < 1) or (TestTurn_4_1 < 1)) and (((TestTurn_4_1 < 1) or (Turn_1_0 < 1)) and (((TestTurn_5_1 < 1) or (Turn_1_0 < 1)) and (((TestTurn_2_1 < 1) or (Turn_1_0 < 1)) and (((Turn_1_0 < 1) or (TestTurn_3_1 < 1)) and (((TestTurn_5_0 < 1) or (Turn_0_6 < 1)) and (((TestTurn_1_1 < 1) or (Turn_1_0 < 1)) and (((TestTurn_3_0 < 1) or (Turn_0_6 < 1)) and (((Turn_0_6 < 1) or (TestTurn_4_0 < 1)) and (((TestTurn_6_1 < 1) or (Turn_1_1 < 1)) and (((TestTurn_0_1 < 1) or (Turn_1_2 < 1)) and (((TestTurn_4_1 < 1) or (Turn_1_1 < 1)) and (((Turn_1_1 < 1) or (TestTurn_5_1 < 1)) and (((TestTurn_2_1 < 1) or (Turn_1_1 < 1)) and (((TestTurn_3_1 < 1) or (Turn_1_1 < 1)) and (((TestTurn_6_1 < 1) or (Turn_1_0 < 1)) and (((Turn_1_1 < 1) or (TestTurn_0_1 < 1)) and (((TestTurn_5_0 < 1) or (Turn_0_4 < 1)) and (((Turn_0_4 < 1) or (TestTurn_3_0 < 1)) and (((TestTurn_0_0 < 1) or (Turn_0_5 < 1)) and (not (((Turn_0_4 >= 1) and (TestTurn_6_0 >= 1)) or (((TestTurn_0_0 >= 1) and (Turn_0_4 >= 1)) or (((Turn_0_3 >= 1) and (TestTurn_6_0 >= 1)) or (((TestTurn_2_0 >= 1) and (Turn_0_4 >= 1)) or (((Turn_0_4 >= 1) and (TestTurn_1_0 >= 1)) or (((TestTurn_0_0 >= 1) and (Turn_0_6 >= 1)) or (((Turn_0_5 >= 1) and (TestTurn_6_0 >= 1)) or (((TestTurn_2_0 >= 1) and (Turn_0_6 >= 1)) or (((Turn_0_6 >= 1) and (TestTurn_1_0 >= 1)) or (((TestTurn_2_0 >= 1) and (Turn_0_5 >= 1)) or (((Turn_0_5 >= 1) and (TestTurn_1_0 >= 1)) or (((TestTurn_4_0 >= 1) and (Turn_0_5 >= 1)) or (((Turn_0_5 >= 1) and (TestTurn_3_0 >= 1)) or (((TestTurn_6_0 >= 1) and (Turn_0_1 >= 1)) or (((TestTurn_0_0 >= 1) and (Turn_0_2 >= 1)) or (((TestTurn_1_0 >= 1) and (Turn_0_2 >= 1)) or (((TestTurn_3_0 >= 1) and (Turn_0_2 >= 1)) or (((TestTurn_2_0 >= 1) and (Turn_0_1 >= 1)) or (((TestTurn_3_0 >= 1) and (Turn_0_1 >= 1)) or (((TestTurn_4_0 >= 1) and (Turn_0_1 >= 1)) or (((TestTurn_5_0 >= 1) and (Turn_0_1 >= 1)) or (((TestTurn_1_0 >= 1) and (Turn_0_3 >= 1)) or (((TestTurn_2_0 >= 1) and (Turn_0_3 >= 1)) or (((TestTurn_4_0 >= 1) and (Turn_0_3 >= 1)) or (((TestTurn_5_0 >= 1) and (Turn_0_3 >= 1)) or (((TestTurn_4_0 >= 1) and (Turn_0_2 >= 1)) or (((TestTurn_5_0 >= 1) and (Turn_0_2 >= 1)) or (((TestTurn_6_0 >= 1) and (Turn_0_2 >= 1)) or ((TestTurn_0_0 >= 1) and (Turn_0_3 >= 1))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))) and ((IsEndLoop_1_0_6 >= 1) or ((IsEndLoop_2_0_6 >= 1) or ((IsEndLoop_0_0_6 >= 1) or ((IsEndLoop_2_1_6 >= 1) or ((IsEndLoop_3_1_6 >= 1) or ((IsEndLoop_0_1_6 >= 1) or ((IsEndLoop_1_1_6 >= 1) or ((IsEndLoop_5_0_6 >= 1) or ((IsEndLoop_6_0_6 >= 1) or ((IsEndLoop_3_0_6 >= 1) or ((IsEndLoop_4_0_6 >= 1) or ((IsEndLoop_5_1_6 >= 1) or ((IsEndLoop_4_1_6 >= 1) or ((IsEndLoop_0_2_6 >= 1) or ((IsEndLoop_6_1_6 >= 1) or ((IsEndLoop_2_2_6 >= 1) or ((IsEndLoop_1_2_6 >= 1) or ((IsEndLoop_4_2_6 >= 1) or ((IsEndLoop_3_2_6 >= 1) or ((IsEndLoop_6_2_6 >= 1) or ((IsEndLoop_5_2_6 >= 1) or ((IsEndLoop_1_3_6 >= 1) or ((IsEndLoop_0_3_6 >= 1) or ((IsEndLoop_3_3_6 >= 1) or ((IsEndLoop_2_3_6 >= 1) or ((IsEndLoop_5_3_6 >= 1) or ((IsEndLoop_4_3_6 >= 1) or ((IsEndLoop_6_3_6 >= 1) or ((IsEndLoop_0_4_6 >= 1) or ((IsEndLoop_1_4_6 >= 1) or ((IsEndLoop_2_4_6 >= 1) or ((IsEndLoop_3_4_6 >= 1) or ((IsEndLoop_4_4_6 >= 1) or ((IsEndLoop_5_4_6 >= 1) or ((IsEndLoop_6_4_6 >= 1) or ((IsEndLoop_0_5_6 >= 1) or ((IsEndLoop_1_5_6 >= 1) or ((IsEndLoop_2_5_6 >= 1) or ((IsEndLoop_3_5_6 >= 1) or ((IsEndLoop_4_5_6 >= 1) or ((IsEndLoop_5_5_6 >= 1) or (IsEndLoop_6_5_6 >= 1))))))))))))))))))))))))))))))))))))))))))) or (not (((((AskForSection_0_3 >= 1) and (Turn_3_5 >= 1)) or (((Turn_3_5 >= 1) and (AskForSection_1_3 >= 1)) or (((AskForSection_2_3 >= 1) and (Turn_3_5 >= 1)) or (((Turn_3_5 >= 1) and (AskForSection_3_3 >= 1)) or (((AskForSection_3_3 >= 1) and (Turn_3_4 >= 1)) or (((Turn_3_4 >= 1) and (AskForSection_4_3 >= 1)) or (((AskForSection_5_3 >= 1) and (Turn_3_4 >= 1)) or (((Turn_3_4 >= 1) and (AskForSection_6_3 >= 1)) or (((AskForSection_6_3 >= 1) and (Turn_3_3 >= 1)) or (((Turn_3_4 >= 1) and (AskForSection_0_3 >= 1)) or (((AskForSection_1_3 >= 1) and (Turn_3_4 >= 1)) or (((Turn_3_4 >= 1) and (AskForSection_2_3 >= 1)) or (((Turn_3_3 >= 1) and (AskForSection_2_3 >= 1)) or (((Turn_3_3 >= 1) and (AskForSection_3_3 >= 1)) or (((Turn_3_3 >= 1) and (AskForSection_4_3 >= 1)) or (((Turn_3_3 >= 1) and (AskForSection_5_3 >= 1)) or (((Turn_3_2 >= 1) and (AskForSection_6_3 >= 1)) or (((Turn_3_2 >= 1) and (AskForSection_5_3 >= 1)) or (((Turn_3_3 >= 1) and (AskForSection_1_3 >= 1)) or (((Turn_3_3 >= 1) and (AskForSection_0_3 >= 1)) or (((Turn_3_2 >= 1) and (AskForSection_2_3 >= 1)) or (((Turn_3_2 >= 1) and (AskForSection_1_3 >= 1)) or (((Turn_3_2 >= 1) and (AskForSection_4_3 >= 1)) or (((Turn_3_2 >= 1) and (AskForSection_3_3 >= 1)) or (((Turn_3_1 >= 1) and (AskForSection_5_3 >= 1)) or (((Turn_3_1 >= 1) and (AskForSection_4_3 >= 1)) or (((Turn_3_2 >= 1) and (AskForSection_0_3 >= 1)) or (((Turn_3_1 >= 1) and (AskForSection_6_3 >= 1)) or (((AskForSection_1_3 >= 1) and (Turn_3_1 >= 1)) or (((AskForSection_0_3 >= 1) and (Turn_3_1 >= 1)) or (((AskForSection_3_3 >= 1) and (Turn_3_1 >= 1)) or (((AskForSection_2_3 >= 1) and (Turn_3_1 >= 1)) or (((Turn_4_2 >= 1) and (AskForSection_6_4 >= 1)) or (((AskForSection_0_4 >= 1) and (Turn_4_3 >= 1)) or (((Turn_4_2 >= 1) and (AskForSection_4_4 >= 1)) or (((Turn_4_2 >= 1) and (AskForSection_5_4 >= 1)) or (((Turn_4_2 >= 1) and (AskForSection_2_4 >= 1)) or (((AskForSection_3_4 >= 1) and (Turn_4_2 >= 1)) or (((Turn_4_2 >= 1) and (AskForSection_0_4 >= 1)) or (((Turn_4_2 >= 1) and (AskForSection_1_4 >= 1)) or (((Turn_4_1 >= 1) and (AskForSection_5_4 >= 1)) or (((AskForSection_6_4 >= 1) and (Turn_4_1 >= 1)) or (((Turn_4_1 >= 1) and (AskForSection_3_4 >= 1)) or (((Turn_4_1 >= 1) and (AskForSection_4_4 >= 1)) or (((AskForSection_1_4 >= 1) and (Turn_4_1 >= 1)) or (((AskForSection_2_4 >= 1) and (Turn_4_1 >= 1)) or (((AskForSection_6_4 >= 1) and (Turn_4_0 >= 1)) or (((Turn_4_1 >= 1) and (AskForSection_0_4 >= 1)) or (((AskForSection_5_4 >= 1) and (Turn_4_0 >= 1)) or (((AskForSection_4_4 >= 1) and (Turn_4_0 >= 1)) or (((Turn_4_0 >= 1) and (AskForSection_3_4 >= 1)) or (((AskForSection_2_4 >= 1) and (Turn_4_0 >= 1)) or (((AskForSection_1_4 >= 1) and (Turn_4_0 >= 1)) or (((AskForSection_0_4 >= 1) and (Turn_4_0 >= 1)) or (((Turn_3_6 >= 1) and (AskForSection_6_3 >= 1)) or (((AskForSection_5_3 >= 1) and (Turn_3_6 >= 1)) or (((AskForSection_4_3 >= 1) and (Turn_3_6 >= 1)) or (((AskForSection_3_3 >= 1) and (Turn_3_6 >= 1)) or (((Turn_3_6 >= 1) and (AskForSection_2_3 >= 1)) or (((AskForSection_1_3 >= 1) and (Turn_3_6 >= 1)) or (((AskForSection_0_3 >= 1) and (Turn_3_6 >= 1)) or (((AskForSection_6_3 >= 1) and (Turn_3_5 >= 1)) or (((Turn_3_5 >= 1) and (AskForSection_5_3 >= 1)) or (((AskForSection_4_3 >= 1) and (Turn_3_5 >= 1)) or (((AskForSection_2_2 >= 1) and (Turn_2_2 >= 1)) or (((Turn_2_2 >= 1) and (AskForSection_3_2 >= 1)) or (((AskForSection_4_2 >= 1) and (Turn_2_2 >= 1)) or (((Turn_2_2 >= 1) and (AskForSection_5_2 >= 1)) or (((AskForSection_6_2 >= 1) and (Turn_2_2 >= 1)) or (((Turn_2_3 >= 1) and (AskForSection_0_2 >= 1)) or (((AskForSection_1_2 >= 1) and (Turn_2_3 >= 1)) or (((AskForSection_2_2 >= 1) and (Turn_2_3 >= 1)) or (((Turn_2_1 >= 1) and (AskForSection_1_2 >= 1)) or (((Turn_2_1 >= 1) and (AskForSection_2_2 >= 1)) or (((Turn_2_1 >= 1) and (AskForSection_3_2 >= 1)) or (((Turn_2_1 >= 1) and (AskForSection_4_2 >= 1)) or (((AskForSection_5_2 >= 1) and (Turn_2_1 >= 1)) or (((Turn_2_1 >= 1) and (AskForSection_6_2 >= 1)) or (((AskForSection_0_2 >= 1) and (Turn_2_2 >= 1)) or (((AskForSection_1_2 >= 1) and (Turn_2_2 >= 1)) or (((Turn_2_0 >= 1) and (AskForSection_1_2 >= 1)) or (((Turn_2_0 >= 1) and (AskForSection_0_2 >= 1)) or (((Turn_2_0 >= 1) and (AskForSection_3_2 >= 1)) or (((Turn_2_0 >= 1) and (AskForSection_2_2 >= 1)) or (((Turn_2_0 >= 1) and (AskForSection_5_2 >= 1)) or (((Turn_2_0 >= 1) and (AskForSection_4_2 >= 1)) or (((AskForSection_0_2 >= 1) and (Turn_2_1 >= 1)) or (((Turn_2_0 >= 1) and (AskForSection_6_2 >= 1)) or (((AskForSection_0_1 >= 1) and (Turn_1_6 >= 1)) or (((AskForSection_6_1 >= 1) and (Turn_1_5 >= 1)) or (((AskForSection_2_1 >= 1) and (Turn_1_6 >= 1)) or (((AskForSection_1_1 >= 1) and (Turn_1_6 >= 1)) or (((Turn_1_6 >= 1) and (AskForSection_4_1 >= 1)) or (((Turn_1_6 >= 1) and (AskForSection_3_1 >= 1)) or (((AskForSection_6_1 >= 1) and (Turn_1_6 >= 1)) or (((Turn_1_6 >= 1) and (AskForSection_5_1 >= 1)) or (((AskForSection_1_3 >= 1) and (Turn_3_0 >= 1)) or (((Turn_3_0 >= 1) and (AskForSection_2_3 >= 1)) or (((AskForSection_6_2 >= 1) and (Turn_2_6 >= 1)) or (((AskForSection_0_3 >= 1) and (Turn_3_0 >= 1)) or (((AskForSection_5_3 >= 1) and (Turn_3_0 >= 1)) or (((Turn_3_0 >= 1) and (AskForSection_6_3 >= 1)) or (((AskForSection_3_3 >= 1) and (Turn_3_0 >= 1)) or (((AskForSection_4_3 >= 1) and (Turn_3_0 >= 1)) or (((Turn_2_6 >= 1) and (AskForSection_0_2 >= 1)) or (((Turn_2_6 >= 1) and (AskForSection_1_2 >= 1)) or (((Turn_2_5 >= 1) and (AskForSection_5_2 >= 1)) or (((AskForSection_6_2 >= 1) and (Turn_2_5 >= 1)) or (((AskForSection_4_2 >= 1) and (Turn_2_6 >= 1)) or (((Turn_2_6 >= 1) and (AskForSection_5_2 >= 1)) or (((AskForSection_2_2 >= 1) and (Turn_2_6 >= 1)) or (((AskForSection_3_2 >= 1) and (Turn_2_6 >= 1)) or (((Turn_2_5 >= 1) and (AskForSection_0_2 >= 1)) or (((Turn_2_4 >= 1) and (AskForSection_6_2 >= 1)) or (((AskForSection_5_2 >= 1) and (Turn_2_4 >= 1)) or (((Turn_2_4 >= 1) and (AskForSection_4_2 >= 1)) or (((Turn_2_5 >= 1) and (AskForSection_4_2 >= 1)) or (((Turn_2_5 >= 1) and (AskForSection_3_2 >= 1)) or (((AskForSection_2_2 >= 1) and (Turn_2_5 >= 1)) or (((Turn_2_5 >= 1) and (AskForSection_1_2 >= 1)) or (((AskForSection_6_2 >= 1) and (Turn_2_3 >= 1)) or (((AskForSection_5_2 >= 1) and (Turn_2_3 >= 1)) or (((Turn_2_3 >= 1) and (AskForSection_4_2 >= 1)) or (((AskForSection_3_2 >= 1) and (Turn_2_3 >= 1)) or (((Turn_2_4 >= 1) and (AskForSection_3_2 >= 1)) or (((Turn_2_4 >= 1) and (AskForSection_2_2 >= 1)) or (((AskForSection_1_2 >= 1) and (Turn_2_4 >= 1)) or (((Turn_2_4 >= 1) and (AskForSection_0_2 >= 1)) or (((AskForSection_6_5 >= 1) and (Turn_5_5 >= 1)) or (((AskForSection_0_5 >= 1) and (Turn_5_6 >= 1)) or (((AskForSection_1_5 >= 1) and (Turn_5_6 >= 1)) or (((Turn_5_6 >= 1) and (AskForSection_2_5 >= 1)) or (((Turn_5_5 >= 1) and (AskForSection_2_5 >= 1)) or (((Turn_5_5 >= 1) and (AskForSection_3_5 >= 1)) or (((Turn_5_5 >= 1) and (AskForSection_4_5 >= 1)) or (((AskForSection_5_5 >= 1) and (Turn_5_5 >= 1)) or (((AskForSection_3_5 >= 1) and (Turn_5_6 >= 1)) or (((AskForSection_4_5 >= 1) and (Turn_5_6 >= 1)) or (((AskForSection_5_5 >= 1) and (Turn_5_6 >= 1)) or (((AskForSection_6_5 >= 1) and (Turn_5_6 >= 1)) or (((AskForSection_4_4 >= 1) and (Turn_4_5 >= 1)) or (((AskForSection_3_4 >= 1) and (Turn_4_5 >= 1)) or (((AskForSection_6_4 >= 1) and (Turn_4_5 >= 1)) or (((AskForSection_5_4 >= 1) and (Turn_4_5 >= 1)) or (((AskForSection_1_4 >= 1) and (Turn_4_6 >= 1)) or (((Turn_4_6 >= 1) and (AskForSection_0_4 >= 1)) or (((AskForSection_3_4 >= 1) and (Turn_4_6 >= 1)) or (((Turn_4_6 >= 1) and (AskForSection_2_4 >= 1)) or (((AskForSection_5_4 >= 1) and (Turn_4_6 >= 1)) or (((Turn_4_6 >= 1) and (AskForSection_4_4 >= 1)) or (((AskForSection_0_5 >= 1) and (Turn_5_0 >= 1)) or (((Turn_4_6 >= 1) and (AskForSection_6_4 >= 1)) or (((AskForSection_2_5 >= 1) and (Turn_5_0 >= 1)) or (((Turn_5_0 >= 1) and (AskForSection_1_5 >= 1)) or (((AskForSection_4_5 >= 1) and (Turn_5_0 >= 1)) or (((Turn_5_0 >= 1) and (AskForSection_3_5 >= 1)) or (((Turn_4_3 >= 1) and (AskForSection_1_4 >= 1)) or (((Turn_4_3 >= 1) and (AskForSection_2_4 >= 1)) or (((Turn_4_3 >= 1) and (AskForSection_3_4 >= 1)) or (((AskForSection_4_4 >= 1) and (Turn_4_3 >= 1)) or (((AskForSection_5_4 >= 1) and (Turn_4_3 >= 1)) or (((AskForSection_6_4 >= 1) and (Turn_4_3 >= 1)) or (((AskForSection_0_4 >= 1) and (Turn_4_4 >= 1)) or (((AskForSection_1_4 >= 1) and (Turn_4_4 >= 1)) or (((AskForSection_2_4 >= 1) and (Turn_4_4 >= 1)) or (((AskForSection_3_4 >= 1) and (Turn_4_4 >= 1)) or (((AskForSection_4_4 >= 1) and (Turn_4_4 >= 1)) or (((AskForSection_5_4 >= 1) and (Turn_4_4 >= 1)) or (((AskForSection_6_4 >= 1) and (Turn_4_4 >= 1)) or (((AskForSection_0_4 >= 1) and (Turn_4_5 >= 1)) or (((AskForSection_1_4 >= 1) and (Turn_4_5 >= 1)) or (((AskForSection_2_4 >= 1) and (Turn_4_5 >= 1)) or (((AskForSection_3_5 >= 1) and (Turn_5_3 >= 1)) or (((AskForSection_2_5 >= 1) and (Turn_5_3 >= 1)) or (((Turn_5_3 >= 1) and (AskForSection_1_5 >= 1)) or (((AskForSection_0_5 >= 1) and (Turn_5_3 >= 1)) or (((Turn_5_4 >= 1) and (AskForSection_0_5 >= 1)) or (((Turn_5_3 >= 1) and (AskForSection_6_5 >= 1)) or (((Turn_5_3 >= 1) and (AskForSection_5_5 >= 1)) or (((Turn_5_3 >= 1) and (AskForSection_4_5 >= 1)) or (((AskForSection_4_5 >= 1) and (Turn_5_4 >= 1)) or (((Turn_5_4 >= 1) and (AskForSection_3_5 >= 1)) or (((Turn_5_4 >= 1) and (AskForSection_2_5 >= 1)) or (((Turn_5_4 >= 1) and (AskForSection_1_5 >= 1)) or (((Turn_5_5 >= 1) and (AskForSection_1_5 >= 1)) or (((Turn_5_5 >= 1) and (AskForSection_0_5 >= 1)) or (((Turn_5_4 >= 1) and (AskForSection_6_5 >= 1)) or (((Turn_5_4 >= 1) and (AskForSection_5_5 >= 1)) or (((Turn_5_1 >= 1) and (AskForSection_0_5 >= 1)) or (((AskForSection_1_5 >= 1) and (Turn_5_1 >= 1)) or (((Turn_5_0 >= 1) and (AskForSection_5_5 >= 1)) or (((AskForSection_6_5 >= 1) and (Turn_5_0 >= 1)) or (((AskForSection_4_5 >= 1) and (Turn_5_1 >= 1)) or (((Turn_5_1 >= 1) and (AskForSection_5_5 >= 1)) or (((AskForSection_2_5 >= 1) and (Turn_5_1 >= 1)) or (((Turn_5_1 >= 1) and (AskForSection_3_5 >= 1)) or (((AskForSection_1_5 >= 1) and (Turn_5_2 >= 1)) or (((AskForSection_2_5 >= 1) and (Turn_5_2 >= 1)) or (((AskForSection_6_5 >= 1) and (Turn_5_1 >= 1)) or (((Turn_5_2 >= 1) and (AskForSection_0_5 >= 1)) or (((AskForSection_5_5 >= 1) and (Turn_5_2 >= 1)) or (((Turn_5_2 >= 1) and (AskForSection_6_5 >= 1)) or (((AskForSection_3_5 >= 1) and (Turn_5_2 >= 1)) or (((Turn_5_2 >= 1) and (AskForSection_4_5 >= 1)) or (((AskForSection_4_0 >= 1) and (Turn_0_4 >= 1)) or (((Turn_0_4 >= 1) and (AskForSection_5_0 >= 1)) or (((AskForSection_2_0 >= 1) and (Turn_0_4 >= 1)) or (((Turn_0_4 >= 1) and (AskForSection_3_0 >= 1)) or (((Turn_0_4 >= 1) and (AskForSection_0_0 >= 1)) or (((AskForSection_1_0 >= 1) and (Turn_0_4 >= 1)) or (((Turn_0_3 >= 1) and (AskForSection_5_0 >= 1)) or (((AskForSection_6_0 >= 1) and (Turn_0_3 >= 1)) or (((AskForSection_5_0 >= 1) and (Turn_0_5 >= 1)) or (((Turn_0_5 >= 1) and (AskForSection_6_0 >= 1)) or (((AskForSection_3_0 >= 1) and (Turn_0_5 >= 1)) or (((Turn_0_5 >= 1) and (AskForSection_4_0 >= 1)) or (((AskForSection_1_0 >= 1) and (Turn_0_5 >= 1)) or (((AskForSection_2_0 >= 1) and (Turn_0_5 >= 1)) or (((AskForSection_6_0 >= 1) and (Turn_0_4 >= 1)) or (((Turn_0_5 >= 1) and (AskForSection_0_0 >= 1)) or (((Turn_1_0 >= 1) and (AskForSection_0_1 >= 1)) or (((Turn_0_6 >= 1) and (AskForSection_6_0 >= 1)) or (((Turn_0_6 >= 1) and (AskForSection_5_0 >= 1)) or (((Turn_0_6 >= 1) and (AskForSection_4_0 >= 1)) or (((Turn_0_6 >= 1) and (AskForSection_3_0 >= 1)) or (((AskForSection_2_0 >= 1) and (Turn_0_6 >= 1)) or (((Turn_0_6 >= 1) and (AskForSection_1_0 >= 1)) or (((AskForSection_0_0 >= 1) and (Turn_0_6 >= 1)) or (((Turn_1_1 >= 1) and (AskForSection_1_1 >= 1)) or (((Turn_1_1 >= 1) and (AskForSection_0_1 >= 1)) or (((Turn_1_0 >= 1) and (AskForSection_6_1 >= 1)) or (((Turn_1_0 >= 1) and (AskForSection_5_1 >= 1)) or (((AskForSection_4_1 >= 1) and (Turn_1_0 >= 1)) or (((Turn_1_0 >= 1) and (AskForSection_3_1 >= 1)) or (((Turn_1_0 >= 1) and (AskForSection_2_1 >= 1)) or (((Turn_1_0 >= 1) and (AskForSection_1_1 >= 1)) or (((Turn_1_1 >= 1) and (AskForSection_6_1 >= 1)) or (((AskForSection_0_1 >= 1) and (Turn_1_2 >= 1)) or (((Turn_1_2 >= 1) and (AskForSection_1_1 >= 1)) or (((AskForSection_2_1 >= 1) and (Turn_1_2 >= 1)) or (((Turn_1_1 >= 1) and (AskForSection_2_1 >= 1)) or (((Turn_1_1 >= 1) and (AskForSection_3_1 >= 1)) or (((Turn_1_1 >= 1) and (AskForSection_4_1 >= 1)) or (((Turn_1_1 >= 1) and (AskForSection_5_1 >= 1)) or (((Turn_1_3 >= 1) and (AskForSection_0_1 >= 1)) or (((AskForSection_1_1 >= 1) and (Turn_1_3 >= 1)) or (((Turn_1_3 >= 1) and (AskForSection_2_1 >= 1)) or (((AskForSection_3_1 >= 1) and (Turn_1_3 >= 1)) or (((Turn_1_2 >= 1) and (AskForSection_3_1 >= 1)) or (((AskForSection_4_1 >= 1) and (Turn_1_2 >= 1)) or (((Turn_1_2 >= 1) and (AskForSection_5_1 >= 1)) or (((Turn_1_2 >= 1) and (AskForSection_6_1 >= 1)) or (((AskForSection_2_1 >= 1) and (Turn_1_4 >= 1)) or (((AskForSection_1_1 >= 1) and (Turn_1_4 >= 1)) or (((AskForSection_4_1 >= 1) and (Turn_1_4 >= 1)) or (((AskForSection_3_1 >= 1) and (Turn_1_4 >= 1)) or (((AskForSection_5_1 >= 1) and (Turn_1_3 >= 1)) or (((Turn_1_3 >= 1) and (AskForSection_4_1 >= 1)) or (((Turn_1_4 >= 1) and (AskForSection_0_1 >= 1)) or (((Turn_1_3 >= 1) and (AskForSection_6_1 >= 1)) or (((AskForSection_3_1 >= 1) and (Turn_1_5 >= 1)) or (((AskForSection_2_1 >= 1) and (Turn_1_5 >= 1)) or (((AskForSection_5_1 >= 1) and (Turn_1_5 >= 1)) or (((AskForSection_4_1 >= 1) and (Turn_1_5 >= 1)) or (((AskForSection_6_1 >= 1) and (Turn_1_4 >= 1)) or (((AskForSection_5_1 >= 1) and (Turn_1_4 >= 1)) or (((Turn_1_5 >= 1) and (AskForSection_1_1 >= 1)) or (((AskForSection_0_1 >= 1) and (Turn_1_5 >= 1)) or (((AskForSection_0_0 >= 1) and (Turn_0_0 >= 1)) or (((Turn_0_0 >= 1) and (AskForSection_1_0 >= 1)) or (((AskForSection_2_0 >= 1) and (Turn_0_0 >= 1)) or (((AskForSection_3_0 >= 1) and (Turn_0_0 >= 1)) or (((AskForSection_4_0 >= 1) and (Turn_0_0 >= 1)) or (((AskForSection_5_0 >= 1) and (Turn_0_0 >= 1)) or (((AskForSection_6_0 >= 1) and (Turn_0_0 >= 1)) or (((AskForSection_0_0 >= 1) and (Turn_0_1 >= 1)) or (((AskForSection_1_0 >= 1) and (Turn_0_1 >= 1)) or (((Turn_0_1 >= 1) and (AskForSection_2_0 >= 1)) or (((AskForSection_4_0 >= 1) and (Turn_0_1 >= 1)) or (((AskForSection_3_0 >= 1) and (Turn_0_1 >= 1)) or (((Turn_0_1 >= 1) and (AskForSection_6_0 >= 1)) or (((AskForSection_5_0 >= 1) and (Turn_0_1 >= 1)) or (((AskForSection_1_0 >= 1) and (Turn_0_2 >= 1)) or (((Turn_0_2 >= 1) and (AskForSection_0_0 >= 1)) or (((Turn_0_2 >= 1) and (AskForSection_3_0 >= 1)) or (((Turn_0_2 >= 1) and (AskForSection_2_0 >= 1)) or (((AskForSection_5_0 >= 1) and (Turn_0_2 >= 1)) or (((Turn_0_2 >= 1) and (AskForSection_4_0 >= 1)) or (((AskForSection_0_0 >= 1) and (Turn_0_3 >= 1)) or (((Turn_0_2 >= 1) and (AskForSection_6_0 >= 1)) or (((AskForSection_2_0 >= 1) and (Turn_0_3 >= 1)) or (((Turn_0_3 >= 1) and (AskForSection_1_0 >= 1)) or (((Turn_0_3 >= 1) and (AskForSection_4_0 >= 1)) or ((Turn_0_3 >= 1) and (AskForSection_3_0 >= 1))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))) and ((TestIdentity_4_4_4 >= 1) or ((TestIdentity_5_4_5 >= 1) or ((TestIdentity_6_4_6 >= 1) or ((TestIdentity_0_5_0 >= 1) or ((TestIdentity_1_5_1 >= 1) or ((TestIdentity_2_5_2 >= 1) or ((TestIdentity_3_5_3 >= 1) or ((TestIdentity_4_5_4 >= 1) or ((TestIdentity_3_3_3 >= 1) or ((TestIdentity_4_3_4 >= 1) or ((TestIdentity_5_3_5 >= 1) or ((TestIdentity_6_3_6 >= 1) or ((TestIdentity_0_4_0 >= 1) or ((TestIdentity_1_4_1 >= 1) or ((TestIdentity_2_4_2 >= 1) or ((TestIdentity_3_4_3 >= 1) or ((TestIdentity_6_5_6 >= 1) or ((TestIdentity_5_5_5 >= 1) or ((TestIdentity_2_0_2 >= 1) or ((TestIdentity_3_0_3 >= 1) or ((TestIdentity_0_0_0 >= 1) or ((TestIdentity_1_0_1 >= 1) or ((TestIdentity_6_0_6 >= 1) or ((TestIdentity_0_1_0 >= 1) or ((TestIdentity_4_0_4 >= 1) or ((TestIdentity_5_0_5 >= 1) or ((TestIdentity_5_2_5 >= 1) or ((TestIdentity_4_2_4 >= 1) or ((TestIdentity_3_2_3 >= 1) or ((TestIdentity_2_2_2 >= 1) or ((TestIdentity_2_3_2 >= 1) or ((TestIdentity_1_3_1 >= 1) or ((TestIdentity_0_3_0 >= 1) or ((TestIdentity_6_2_6 >= 1) or ((TestIdentity_4_1_4 >= 1) or ((TestIdentity_3_1_3 >= 1) or ((TestIdentity_2_1_2 >= 1) or ((TestIdentity_1_1_1 >= 1) or ((TestIdentity_1_2_1 >= 1) or ((TestIdentity_0_2_0 >= 1) or ((TestIdentity_6_1_6 >= 1) or (TestIdentity_5_1_5 >= 1))))))))))))))))))))))))))))))))))))))))))) and (((TestIdentity_6_2_0 >= 1) or ((TestIdentity_5_2_0 >= 1) or ((TestIdentity_2_2_1 >= 1) or ((TestIdentity_0_2_1 >= 1) or ((TestIdentity_2_2_0 >= 1) or ((TestIdentity_1_2_0 >= 1) or ((TestIdentity_4_2_0 >= 1) or ((TestIdentity_3_2_0 >= 1) or ((TestIdentity_1_2_2 >= 1) or ((TestIdentity_0_2_2 >= 1) or ((TestIdentity_4_2_2 >= 1) or ((TestIdentity_3_2_2 >= 1) or ((TestIdentity_4_2_1 >= 1) or ((TestIdentity_3_2_1 >= 1) or ((TestIdentity_6_2_1 >= 1) or ((TestIdentity_5_2_1 >= 1) or ((TestIdentity_2_2_3 >= 1) or ((TestIdentity_4_2_3 >= 1) or ((TestIdentity_5_2_3 >= 1) or ((TestIdentity_6_2_3 >= 1) or ((TestIdentity_5_2_2 >= 1) or ((TestIdentity_6_2_2 >= 1) or ((TestIdentity_0_2_3 >= 1) or ((TestIdentity_1_2_3 >= 1) or ((TestIdentity_5_2_4 >= 1) or ((TestIdentity_6_2_4 >= 1) or ((TestIdentity_0_2_5 >= 1) or ((TestIdentity_1_2_5 >= 1) or ((TestIdentity_0_2_4 >= 1) or ((TestIdentity_1_2_4 >= 1) or ((TestIdentity_2_2_4 >= 1) or ((TestIdentity_3_2_4 >= 1) or ((TestIdentity_6_1_2 >= 1) or ((TestIdentity_5_1_2 >= 1) or ((TestIdentity_4_1_2 >= 1) or ((TestIdentity_3_1_2 >= 1) or ((TestIdentity_1_1_2 >= 1) or ((TestIdentity_0_1_2 >= 1) or ((TestIdentity_6_1_1 >= 1) or ((TestIdentity_5_1_1 >= 1) or ((TestIdentity_1_1_4 >= 1) or ((TestIdentity_0_1_4 >= 1) or ((TestIdentity_6_1_3 >= 1) or ((TestIdentity_5_1_3 >= 1) or ((TestIdentity_4_1_3 >= 1) or ((TestIdentity_2_1_3 >= 1) or ((TestIdentity_1_1_3 >= 1) or ((TestIdentity_0_1_3 >= 1) or ((TestIdentity_2_1_5 >= 1) or ((TestIdentity_3_1_5 >= 1) or ((TestIdentity_0_1_5 >= 1) or ((TestIdentity_1_1_5 >= 1) or ((TestIdentity_5_1_4 >= 1) or ((TestIdentity_6_1_4 >= 1) or ((TestIdentity_2_1_4 >= 1) or ((TestIdentity_3_1_4 >= 1) or ((TestIdentity_4_1_6 >= 1) or ((TestIdentity_5_1_6 >= 1) or ((TestIdentity_2_1_6 >= 1) or ((TestIdentity_3_1_6 >= 1) or ((TestIdentity_0_1_6 >= 1) or ((TestIdentity_1_1_6 >= 1) or ((TestIdentity_4_1_5 >= 1) or ((TestIdentity_6_1_5 >= 1) or ((TestIdentity_6_3_3 >= 1) or ((TestIdentity_5_3_3 >= 1) or ((TestIdentity_1_3_4 >= 1) or ((TestIdentity_0_3_4 >= 1) or ((TestIdentity_3_3_4 >= 1) or ((TestIdentity_2_3_4 >= 1) or ((TestIdentity_6_3_4 >= 1) or ((TestIdentity_5_3_4 >= 1) or ((TestIdentity_1_3_5 >= 1) or ((TestIdentity_0_3_5 >= 1) or ((TestIdentity_3_3_5 >= 1) or ((TestIdentity_2_3_5 >= 1) or ((TestIdentity_6_3_5 >= 1) or ((TestIdentity_4_3_5 >= 1) or ((TestIdentity_1_3_6 >= 1) or ((TestIdentity_0_3_6 >= 1) or ((TestIdentity_2_3_6 >= 1) or ((TestIdentity_3_3_6 >= 1) or ((TestIdentity_4_3_6 >= 1) or ((TestIdentity_5_3_6 >= 1) or ((TestIdentity_1_4_0 >= 1) or ((TestIdentity_2_4_0 >= 1) or ((TestIdentity_3_4_0 >= 1) or ((TestIdentity_4_4_0 >= 1) or ((TestIdentity_5_4_0 >= 1) or ((TestIdentity_6_4_0 >= 1) or ((TestIdentity_0_4_1 >= 1) or ((TestIdentity_2_4_1 >= 1) or ((TestIdentity_3_4_1 >= 1) or ((TestIdentity_4_4_1 >= 1) or ((TestIdentity_5_4_1 >= 1) or ((TestIdentity_6_4_1 >= 1) or ((TestIdentity_6_2_5 >= 1) or ((TestIdentity_4_2_5 >= 1) or ((TestIdentity_3_2_5 >= 1) or ((TestIdentity_2_2_5 >= 1) or ((TestIdentity_3_2_6 >= 1) or ((TestIdentity_2_2_6 >= 1) or ((TestIdentity_1_2_6 >= 1) or ((TestIdentity_0_2_6 >= 1) or ((TestIdentity_2_3_0 >= 1) or ((TestIdentity_1_3_0 >= 1) or ((TestIdentity_5_2_6 >= 1) or ((TestIdentity_4_2_6 >= 1) or ((TestIdentity_6_3_0 >= 1) or ((TestIdentity_5_3_0 >= 1) or ((TestIdentity_4_3_0 >= 1) or ((TestIdentity_3_3_0 >= 1) or ((TestIdentity_3_3_1 >= 1) or ((TestIdentity_4_3_1 >= 1) or ((TestIdentity_0_3_1 >= 1) or ((TestIdentity_2_3_1 >= 1) or ((TestIdentity_0_3_2 >= 1) or ((TestIdentity_1_3_2 >= 1) or ((TestIdentity_5_3_1 >= 1) or ((TestIdentity_6_3_1 >= 1) or ((TestIdentity_5_3_2 >= 1) or ((TestIdentity_6_3_2 >= 1) or ((TestIdentity_3_3_2 >= 1) or ((TestIdentity_4_3_2 >= 1) or ((TestIdentity_2_3_3 >= 1) or ((TestIdentity_4_3_3 >= 1) or ((TestIdentity_0_3_3 >= 1) or ((TestIdentity_1_3_3 >= 1) or ((TestIdentity_3_5_2 >= 1) or ((TestIdentity_4_5_2 >= 1) or ((TestIdentity_5_5_2 >= 1) or ((TestIdentity_6_5_2 >= 1) or ((TestIdentity_5_5_1 >= 1) or ((TestIdentity_6_5_1 >= 1) or ((TestIdentity_0_5_2 >= 1) or ((TestIdentity_1_5_2 >= 1) or ((TestIdentity_0_5_1 >= 1) or ((TestIdentity_2_5_1 >= 1) or ((TestIdentity_3_5_1 >= 1) or ((TestIdentity_4_5_1 >= 1) or ((TestIdentity_3_5_0 >= 1) or ((TestIdentity_4_5_0 >= 1) or ((TestIdentity_5_5_0 >= 1) or ((TestIdentity_6_5_0 >= 1) or ((TestIdentity_1_5_5 >= 1) or ((TestIdentity_0_5_5 >= 1) or ((TestIdentity_3_5_5 >= 1) or ((TestIdentity_2_5_5 >= 1) or ((TestIdentity_3_5_4 >= 1) or ((TestIdentity_2_5_4 >= 1) or ((TestIdentity_6_5_4 >= 1) or ((TestIdentity_5_5_4 >= 1) or ((TestIdentity_6_5_3 >= 1) or ((TestIdentity_5_5_3 >= 1) or ((TestIdentity_1_5_4 >= 1) or ((TestIdentity_0_5_4 >= 1) or ((TestIdentity_1_5_3 >= 1) or ((TestIdentity_0_5_3 >= 1) or ((TestIdentity_4_5_3 >= 1) or ((TestIdentity_2_5_3 >= 1) or ((TestIdentity_2_4_4 >= 1) or ((TestIdentity_3_4_4 >= 1) or ((TestIdentity_0_4_4 >= 1) or ((TestIdentity_1_4_4 >= 1) or ((TestIdentity_5_4_3 >= 1) or ((TestIdentity_6_4_3 >= 1) or ((TestIdentity_2_4_3 >= 1) or ((TestIdentity_4_4_3 >= 1) or ((TestIdentity_0_4_3 >= 1) or ((TestIdentity_1_4_3 >= 1) or ((TestIdentity_5_4_2 >= 1) or ((TestIdentity_6_4_2 >= 1) or ((TestIdentity_3_4_2 >= 1) or ((TestIdentity_4_4_2 >= 1) or ((TestIdentity_0_4_2 >= 1) or ((TestIdentity_1_4_2 >= 1) or ((TestIdentity_2_5_0 >= 1) or ((TestIdentity_1_5_0 >= 1) or ((TestIdentity_5_4_6 >= 1) or ((TestIdentity_4_4_6 >= 1) or ((TestIdentity_3_4_6 >= 1) or ((TestIdentity_2_4_6 >= 1) or ((TestIdentity_1_4_6 >= 1) or ((TestIdentity_0_4_6 >= 1) or ((TestIdentity_6_4_5 >= 1) or ((TestIdentity_4_4_5 >= 1) or ((TestIdentity_3_4_5 >= 1) or ((TestIdentity_2_4_5 >= 1) or ((TestIdentity_1_4_5 >= 1) or ((TestIdentity_0_4_5 >= 1) or ((TestIdentity_6_4_4 >= 1) or ((TestIdentity_5_4_4 >= 1) or ((TestIdentity_0_5_6 >= 1) or ((TestIdentity_1_5_6 >= 1) or ((TestIdentity_4_5_5 >= 1) or ((TestIdentity_6_5_5 >= 1) or ((TestIdentity_4_5_6 >= 1) or ((TestIdentity_5_5_6 >= 1) or ((TestIdentity_2_5_6 >= 1) or ((TestIdentity_3_5_6 >= 1) or ((TestIdentity_4_0_1 >= 1) or ((TestIdentity_3_0_1 >= 1) or ((TestIdentity_6_0_1 >= 1) or ((TestIdentity_5_0_1 >= 1) or ((TestIdentity_6_0_0 >= 1) or ((TestIdentity_5_0_0 >= 1) or ((TestIdentity_2_0_1 >= 1) or ((TestIdentity_0_0_1 >= 1) or ((TestIdentity_6_0_2 >= 1) or ((TestIdentity_5_0_2 >= 1) or ((TestIdentity_1_0_3 >= 1) or ((TestIdentity_0_0_3 >= 1) or ((TestIdentity_1_0_2 >= 1) or ((TestIdentity_0_0_2 >= 1) or ((TestIdentity_4_0_2 >= 1) or ((TestIdentity_3_0_2 >= 1) or ((TestIdentity_1_0_0 >= 1) or ((TestIdentity_2_0_0 >= 1) or ((TestIdentity_3_0_0 >= 1) or ((TestIdentity_4_0_0 >= 1) or ((TestIdentity_2_1_0 >= 1) or ((TestIdentity_1_1_0 >= 1) or ((TestIdentity_5_0_6 >= 1) or ((TestIdentity_4_0_6 >= 1) or ((TestIdentity_3_0_6 >= 1) or ((TestIdentity_2_0_6 >= 1) or ((TestIdentity_1_0_6 >= 1) or ((TestIdentity_0_0_6 >= 1) or ((TestIdentity_4_1_1 >= 1) or ((TestIdentity_3_1_1 >= 1) or ((TestIdentity_2_1_1 >= 1) or ((TestIdentity_0_1_1 >= 1) or ((TestIdentity_6_1_0 >= 1) or ((TestIdentity_5_1_0 >= 1) or ((TestIdentity_4_1_0 >= 1) or ((TestIdentity_3_1_0 >= 1) or ((TestIdentity_2_0_4 >= 1) or ((TestIdentity_3_0_4 >= 1) or ((TestIdentity_0_0_4 >= 1) or ((TestIdentity_1_0_4 >= 1) or ((TestIdentity_5_0_3 >= 1) or ((TestIdentity_6_0_3 >= 1) or ((TestIdentity_2_0_3 >= 1) or ((TestIdentity_4_0_3 >= 1) or ((TestIdentity_4_0_5 >= 1) or ((TestIdentity_6_0_5 >= 1) or ((TestIdentity_2_0_5 >= 1) or ((TestIdentity_3_0_5 >= 1) or ((TestIdentity_0_0_5 >= 1) or ((TestIdentity_1_0_5 >= 1) or ((TestIdentity_5_0_4 >= 1) or (TestIdentity_6_0_4 >= 1)))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))) and ((EndTurn_0_5 >= 1) or ((EndTurn_1_5 >= 1) or ((EndTurn_2_5 >= 1) or ((EndTurn_3_5 >= 1) or ((EndTurn_4_5 >= 1) or ((EndTurn_5_5 >= 1) or (EndTurn_6_5 >= 1)))))))))))
Query size reduced from 6916 to 6916 nodes (0.00 percent reduction).
Query reduction reached timeout.
Size of net before structural reductions: 1330 places, 2030 transitions
Size of net after structural reductions: 1330 places, 2030 transitions
Structural reduction finished after 0.032462 seconds

Net reduction is enabled.
Removed transitions: 0
Removed places: 0
Applications of rule A: 0
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0


------------------- QUERY 10 ----------------------
Solution found
perl: warning: Setting locale failed.
perl: warning: Please check that your locale settings:
LANGUAGE = (unset),
LC_ALL = (unset),
LANG = "en_US.UTF-8"
are supported and installed on your system.
perl: warning: Falling back to the standard locale ("C").
perl: warning: Setting locale failed.
perl: warning: Please check that your locale settings:
LANGUAGE = (unset),
LC_ALL = (unset),
LANG = "en_US.UTF-8"
are supported and installed on your system.
perl: warning: Falling back to the standard locale ("C").

Parameters: -s BestFS -d 10 ./model.pnml ./ReachabilityFireability.xml -x 10

Parameters: -s BestFS -q 0 -d 10 ./model.pnml ./ReachabilityFireability.xml -x 10

Parameters: -s BFS -q 0 -d 10 ./model.pnml ./ReachabilityFireability.xml -x 10

Parameters: -s DFS -q 0 -d 10 ./model.pnml ./ReachabilityFireability.xml -x 10

Search=BFS,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=DISABLED,Siphon_Trap=DISABLED,LPSolve_Timeout=10
Size of net before structural reductions: 1330 places, 2030 transitions
Size of net after structural reductions: 420 places, 1120 transitions
Structural reduction finished after 0.018095 seconds

Net reduction is enabled.
Removed transitions: 910
Removed places: 910
Applications of rule A: 910
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0

Search=DFS,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=DISABLED,Siphon_Trap=DISABLED,LPSolve_Timeout=10
Size of net before structural reductions: 1330 places, 2030 transitions
Size of net after structural reductions: 420 places, 1120 transitions
Structural reduction finished after 0.019071 seconds

Net reduction is enabled.
Removed transitions: 910
Removed places: 910
Applications of rule A: 910
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0


Query is NOT satisfied.

STATS:
discovered states: 1030
explored states: 842
expanded states: 146
max tokens: 20

parallel: This job succeeded:
/home/mcc/BenchKit/start.sh 14500000 /home/mcc/BenchKit/bin/verifypn-linux64 -s\ DFS\ -q\ 0\ -d\ 10 ./model.pnml ./ReachabilityFireability.xml -x 10

FORMULA Peterson-COL-6-ReachabilityFireability-9 FALSE TECHNIQUES COLLATERAL_PROCESSING EXPLICIT STRUCTURAL_REDUCTION STATE_COMPRESSION STUBBORN_SETS
------------------- QUERY 11 ----------------------
No solution found
perl: warning: Setting locale failed.
perl: warning: Please check that your locale settings:
LANGUAGE = (unset),
LC_ALL = (unset),
LANG = "en_US.UTF-8"
are supported and installed on your system.
perl: warning: Falling back to the standard locale ("C").
perl: warning: Setting locale failed.
perl: warning: Please check that your locale settings:
LANGUAGE = (unset),
LC_ALL = (unset),
LANG = "en_US.UTF-8"
are supported and installed on your system.
perl: warning: Falling back to the standard locale ("C").

Parameters: -s BestFS -q 0 -d 10 ./model.pnml ./ReachabilityFireability.xml -x 11

Parameters: -s BFS -q 0 -d 10 ./model.pnml ./ReachabilityFireability.xml -x 11

Parameters: -s BestFS -d 10 ./model.pnml ./ReachabilityFireability.xml -x 11

Parameters: -s DFS -q 0 -d 10 ./model.pnml ./ReachabilityFireability.xml -x 11

Search=BFS,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=DISABLED,Siphon_Trap=DISABLED,LPSolve_Timeout=10
Size of net before structural reductions: 1330 places, 2030 transitions
Size of net after structural reductions: 1204 places, 1904 transitions
Structural reduction finished after 0.048255 seconds

Net reduction is enabled.
Removed transitions: 126
Removed places: 126
Applications of rule A: 126
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0

Search=HEUR,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=ENABLED,QSTimeout=30,Siphon_Trap=DISABLED,LPSolve_Timeout=10


Search=DFS,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=DISABLED,Siphon_Trap=DISABLED,LPSolve_Timeout=10
Size of net before structural reductions: 1330 places, 2030 transitions
Size of net after structural reductions: 1204 places, 1904 transitions
Structural reduction finished after 0.050741 seconds

Net reduction is enabled.
Removed transitions: 126
Removed places: 126
Applications of rule A: 126
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0

Search=HEUR,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=DISABLED,Siphon_Trap=DISABLED,LPSolve_Timeout=10
Size of net before structural reductions: 1330 places, 2030 transitions
Size of net after structural reductions: 1204 places, 1904 transitions
Structural reduction finished after 0.075078 seconds

Net reduction is enabled.
Removed transitions: 126
Removed places: 126
Applications of rule A: 126
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0
Query before reduction: EF ((((WantSection_6_F >= 1) and (TestAlone_4_3_6 >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_3_3_6 >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_1_4_0 >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_5_3_6 >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_3_4_0 >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_2_4_0 >= 1)) or (((TestAlone_5_4_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_4_4_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_0_4_1 >= 1) and (WantSection_1_F >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_6_4_0 >= 1)) or (((TestAlone_3_4_1 >= 1) and (WantSection_1_F >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_2_4_1 >= 1)) or (((TestAlone_5_4_1 >= 1) and (WantSection_1_F >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_4_4_1 >= 1)) or (((TestAlone_0_4_2 >= 1) and (WantSection_2_F >= 1)) or (((TestAlone_6_4_1 >= 1) and (WantSection_1_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_1_4_2 >= 1)) or (((TestAlone_3_4_2 >= 1) and (WantSection_2_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_4_4_2 >= 1)) or (((TestAlone_5_4_2 >= 1) and (WantSection_2_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_6_4_2 >= 1)) or (((TestAlone_0_4_3 >= 1) and (WantSection_3_F >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_1_4_3 >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_2_4_3 >= 1)) or (((TestAlone_4_4_3 >= 1) and (WantSection_3_F >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_5_4_3 >= 1)) or (((TestAlone_6_4_3 >= 1) and (WantSection_3_F >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_0_4_4 >= 1)) or (((TestAlone_1_4_4 >= 1) and (WantSection_4_F >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_2_4_4 >= 1)) or (((TestAlone_3_4_4 >= 1) and (WantSection_4_F >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_5_4_4 >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_2_4_5 >= 1)) or (((TestAlone_1_4_5 >= 1) and (WantSection_5_F >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_0_4_5 >= 1)) or (((TestAlone_6_4_4 >= 1) and (WantSection_4_F >= 1)) or (((TestAlone_0_4_6 >= 1) and (WantSection_6_F >= 1)) or (((TestAlone_6_4_5 >= 1) and (WantSection_5_F >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_4_4_5 >= 1)) or (((TestAlone_3_4_5 >= 1) and (WantSection_5_F >= 1)) or (((TestAlone_4_4_6 >= 1) and (WantSection_6_F >= 1)) or (((TestAlone_3_4_6 >= 1) and (WantSection_6_F >= 1)) or (((TestAlone_2_4_6 >= 1) and (WantSection_6_F >= 1)) or (((TestAlone_1_4_6 >= 1) and (WantSection_6_F >= 1)) or (((TestAlone_3_5_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_2_5_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_1_5_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_5_4_6 >= 1) and (WantSection_6_F >= 1)) or (((TestAlone_6_5_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_0_5_1 >= 1) and (WantSection_1_F >= 1)) or (((TestAlone_4_5_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_5_5_0 >= 1) and (WantSection_0_F >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_4_5_1 >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_5_5_1 >= 1)) or (((TestAlone_2_5_1 >= 1) and (WantSection_1_F >= 1)) or (((TestAlone_3_5_1 >= 1) and (WantSection_1_F >= 1)) or (((TestAlone_1_5_2 >= 1) and (WantSection_2_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_3_5_2 >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_6_5_1 >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_0_5_2 >= 1)) or (((TestAlone_6_5_2 >= 1) and (WantSection_2_F >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_0_5_3 >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_4_5_2 >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_5_5_2 >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_0_5_4 >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_6_5_3 >= 1)) or (((TestAlone_2_5_4 >= 1) and (WantSection_4_F >= 1)) or (((TestAlone_1_5_4 >= 1) and (WantSection_4_F >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_2_5_3 >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_1_5_3 >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_5_5_3 >= 1)) or (((TestAlone_4_5_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_2_5_5 >= 1) and (WantSection_5_F >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_1_5_5 >= 1)) or (((TestAlone_4_5_5 >= 1) and (WantSection_5_F >= 1)) or (((TestAlone_3_5_5 >= 1) and (WantSection_5_F >= 1)) or (((TestAlone_5_5_4 >= 1) and (WantSection_4_F >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_3_5_4 >= 1)) or (((TestAlone_0_5_5 >= 1) and (WantSection_5_F >= 1)) or (((TestAlone_6_5_4 >= 1) and (WantSection_4_F >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_3_5_6 >= 1)) or (((TestAlone_4_5_6 >= 1) and (WantSection_6_F >= 1)) or (((TestAlone_5_5_6 >= 1) and (WantSection_6_F >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_6_5_5 >= 1)) or (((TestAlone_0_5_6 >= 1) and (WantSection_6_F >= 1)) or (((TestAlone_1_5_6 >= 1) and (WantSection_6_F >= 1)) or (((TestAlone_2_5_6 >= 1) and (WantSection_6_F >= 1)) or (((TestAlone_5_1_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_4_1_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_2_1_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_1_1_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_2_1_4 >= 1) and (WantSection_4_F >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_1_1_4 >= 1)) or (((TestAlone_0_1_4 >= 1) and (WantSection_4_F >= 1)) or (((TestAlone_6_1_3 >= 1) and (WantSection_3_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_3_1_2 >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_1_1_2 >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_0_1_2 >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_6_1_1 >= 1)) or (((TestAlone_0_1_3 >= 1) and (WantSection_3_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_6_1_2 >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_5_1_2 >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_4_1_2 >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_6_1_0 >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_0_1_1 >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_4_1_0 >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_5_1_0 >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_4_1_1 >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_5_1_1 >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_2_1_1 >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_3_1_1 >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_3_0_6 >= 1)) or (((TestAlone_4_0_6 >= 1) and (WantSection_6_F >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_1_0_6 >= 1)) or (((TestAlone_2_0_6 >= 1) and (WantSection_6_F >= 1)) or (((TestAlone_2_1_0 >= 1) and (WantSection_0_F >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_3_1_0 >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_5_0_6 >= 1)) or (((TestAlone_1_1_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_5_2_1 >= 1) and (WantSection_1_F >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_4_2_1 >= 1)) or (((TestAlone_0_2_2 >= 1) and (WantSection_2_F >= 1)) or (((TestAlone_6_2_1 >= 1) and (WantSection_1_F >= 1)) or (((TestAlone_3_2_2 >= 1) and (WantSection_2_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_1_2_2 >= 1)) or (((TestAlone_5_2_2 >= 1) and (WantSection_2_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_4_2_2 >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_3_2_0 >= 1)) or (((TestAlone_2_2_0 >= 1) and (WantSection_0_F >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_5_2_0 >= 1)) or (((TestAlone_4_2_0 >= 1) and (WantSection_0_F >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_0_2_1 >= 1)) or (((TestAlone_6_2_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_3_2_1 >= 1) and (WantSection_1_F >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_2_2_1 >= 1)) or (((TestAlone_6_1_5 >= 1) and (WantSection_5_F >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_0_1_6 >= 1)) or (((TestAlone_1_1_6 >= 1) and (WantSection_6_F >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_2_1_6 >= 1)) or (((TestAlone_3_1_6 >= 1) and (WantSection_6_F >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_4_1_6 >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_5_1_6 >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_1_2_0 >= 1)) or (((TestAlone_3_1_4 >= 1) and (WantSection_4_F >= 1)) or (((TestAlone_5_1_4 >= 1) and (WantSection_4_F >= 1)) or (((TestAlone_6_1_4 >= 1) and (WantSection_4_F >= 1)) or (((TestAlone_0_1_5 >= 1) and (WantSection_5_F >= 1)) or (((TestAlone_1_1_5 >= 1) and (WantSection_5_F >= 1)) or (((TestAlone_2_1_5 >= 1) and (WantSection_5_F >= 1)) or (((TestAlone_3_1_5 >= 1) and (WantSection_5_F >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_4_1_5 >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_0_3_1 >= 1)) or (((TestAlone_6_3_0 >= 1) and (WantSection_0_F >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_5_3_0 >= 1)) or (((TestAlone_4_3_0 >= 1) and (WantSection_0_F >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_3_3_0 >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_2_3_0 >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_1_3_0 >= 1)) or (((TestAlone_5_2_6 >= 1) and (WantSection_6_F >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_4_2_6 >= 1)) or (((TestAlone_3_2_6 >= 1) and (WantSection_6_F >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_2_2_6 >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_1_2_6 >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_0_2_6 >= 1)) or (((TestAlone_6_2_5 >= 1) and (WantSection_5_F >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_4_2_5 >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_3_2_5 >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_1_2_5 >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_2_2_5 >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_6_2_4 >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_0_2_5 >= 1)) or (((TestAlone_3_2_4 >= 1) and (WantSection_4_F >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_5_2_4 >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_1_2_4 >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_2_2_4 >= 1)) or (((TestAlone_6_2_3 >= 1) and (WantSection_3_F >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_0_2_4 >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_4_2_3 >= 1)) or (((TestAlone_5_2_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_1_2_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_2_2_3 >= 1) and (WantSection_3_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_6_2_2 >= 1)) or (((TestAlone_0_2_3 >= 1) and (WantSection_3_F >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_0_3_6 >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_6_3_5 >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_2_3_6 >= 1)) or (((TestAlone_1_3_6 >= 1) and (WantSection_6_F >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_2_3_5 >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_1_3_5 >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_4_3_5 >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_3_3_5 >= 1)) or (((TestAlone_5_3_4 >= 1) and (WantSection_4_F >= 1)) or (((TestAlone_3_3_4 >= 1) and (WantSection_4_F >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_0_3_5 >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_6_3_4 >= 1)) or (((TestAlone_0_3_4 >= 1) and (WantSection_4_F >= 1)) or (((TestAlone_6_3_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_2_3_4 >= 1) and (WantSection_4_F >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_1_3_4 >= 1)) or (((TestAlone_1_3_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_2_3_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_4_3_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_5_3_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_4_3_2 >= 1) and (WantSection_2_F >= 1)) or (((TestAlone_5_3_2 >= 1) and (WantSection_2_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_6_3_2 >= 1)) or (((TestAlone_0_3_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_6_3_1 >= 1) and (WantSection_1_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_0_3_2 >= 1)) or (((TestAlone_1_3_2 >= 1) and (WantSection_2_F >= 1)) or (((TestAlone_3_3_2 >= 1) and (WantSection_2_F >= 1)) or (((TestAlone_2_3_1 >= 1) and (WantSection_1_F >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_3_3_1 >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_4_3_1 >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_5_3_1 >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_4_0_0 >= 1)) or (((TestAlone_5_0_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_2_0_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_3_0_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_1_0_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_1_0_4 >= 1) and (WantSection_4_F >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_2_0_4 >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_3_0_4 >= 1)) or (((TestAlone_5_0_4 >= 1) and (WantSection_4_F >= 1)) or (((TestAlone_4_0_3 >= 1) and (WantSection_3_F >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_5_0_3 >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_6_0_3 >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_0_0_4 >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_3_0_5 >= 1)) or (((TestAlone_4_0_5 >= 1) and (WantSection_5_F >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_6_0_5 >= 1)) or (((TestAlone_0_0_6 >= 1) and (WantSection_6_F >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_6_0_4 >= 1)) or (((TestAlone_0_0_5 >= 1) and (WantSection_5_F >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_1_0_5 >= 1)) or (((TestAlone_2_0_5 >= 1) and (WantSection_5_F >= 1)) or (((TestAlone_5_0_1 >= 1) and (WantSection_1_F >= 1)) or (((TestAlone_4_0_1 >= 1) and (WantSection_1_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_0_0_2 >= 1)) or (((TestAlone_6_0_1 >= 1) and (WantSection_1_F >= 1)) or (((TestAlone_0_0_1 >= 1) and (WantSection_1_F >= 1)) or (((TestAlone_6_0_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_3_0_1 >= 1) and (WantSection_1_F >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_2_0_1 >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_0_0_3 >= 1)) or (((TestAlone_6_0_2 >= 1) and (WantSection_2_F >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_2_0_3 >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_1_0_3 >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_3_0_2 >= 1)) or (((TestAlone_1_0_2 >= 1) and (WantSection_2_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_5_0_2 >= 1)) or ((WantSection_2_F >= 1) and (TestAlone_4_0_2 >= 1))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))) and (not ((((BeginLoop_6_5_6 >= 1) or ((BeginLoop_0_5_6 >= 1) or ((BeginLoop_1_5_6 >= 1) or ((BeginLoop_5_5_5 >= 1) or ((BeginLoop_6_5_5 >= 1) or ((BeginLoop_4_5_6 >= 1) or ((BeginLoop_5_5_6 >= 1) or ((BeginLoop_2_5_6 >= 1) or ((BeginLoop_3_5_6 >= 1) or ((BeginLoop_0_5_5 >= 1) or ((BeginLoop_6_5_4 >= 1) or ((BeginLoop_5_5_4 >= 1) or ((BeginLoop_4_5_4 >= 1) or ((BeginLoop_4_5_5 >= 1) or ((BeginLoop_3_5_5 >= 1) or ((BeginLoop_2_5_5 >= 1) or ((BeginLoop_1_5_5 >= 1) or ((BeginLoop_6_5_3 >= 1) or ((BeginLoop_5_5_3 >= 1) or ((BeginLoop_4_5_3 >= 1) or ((BeginLoop_3_5_3 >= 1) or ((BeginLoop_3_5_4 >= 1) or ((BeginLoop_2_5_4 >= 1) or ((BeginLoop_1_5_4 >= 1) or ((BeginLoop_0_5_4 >= 1) or ((BeginLoop_2_5_2 >= 1) or ((BeginLoop_3_5_2 >= 1) or ((BeginLoop_4_5_2 >= 1) or ((BeginLoop_5_5_2 >= 1) or ((BeginLoop_6_5_2 >= 1) or ((BeginLoop_0_5_3 >= 1) or ((BeginLoop_1_5_3 >= 1) or ((BeginLoop_2_5_3 >= 1) or ((BeginLoop_1_5_1 >= 1) or ((BeginLoop_2_5_1 >= 1) or ((BeginLoop_3_5_1 >= 1) or ((BeginLoop_4_5_1 >= 1) or ((BeginLoop_5_5_1 >= 1) or ((BeginLoop_6_5_1 >= 1) or ((BeginLoop_0_5_2 >= 1) or ((BeginLoop_1_5_2 >= 1) or ((BeginLoop_1_5_0 >= 1) or ((BeginLoop_0_5_0 >= 1) or ((BeginLoop_3_5_0 >= 1) or ((BeginLoop_2_5_0 >= 1) or ((BeginLoop_5_5_0 >= 1) or ((BeginLoop_4_5_0 >= 1) or ((BeginLoop_0_5_1 >= 1) or ((BeginLoop_6_5_0 >= 1) or ((BeginLoop_0_4_6 >= 1) or ((BeginLoop_6_4_5 >= 1) or ((BeginLoop_2_4_6 >= 1) or ((BeginLoop_1_4_6 >= 1) or ((BeginLoop_4_4_6 >= 1) or ((BeginLoop_3_4_6 >= 1) or ((BeginLoop_6_4_6 >= 1) or ((BeginLoop_5_4_6 >= 1) or ((BeginLoop_4_4_5 >= 1) or ((BeginLoop_5_4_5 >= 1) or ((BeginLoop_2_4_5 >= 1) or ((BeginLoop_3_4_5 >= 1) or ((BeginLoop_0_4_5 >= 1) or ((BeginLoop_1_4_5 >= 1) or ((BeginLoop_5_4_4 >= 1) or ((BeginLoop_6_4_4 >= 1) or ((BeginLoop_3_4_4 >= 1) or ((BeginLoop_4_4_4 >= 1) or ((BeginLoop_1_4_4 >= 1) or ((BeginLoop_2_4_4 >= 1) or ((BeginLoop_6_4_3 >= 1) or ((BeginLoop_0_4_4 >= 1) or ((BeginLoop_4_4_3 >= 1) or ((BeginLoop_5_4_3 >= 1) or ((BeginLoop_3_4_3 >= 1) or ((BeginLoop_2_4_3 >= 1) or ((BeginLoop_1_4_3 >= 1) or ((BeginLoop_0_4_3 >= 1) or ((BeginLoop_6_4_2 >= 1) or ((BeginLoop_5_4_2 >= 1) or ((BeginLoop_4_4_2 >= 1) or ((BeginLoop_3_4_2 >= 1) or ((BeginLoop_2_4_2 >= 1) or ((BeginLoop_1_4_2 >= 1) or ((BeginLoop_0_4_2 >= 1) or ((BeginLoop_6_4_1 >= 1) or ((BeginLoop_5_4_1 >= 1) or ((BeginLoop_4_4_1 >= 1) or ((BeginLoop_3_4_1 >= 1) or ((BeginLoop_2_4_1 >= 1) or ((BeginLoop_5_4_0 >= 1) or ((BeginLoop_6_4_0 >= 1) or ((BeginLoop_0_4_1 >= 1) or ((BeginLoop_1_4_1 >= 1) or ((BeginLoop_1_4_0 >= 1) or ((BeginLoop_2_4_0 >= 1) or ((BeginLoop_3_4_0 >= 1) or ((BeginLoop_4_4_0 >= 1) or ((BeginLoop_4_3_6 >= 1) or ((BeginLoop_5_3_6 >= 1) or ((BeginLoop_6_3_6 >= 1) or ((BeginLoop_0_4_0 >= 1) or ((BeginLoop_0_3_6 >= 1) or ((BeginLoop_1_3_6 >= 1) or ((BeginLoop_2_3_6 >= 1) or ((BeginLoop_3_3_6 >= 1) or ((BeginLoop_4_3_5 >= 1) or ((BeginLoop_3_3_5 >= 1) or ((BeginLoop_6_3_5 >= 1) or ((BeginLoop_5_3_5 >= 1) or ((BeginLoop_0_3_5 >= 1) or ((BeginLoop_6_3_4 >= 1) or ((BeginLoop_2_3_5 >= 1) or ((BeginLoop_1_3_5 >= 1) or ((BeginLoop_3_3_4 >= 1) or ((BeginLoop_2_3_4 >= 1) or ((BeginLoop_5_3_4 >= 1) or ((BeginLoop_4_3_4 >= 1) or ((BeginLoop_6_3_3 >= 1) or ((BeginLoop_5_3_3 >= 1) or ((BeginLoop_1_3_4 >= 1) or ((BeginLoop_0_3_4 >= 1) or ((BeginLoop_1_0_3 >= 1) or ((BeginLoop_0_0_3 >= 1) or ((BeginLoop_3_0_3 >= 1) or ((BeginLoop_2_0_3 >= 1) or ((BeginLoop_5_0_3 >= 1) or ((BeginLoop_4_0_3 >= 1) or ((BeginLoop_0_0_4 >= 1) or ((BeginLoop_6_0_3 >= 1) or ((BeginLoop_0_0_2 >= 1) or ((BeginLoop_6_0_1 >= 1) or ((BeginLoop_2_0_2 >= 1) or ((BeginLoop_1_0_2 >= 1) or ((BeginLoop_4_0_2 >= 1) or ((BeginLoop_3_0_2 >= 1) or ((BeginLoop_6_0_2 >= 1) or ((BeginLoop_5_0_2 >= 1) or ((BeginLoop_2_0_5 >= 1) or ((BeginLoop_3_0_5 >= 1) or ((BeginLoop_4_0_5 >= 1) or ((BeginLoop_5_0_5 >= 1) or ((BeginLoop_6_0_5 >= 1) or ((BeginLoop_0_0_6 >= 1) or ((BeginLoop_1_0_6 >= 1) or ((BeginLoop_2_0_6 >= 1) or ((BeginLoop_1_0_4 >= 1) or ((BeginLoop_2_0_4 >= 1) or ((BeginLoop_3_0_4 >= 1) or ((BeginLoop_4_0_4 >= 1) or ((BeginLoop_5_0_4 >= 1) or ((BeginLoop_6_0_4 >= 1) or ((BeginLoop_0_0_5 >= 1) or ((BeginLoop_1_0_5 >= 1) or ((BeginLoop_0_0_1 >= 1) or ((BeginLoop_1_0_1 >= 1) or ((BeginLoop_5_0_0 >= 1) or ((BeginLoop_6_0_0 >= 1) or ((BeginLoop_4_0_1 >= 1) or ((BeginLoop_5_0_1 >= 1) or ((BeginLoop_2_0_1 >= 1) or ((BeginLoop_3_0_1 >= 1) or ((BeginLoop_0_0_0 >= 1) or ((BeginLoop_3_0_0 >= 1) or ((BeginLoop_4_0_0 >= 1) or ((BeginLoop_1_0_0 >= 1) or ((BeginLoop_2_0_0 >= 1) or ((BeginLoop_1_2_6 >= 1) or ((BeginLoop_2_2_6 >= 1) or ((BeginLoop_3_2_6 >= 1) or ((BeginLoop_4_2_6 >= 1) or ((BeginLoop_5_2_6 >= 1) or ((BeginLoop_6_2_6 >= 1) or ((BeginLoop_0_3_0 >= 1) or ((BeginLoop_1_3_0 >= 1) or ((BeginLoop_2_3_0 >= 1) or ((BeginLoop_3_3_0 >= 1) or ((BeginLoop_4_3_0 >= 1) or ((BeginLoop_5_3_0 >= 1) or ((BeginLoop_6_3_0 >= 1) or ((BeginLoop_0_3_1 >= 1) or ((BeginLoop_1_3_1 >= 1) or ((BeginLoop_2_3_1 >= 1) or ((BeginLoop_4_3_1 >= 1) or ((BeginLoop_3_3_1 >= 1) or ((BeginLoop_6_3_1 >= 1) or ((BeginLoop_5_3_1 >= 1) or ((BeginLoop_1_3_2 >= 1) or ((BeginLoop_0_3_2 >= 1) or ((BeginLoop_3_3_2 >= 1) or ((BeginLoop_2_3_2 >= 1) or ((BeginLoop_5_3_2 >= 1) or ((BeginLoop_4_3_2 >= 1) or ((BeginLoop_0_3_3 >= 1) or ((BeginLoop_6_3_2 >= 1) or ((BeginLoop_2_3_3 >= 1) or ((BeginLoop_1_3_3 >= 1) or ((BeginLoop_4_3_3 >= 1) or ((BeginLoop_3_3_3 >= 1) or ((BeginLoop_6_2_1 >= 1) or ((BeginLoop_0_2_2 >= 1) or ((BeginLoop_4_2_1 >= 1) or ((BeginLoop_5_2_1 >= 1) or ((BeginLoop_3_2_2 >= 1) or ((BeginLoop_4_2_2 >= 1) or ((BeginLoop_1_2_2 >= 1) or ((BeginLoop_2_2_2 >= 1) or ((BeginLoop_0_2_3 >= 1) or ((BeginLoop_1_2_3 >= 1) or ((BeginLoop_5_2_2 >= 1) or ((BeginLoop_6_2_2 >= 1) or ((BeginLoop_4_2_3 >= 1) or ((BeginLoop_5_2_3 >= 1) or ((BeginLoop_2_2_3 >= 1) or ((BeginLoop_3_2_3 >= 1) or ((BeginLoop_2_2_4 >= 1) or ((BeginLoop_1_2_4 >= 1) or ((BeginLoop_0_2_4 >= 1) or ((BeginLoop_6_2_3 >= 1) or ((BeginLoop_6_2_4 >= 1) or ((BeginLoop_5_2_4 >= 1) or ((BeginLoop_4_2_4 >= 1) or ((BeginLoop_3_2_4 >= 1) or ((BeginLoop_3_2_5 >= 1) or ((BeginLoop_2_2_5 >= 1) or ((BeginLoop_1_2_5 >= 1) or ((BeginLoop_0_2_5 >= 1) or ((BeginLoop_0_2_6 >= 1) or ((BeginLoop_6_2_5 >= 1) or ((BeginLoop_5_2_5 >= 1) or ((BeginLoop_4_2_5 >= 1) or ((BeginLoop_4_1_4 >= 1) or ((BeginLoop_5_1_4 >= 1) or ((BeginLoop_6_1_4 >= 1) or ((BeginLoop_0_1_5 >= 1) or ((BeginLoop_0_1_4 >= 1) or ((BeginLoop_1_1_4 >= 1) or ((BeginLoop_2_1_4 >= 1) or ((BeginLoop_3_1_4 >= 1) or ((BeginLoop_5_1_5 >= 1) or ((BeginLoop_6_1_5 >= 1) or ((BeginLoop_0_1_6 >= 1) or ((BeginLoop_1_1_6 >= 1) or ((BeginLoop_1_1_5 >= 1) or ((BeginLoop_2_1_5 >= 1) or ((BeginLoop_3_1_5 >= 1) or ((BeginLoop_4_1_5 >= 1) or ((BeginLoop_0_2_0 >= 1) or ((BeginLoop_6_1_6 >= 1) or ((BeginLoop_2_2_0 >= 1) or ((BeginLoop_1_2_0 >= 1) or ((BeginLoop_3_1_6 >= 1) or ((BeginLoop_2_1_6 >= 1) or ((BeginLoop_5_1_6 >= 1) or ((BeginLoop_4_1_6 >= 1) or ((BeginLoop_1_2_1 >= 1) or ((BeginLoop_0_2_1 >= 1) or ((BeginLoop_3_2_1 >= 1) or ((BeginLoop_2_2_1 >= 1) or ((BeginLoop_4_2_0 >= 1) or ((BeginLoop_3_2_0 >= 1) or ((BeginLoop_6_2_0 >= 1) or ((BeginLoop_5_2_0 >= 1) or ((BeginLoop_2_1_0 >= 1) or ((BeginLoop_3_1_0 >= 1) or ((BeginLoop_0_1_0 >= 1) or ((BeginLoop_1_1_0 >= 1) or ((BeginLoop_5_0_6 >= 1) or ((BeginLoop_6_0_6 >= 1) or ((BeginLoop_3_0_6 >= 1) or ((BeginLoop_4_0_6 >= 1) or ((BeginLoop_3_1_1 >= 1) or ((BeginLoop_4_1_1 >= 1) or ((BeginLoop_1_1_1 >= 1) or ((BeginLoop_2_1_1 >= 1) or ((BeginLoop_6_1_0 >= 1) or ((BeginLoop_0_1_1 >= 1) or ((BeginLoop_4_1_0 >= 1) or ((BeginLoop_5_1_0 >= 1) or ((BeginLoop_5_1_2 >= 1) or ((BeginLoop_4_1_2 >= 1) or ((BeginLoop_3_1_2 >= 1) or ((BeginLoop_2_1_2 >= 1) or ((BeginLoop_1_1_2 >= 1) or ((BeginLoop_0_1_2 >= 1) or ((BeginLoop_6_1_1 >= 1) or ((BeginLoop_5_1_1 >= 1) or ((BeginLoop_6_1_3 >= 1) or ((BeginLoop_5_1_3 >= 1) or ((BeginLoop_4_1_3 >= 1) or ((BeginLoop_3_1_3 >= 1) or ((BeginLoop_2_1_3 >= 1) or ((BeginLoop_1_1_3 >= 1) or ((BeginLoop_0_1_3 >= 1) or (BeginLoop_6_1_2 >= 1)))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))) and (((TestAlone_4_5_5 >= 1) and (WantSection_5_T >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_3_5_5 >= 1)) or (((TestAlone_2_5_5 >= 1) and (WantSection_5_T >= 1)) or (((TestAlone_1_5_5 >= 1) and (WantSection_5_T >= 1)) or (((TestAlone_2_5_6 >= 1) and (WantSection_6_T >= 1)) or (((TestAlone_1_5_6 >= 1) and (WantSection_6_T >= 1)) or (((TestAlone_0_5_6 >= 1) and (WantSection_6_T >= 1)) or (((TestAlone_6_5_5 >= 1) and (WantSection_5_T >= 1)) or (((TestAlone_2_5_4 >= 1) and (WantSection_4_T >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_1_5_4 >= 1)) or (((TestAlone_0_5_4 >= 1) and (WantSection_4_T >= 1)) or (((TestAlone_6_5_3 >= 1) and (WantSection_3_T >= 1)) or (((TestAlone_0_5_5 >= 1) and (WantSection_5_T >= 1)) or (((TestAlone_6_5_4 >= 1) and (WantSection_4_T >= 1)) or (((TestAlone_5_5_4 >= 1) and (WantSection_4_T >= 1)) or (((TestAlone_3_5_4 >= 1) and (WantSection_4_T >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_5_5_6 >= 1)) or (((TestAlone_3_5_6 >= 1) and (WantSection_6_T >= 1)) or (((TestAlone_4_5_6 >= 1) and (WantSection_6_T >= 1)) or (((TestAlone_5_5_0 >= 1) and (WantSection_0_T >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_4_5_0 >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_0_5_1 >= 1)) or (((TestAlone_6_5_0 >= 1) and (WantSection_0_T >= 1)) or (((TestAlone_1_5_0 >= 1) and (WantSection_0_T >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_5_4_6 >= 1)) or (((TestAlone_3_5_0 >= 1) and (WantSection_0_T >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_2_5_0 >= 1)) or (((TestAlone_2_4_6 >= 1) and (WantSection_6_T >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_1_4_6 >= 1)) or (((TestAlone_4_4_6 >= 1) and (WantSection_6_T >= 1)) or (((TestAlone_3_4_6 >= 1) and (WantSection_6_T >= 1)) or (((TestAlone_4_4_5 >= 1) and (WantSection_5_T >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_3_4_5 >= 1)) or (((TestAlone_0_4_6 >= 1) and (WantSection_6_T >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_6_4_5 >= 1)) or (((TestAlone_1_5_3 >= 1) and (WantSection_3_T >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_2_5_3 >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_4_5_3 >= 1)) or (((TestAlone_5_5_3 >= 1) and (WantSection_3_T >= 1)) or (((TestAlone_4_5_2 >= 1) and (WantSection_2_T >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_5_5_2 >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_6_5_2 >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_0_5_3 >= 1)) or (((TestAlone_6_5_1 >= 1) and (WantSection_1_T >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_0_5_2 >= 1)) or (((TestAlone_1_5_2 >= 1) and (WantSection_2_T >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_3_5_2 >= 1)) or (((TestAlone_2_5_1 >= 1) and (WantSection_1_T >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_3_5_1 >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_4_5_1 >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_5_5_1 >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_5_4_2 >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_4_4_2 >= 1)) or (((TestAlone_3_4_2 >= 1) and (WantSection_2_T >= 1)) or (((TestAlone_1_4_2 >= 1) and (WantSection_2_T >= 1)) or (((TestAlone_0_4_2 >= 1) and (WantSection_2_T >= 1)) or (((TestAlone_6_4_1 >= 1) and (WantSection_1_T >= 1)) or (((TestAlone_5_4_1 >= 1) and (WantSection_1_T >= 1)) or (((TestAlone_4_4_1 >= 1) and (WantSection_1_T >= 1)) or (((TestAlone_3_4_1 >= 1) and (WantSection_1_T >= 1)) or (((TestAlone_2_4_1 >= 1) and (WantSection_1_T >= 1)) or (((TestAlone_0_4_1 >= 1) and (WantSection_1_T >= 1)) or (((TestAlone_6_4_0 >= 1) and (WantSection_0_T >= 1)) or (((TestAlone_5_4_0 >= 1) and (WantSection_0_T >= 1)) or (((TestAlone_4_4_0 >= 1) and (WantSection_0_T >= 1)) or (((TestAlone_3_4_0 >= 1) and (WantSection_0_T >= 1)) or (((TestAlone_2_4_0 >= 1) and (WantSection_0_T >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_1_4_5 >= 1)) or (((TestAlone_2_4_5 >= 1) and (WantSection_5_T >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_6_4_4 >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_0_4_5 >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_3_4_4 >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_5_4_4 >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_1_4_4 >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_2_4_4 >= 1)) or (((TestAlone_6_4_3 >= 1) and (WantSection_3_T >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_0_4_4 >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_4_4_3 >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_5_4_3 >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_1_4_3 >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_2_4_3 >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_6_4_2 >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_0_4_3 >= 1)) or (((TestAlone_2_1_1 >= 1) and (WantSection_1_T >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_3_1_1 >= 1)) or (((TestAlone_4_1_1 >= 1) and (WantSection_1_T >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_5_1_1 >= 1)) or (((TestAlone_6_1_1 >= 1) and (WantSection_1_T >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_0_1_2 >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_1_1_2 >= 1)) or (((TestAlone_3_1_2 >= 1) and (WantSection_2_T >= 1)) or (((TestAlone_5_0_6 >= 1) and (WantSection_6_T >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_1_1_0 >= 1)) or (((TestAlone_2_1_0 >= 1) and (WantSection_0_T >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_3_1_0 >= 1)) or (((TestAlone_4_1_0 >= 1) and (WantSection_0_T >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_5_1_0 >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_6_1_0 >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_0_1_1 >= 1)) or (((TestAlone_0_1_4 >= 1) and (WantSection_4_T >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_6_1_3 >= 1)) or (((TestAlone_2_1_4 >= 1) and (WantSection_4_T >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_1_1_4 >= 1)) or (((TestAlone_5_1_4 >= 1) and (WantSection_4_T >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_3_1_4 >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_0_1_5 >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_6_1_4 >= 1)) or (((TestAlone_5_1_2 >= 1) and (WantSection_2_T >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_4_1_2 >= 1)) or (((TestAlone_0_1_3 >= 1) and (WantSection_3_T >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_6_1_2 >= 1)) or (((TestAlone_2_1_3 >= 1) and (WantSection_3_T >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_1_1_3 >= 1)) or (((TestAlone_5_1_3 >= 1) and (WantSection_3_T >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_4_1_3 >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_5_1_6 >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_1_2_0 >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_3_1_6 >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_4_1_6 >= 1)) or (((TestAlone_4_2_0 >= 1) and (WantSection_0_T >= 1)) or (((TestAlone_5_2_0 >= 1) and (WantSection_0_T >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_2_2_0 >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_3_2_0 >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_3_1_5 >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_4_1_5 >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_1_1_5 >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_2_1_5 >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_1_1_6 >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_2_1_6 >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_6_1_5 >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_0_1_6 >= 1)) or (((TestAlone_5_2_2 >= 1) and (WantSection_2_T >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_4_2_2 >= 1)) or (((TestAlone_3_2_2 >= 1) and (WantSection_2_T >= 1)) or (((TestAlone_1_2_2 >= 1) and (WantSection_2_T >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_2_2_3 >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_1_2_3 >= 1)) or (((TestAlone_0_2_3 >= 1) and (WantSection_3_T >= 1)) or (((TestAlone_6_2_2 >= 1) and (WantSection_2_T >= 1)) or (((TestAlone_3_2_1 >= 1) and (WantSection_1_T >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_2_2_1 >= 1)) or (((TestAlone_0_2_1 >= 1) and (WantSection_1_T >= 1)) or (((TestAlone_6_2_0 >= 1) and (WantSection_0_T >= 1)) or (((TestAlone_0_2_2 >= 1) and (WantSection_2_T >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_6_2_1 >= 1)) or (((TestAlone_5_2_1 >= 1) and (WantSection_1_T >= 1)) or (((TestAlone_4_2_1 >= 1) and (WantSection_1_T >= 1)) or (((TestAlone_3_2_5 >= 1) and (WantSection_5_T >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_4_2_5 >= 1)) or (((TestAlone_6_2_5 >= 1) and (WantSection_5_T >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_0_2_6 >= 1)) or (((TestAlone_6_2_4 >= 1) and (WantSection_4_T >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_0_2_5 >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_1_2_5 >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_2_2_5 >= 1)) or (((TestAlone_1_2_4 >= 1) and (WantSection_4_T >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_2_2_4 >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_3_2_4 >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_5_2_4 >= 1)) or (((TestAlone_4_2_3 >= 1) and (WantSection_3_T >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_5_2_3 >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_6_2_3 >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_0_2_4 >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_3_3_1 >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_2_3_1 >= 1)) or (((TestAlone_5_3_1 >= 1) and (WantSection_1_T >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_4_3_1 >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_5_3_0 >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_4_3_0 >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_0_3_1 >= 1)) or (((TestAlone_6_3_0 >= 1) and (WantSection_0_T >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_1_3_0 >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_5_2_6 >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_3_3_0 >= 1)) or (((TestAlone_2_3_0 >= 1) and (WantSection_0_T >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_2_2_6 >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_1_2_6 >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_4_2_6 >= 1)) or (((TestAlone_3_2_6 >= 1) and (WantSection_6_T >= 1)) or (((TestAlone_1_3_4 >= 1) and (WantSection_4_T >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_2_3_4 >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_6_3_3 >= 1)) or (((TestAlone_0_3_4 >= 1) and (WantSection_4_T >= 1)) or (((TestAlone_4_3_3 >= 1) and (WantSection_3_T >= 1)) or (((TestAlone_5_3_3 >= 1) and (WantSection_3_T >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_1_3_3 >= 1)) or (((TestAlone_2_3_3 >= 1) and (WantSection_3_T >= 1)) or (((TestAlone_6_3_2 >= 1) and (WantSection_2_T >= 1)) or (((TestAlone_0_3_3 >= 1) and (WantSection_3_T >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_4_3_2 >= 1)) or (((TestAlone_5_3_2 >= 1) and (WantSection_2_T >= 1)) or (((TestAlone_1_3_2 >= 1) and (WantSection_2_T >= 1)) or (((TestAlone_3_3_2 >= 1) and (WantSection_2_T >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_6_3_1 >= 1)) or (((TestAlone_0_3_2 >= 1) and (WantSection_2_T >= 1)) or (((TestAlone_1_4_0 >= 1) and (WantSection_0_T >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_5_3_6 >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_4_3_6 >= 1)) or (((TestAlone_3_3_6 >= 1) and (WantSection_6_T >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_2_3_6 >= 1)) or (((TestAlone_1_3_6 >= 1) and (WantSection_6_T >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_0_3_6 >= 1)) or (((TestAlone_6_3_5 >= 1) and (WantSection_5_T >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_4_3_5 >= 1)) or (((TestAlone_3_3_5 >= 1) and (WantSection_5_T >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_2_3_5 >= 1)) or (((TestAlone_1_3_5 >= 1) and (WantSection_5_T >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_0_3_5 >= 1)) or (((TestAlone_6_3_4 >= 1) and (WantSection_4_T >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_5_3_4 >= 1)) or (((TestAlone_3_3_4 >= 1) and (WantSection_4_T >= 1)) or (((TestAlone_1_0_0 >= 1) and (WantSection_0_T >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_6_0_0 >= 1)) or (((TestAlone_0_0_1 >= 1) and (WantSection_1_T >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_2_0_1 >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_3_0_1 >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_2_0_0 >= 1)) or (((TestAlone_3_0_0 >= 1) and (WantSection_0_T >= 1)) or (((TestAlone_4_0_0 >= 1) and (WantSection_0_T >= 1)) or (((TestAlone_5_0_0 >= 1) and (WantSection_0_T >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_5_0_2 >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_4_0_2 >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_3_0_2 >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_1_0_2 >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_0_0_2 >= 1)) or (((TestAlone_6_0_1 >= 1) and (WantSection_1_T >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_5_0_1 >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_4_0_1 >= 1)) or (((TestAlone_0_0_4 >= 1) and (WantSection_4_T >= 1)) or (((TestAlone_6_0_3 >= 1) and (WantSection_3_T >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_5_0_3 >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_4_0_3 >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_2_0_3 >= 1)) or (((TestAlone_1_0_3 >= 1) and (WantSection_3_T >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_0_0_3 >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_6_0_2 >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_1_0_5 >= 1)) or (((TestAlone_2_0_5 >= 1) and (WantSection_5_T >= 1)) or (((TestAlone_6_0_4 >= 1) and (WantSection_4_T >= 1)) or (((TestAlone_0_0_5 >= 1) and (WantSection_5_T >= 1)) or (((TestAlone_3_0_4 >= 1) and (WantSection_4_T >= 1)) or (((TestAlone_5_0_4 >= 1) and (WantSection_4_T >= 1)) or (((TestAlone_1_0_4 >= 1) and (WantSection_4_T >= 1)) or (((TestAlone_2_0_4 >= 1) and (WantSection_4_T >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_3_0_6 >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_4_0_6 >= 1)) or (((TestAlone_1_0_6 >= 1) and (WantSection_6_T >= 1)) or (((TestAlone_2_0_6 >= 1) and (WantSection_6_T >= 1)) or (((TestAlone_6_0_5 >= 1) and (WantSection_5_T >= 1)) or (((TestAlone_0_0_6 >= 1) and (WantSection_6_T >= 1)) or (((TestAlone_3_0_5 >= 1) and (WantSection_5_T >= 1)) or ((TestAlone_4_0_5 >= 1) and (WantSection_5_T >= 1)))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))) or ((((TestTurn_0_1 >= 1) and (Turn_1_0 >= 1)) or (((Turn_1_1 >= 1) and (TestTurn_1_1 >= 1)) or (((TestTurn_2_1 >= 1) and (Turn_1_2 >= 1)) or (((TestTurn_3_1 >= 1) and (Turn_1_3 >= 1)) or (((TestTurn_3_0 >= 1) and (Turn_0_3 >= 1)) or (((Turn_0_4 >= 1) and (TestTurn_4_0 >= 1)) or (((TestTurn_5_0 >= 1) and (Turn_0_5 >= 1)) or (((TestTurn_6_0 >= 1) and (Turn_0_6 >= 1)) or (((TestTurn_0_0 >= 1) and (Turn_0_0 >= 1)) or (((TestTurn_1_0 >= 1) and (Turn_0_1 >= 1)) or (((TestTurn_2_0 >= 1) and (Turn_0_2 >= 1)) or (((TestTurn_6_1 >= 1) and (Turn_1_6 >= 1)) or (((TestTurn_0_2 >= 1) and (Turn_2_0 >= 1)) or (((TestTurn_4_1 >= 1) and (Turn_1_4 >= 1)) or (((Turn_1_5 >= 1) and (TestTurn_5_1 >= 1)) or (((Turn_2_3 >= 1) and (TestTurn_3_2 >= 1)) or (((Turn_2_4 >= 1) and (TestTurn_4_2 >= 1)) or (((TestTurn_1_2 >= 1) and (Turn_2_1 >= 1)) or (((TestTurn_2_2 >= 1) and (Turn_2_2 >= 1)) or (((Turn_3_0 >= 1) and (TestTurn_0_3 >= 1)) or (((Turn_3_1 >= 1) and (TestTurn_1_3 >= 1)) or (((Turn_2_5 >= 1) and (TestTurn_5_2 >= 1)) or (((Turn_2_6 >= 1) and (TestTurn_6_2 >= 1)) or (((Turn_3_4 >= 1) and (TestTurn_4_3 >= 1)) or (((Turn_3_5 >= 1) and (TestTurn_5_3 >= 1)) or (((Turn_3_2 >= 1) and (TestTurn_2_3 >= 1)) or (((Turn_3_3 >= 1) and (TestTurn_3_3 >= 1)) or (((Turn_4_2 >= 1) and (TestTurn_2_4 >= 1)) or (((Turn_4_1 >= 1) and (TestTurn_1_4 >= 1)) or (((Turn_4_0 >= 1) and (TestTurn_0_4 >= 1)) or (((Turn_3_6 >= 1) and (TestTurn_6_3 >= 1)) or (((Turn_4_6 >= 1) and (TestTurn_6_4 >= 1)) or (((TestTurn_5_4 >= 1) and (Turn_4_5 >= 1)) or (((TestTurn_4_4 >= 1) and (Turn_4_4 >= 1)) or (((Turn_4_3 >= 1) and (TestTurn_3_4 >= 1)) or (((Turn_5_3 >= 1) and (TestTurn_3_5 >= 1)) or (((TestTurn_2_5 >= 1) and (Turn_5_2 >= 1)) or (((Turn_5_1 >= 1) and (TestTurn_1_5 >= 1)) or (((TestTurn_0_5 >= 1) and (Turn_5_0 >= 1)) or (((TestTurn_6_5 >= 1) and (Turn_5_6 >= 1)) or (((Turn_5_5 >= 1) and (TestTurn_5_5 >= 1)) or ((TestTurn_4_5 >= 1) and (Turn_5_4 >= 1))))))))))))))))))))))))))))))))))))))))))) or (((Idle_0 >= 1) and (WantSection_0_F >= 1)) or (((WantSection_1_F >= 1) and (Idle_1 >= 1)) or (((Idle_2 >= 1) and (WantSection_2_F >= 1)) or (((Idle_3 >= 1) and (WantSection_3_F >= 1)) or (((Idle_4 >= 1) and (WantSection_4_F >= 1)) or (((WantSection_5_F >= 1) and (Idle_5 >= 1)) or ((Idle_6 >= 1) and (WantSection_6_F >= 1))))))))))))
Query after reduction: EF ((((WantSection_6_F >= 1) and (TestAlone_4_3_6 >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_3_3_6 >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_1_4_0 >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_5_3_6 >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_3_4_0 >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_2_4_0 >= 1)) or (((TestAlone_5_4_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_4_4_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_0_4_1 >= 1) and (WantSection_1_F >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_6_4_0 >= 1)) or (((TestAlone_3_4_1 >= 1) and (WantSection_1_F >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_2_4_1 >= 1)) or (((TestAlone_5_4_1 >= 1) and (WantSection_1_F >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_4_4_1 >= 1)) or (((TestAlone_0_4_2 >= 1) and (WantSection_2_F >= 1)) or (((TestAlone_6_4_1 >= 1) and (WantSection_1_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_1_4_2 >= 1)) or (((TestAlone_3_4_2 >= 1) and (WantSection_2_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_4_4_2 >= 1)) or (((TestAlone_5_4_2 >= 1) and (WantSection_2_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_6_4_2 >= 1)) or (((TestAlone_0_4_3 >= 1) and (WantSection_3_F >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_1_4_3 >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_2_4_3 >= 1)) or (((TestAlone_4_4_3 >= 1) and (WantSection_3_F >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_5_4_3 >= 1)) or (((TestAlone_6_4_3 >= 1) and (WantSection_3_F >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_0_4_4 >= 1)) or (((TestAlone_1_4_4 >= 1) and (WantSection_4_F >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_2_4_4 >= 1)) or (((TestAlone_3_4_4 >= 1) and (WantSection_4_F >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_5_4_4 >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_2_4_5 >= 1)) or (((TestAlone_1_4_5 >= 1) and (WantSection_5_F >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_0_4_5 >= 1)) or (((TestAlone_6_4_4 >= 1) and (WantSection_4_F >= 1)) or (((TestAlone_0_4_6 >= 1) and (WantSection_6_F >= 1)) or (((TestAlone_6_4_5 >= 1) and (WantSection_5_F >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_4_4_5 >= 1)) or (((TestAlone_3_4_5 >= 1) and (WantSection_5_F >= 1)) or (((TestAlone_4_4_6 >= 1) and (WantSection_6_F >= 1)) or (((TestAlone_3_4_6 >= 1) and (WantSection_6_F >= 1)) or (((TestAlone_2_4_6 >= 1) and (WantSection_6_F >= 1)) or (((TestAlone_1_4_6 >= 1) and (WantSection_6_F >= 1)) or (((TestAlone_3_5_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_2_5_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_1_5_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_5_4_6 >= 1) and (WantSection_6_F >= 1)) or (((TestAlone_6_5_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_0_5_1 >= 1) and (WantSection_1_F >= 1)) or (((TestAlone_4_5_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_5_5_0 >= 1) and (WantSection_0_F >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_4_5_1 >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_5_5_1 >= 1)) or (((TestAlone_2_5_1 >= 1) and (WantSection_1_F >= 1)) or (((TestAlone_3_5_1 >= 1) and (WantSection_1_F >= 1)) or (((TestAlone_1_5_2 >= 1) and (WantSection_2_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_3_5_2 >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_6_5_1 >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_0_5_2 >= 1)) or (((TestAlone_6_5_2 >= 1) and (WantSection_2_F >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_0_5_3 >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_4_5_2 >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_5_5_2 >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_0_5_4 >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_6_5_3 >= 1)) or (((TestAlone_2_5_4 >= 1) and (WantSection_4_F >= 1)) or (((TestAlone_1_5_4 >= 1) and (WantSection_4_F >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_2_5_3 >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_1_5_3 >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_5_5_3 >= 1)) or (((TestAlone_4_5_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_2_5_5 >= 1) and (WantSection_5_F >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_1_5_5 >= 1)) or (((TestAlone_4_5_5 >= 1) and (WantSection_5_F >= 1)) or (((TestAlone_3_5_5 >= 1) and (WantSection_5_F >= 1)) or (((TestAlone_5_5_4 >= 1) and (WantSection_4_F >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_3_5_4 >= 1)) or (((TestAlone_0_5_5 >= 1) and (WantSection_5_F >= 1)) or (((TestAlone_6_5_4 >= 1) and (WantSection_4_F >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_3_5_6 >= 1)) or (((TestAlone_4_5_6 >= 1) and (WantSection_6_F >= 1)) or (((TestAlone_5_5_6 >= 1) and (WantSection_6_F >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_6_5_5 >= 1)) or (((TestAlone_0_5_6 >= 1) and (WantSection_6_F >= 1)) or (((TestAlone_1_5_6 >= 1) and (WantSection_6_F >= 1)) or (((TestAlone_2_5_6 >= 1) and (WantSection_6_F >= 1)) or (((TestAlone_5_1_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_4_1_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_2_1_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_1_1_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_2_1_4 >= 1) and (WantSection_4_F >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_1_1_4 >= 1)) or (((TestAlone_0_1_4 >= 1) and (WantSection_4_F >= 1)) or (((TestAlone_6_1_3 >= 1) and (WantSection_3_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_3_1_2 >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_1_1_2 >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_0_1_2 >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_6_1_1 >= 1)) or (((TestAlone_0_1_3 >= 1) and (WantSection_3_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_6_1_2 >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_5_1_2 >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_4_1_2 >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_6_1_0 >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_0_1_1 >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_4_1_0 >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_5_1_0 >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_4_1_1 >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_5_1_1 >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_2_1_1 >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_3_1_1 >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_3_0_6 >= 1)) or (((TestAlone_4_0_6 >= 1) and (WantSection_6_F >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_1_0_6 >= 1)) or (((TestAlone_2_0_6 >= 1) and (WantSection_6_F >= 1)) or (((TestAlone_2_1_0 >= 1) and (WantSection_0_F >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_3_1_0 >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_5_0_6 >= 1)) or (((TestAlone_1_1_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_5_2_1 >= 1) and (WantSection_1_F >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_4_2_1 >= 1)) or (((TestAlone_0_2_2 >= 1) and (WantSection_2_F >= 1)) or (((TestAlone_6_2_1 >= 1) and (WantSection_1_F >= 1)) or (((TestAlone_3_2_2 >= 1) and (WantSection_2_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_1_2_2 >= 1)) or (((TestAlone_5_2_2 >= 1) and (WantSection_2_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_4_2_2 >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_3_2_0 >= 1)) or (((TestAlone_2_2_0 >= 1) and (WantSection_0_F >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_5_2_0 >= 1)) or (((TestAlone_4_2_0 >= 1) and (WantSection_0_F >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_0_2_1 >= 1)) or (((TestAlone_6_2_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_3_2_1 >= 1) and (WantSection_1_F >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_2_2_1 >= 1)) or (((TestAlone_6_1_5 >= 1) and (WantSection_5_F >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_0_1_6 >= 1)) or (((TestAlone_1_1_6 >= 1) and (WantSection_6_F >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_2_1_6 >= 1)) or (((TestAlone_3_1_6 >= 1) and (WantSection_6_F >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_4_1_6 >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_5_1_6 >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_1_2_0 >= 1)) or (((TestAlone_3_1_4 >= 1) and (WantSection_4_F >= 1)) or (((TestAlone_5_1_4 >= 1) and (WantSection_4_F >= 1)) or (((TestAlone_6_1_4 >= 1) and (WantSection_4_F >= 1)) or (((TestAlone_0_1_5 >= 1) and (WantSection_5_F >= 1)) or (((TestAlone_1_1_5 >= 1) and (WantSection_5_F >= 1)) or (((TestAlone_2_1_5 >= 1) and (WantSection_5_F >= 1)) or (((TestAlone_3_1_5 >= 1) and (WantSection_5_F >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_4_1_5 >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_0_3_1 >= 1)) or (((TestAlone_6_3_0 >= 1) and (WantSection_0_F >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_5_3_0 >= 1)) or (((TestAlone_4_3_0 >= 1) and (WantSection_0_F >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_3_3_0 >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_2_3_0 >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_1_3_0 >= 1)) or (((TestAlone_5_2_6 >= 1) and (WantSection_6_F >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_4_2_6 >= 1)) or (((TestAlone_3_2_6 >= 1) and (WantSection_6_F >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_2_2_6 >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_1_2_6 >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_0_2_6 >= 1)) or (((TestAlone_6_2_5 >= 1) and (WantSection_5_F >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_4_2_5 >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_3_2_5 >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_1_2_5 >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_2_2_5 >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_6_2_4 >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_0_2_5 >= 1)) or (((TestAlone_3_2_4 >= 1) and (WantSection_4_F >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_5_2_4 >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_1_2_4 >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_2_2_4 >= 1)) or (((TestAlone_6_2_3 >= 1) and (WantSection_3_F >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_0_2_4 >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_4_2_3 >= 1)) or (((TestAlone_5_2_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_1_2_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_2_2_3 >= 1) and (WantSection_3_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_6_2_2 >= 1)) or (((TestAlone_0_2_3 >= 1) and (WantSection_3_F >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_0_3_6 >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_6_3_5 >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_2_3_6 >= 1)) or (((TestAlone_1_3_6 >= 1) and (WantSection_6_F >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_2_3_5 >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_1_3_5 >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_4_3_5 >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_3_3_5 >= 1)) or (((TestAlone_5_3_4 >= 1) and (WantSection_4_F >= 1)) or (((TestAlone_3_3_4 >= 1) and (WantSection_4_F >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_0_3_5 >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_6_3_4 >= 1)) or (((TestAlone_0_3_4 >= 1) and (WantSection_4_F >= 1)) or (((TestAlone_6_3_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_2_3_4 >= 1) and (WantSection_4_F >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_1_3_4 >= 1)) or (((TestAlone_1_3_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_2_3_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_4_3_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_5_3_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_4_3_2 >= 1) and (WantSection_2_F >= 1)) or (((TestAlone_5_3_2 >= 1) and (WantSection_2_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_6_3_2 >= 1)) or (((TestAlone_0_3_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_6_3_1 >= 1) and (WantSection_1_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_0_3_2 >= 1)) or (((TestAlone_1_3_2 >= 1) and (WantSection_2_F >= 1)) or (((TestAlone_3_3_2 >= 1) and (WantSection_2_F >= 1)) or (((TestAlone_2_3_1 >= 1) and (WantSection_1_F >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_3_3_1 >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_4_3_1 >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_5_3_1 >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_4_0_0 >= 1)) or (((TestAlone_5_0_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_2_0_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_3_0_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_1_0_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_1_0_4 >= 1) and (WantSection_4_F >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_2_0_4 >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_3_0_4 >= 1)) or (((TestAlone_5_0_4 >= 1) and (WantSection_4_F >= 1)) or (((TestAlone_4_0_3 >= 1) and (WantSection_3_F >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_5_0_3 >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_6_0_3 >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_0_0_4 >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_3_0_5 >= 1)) or (((TestAlone_4_0_5 >= 1) and (WantSection_5_F >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_6_0_5 >= 1)) or (((TestAlone_0_0_6 >= 1) and (WantSection_6_F >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_6_0_4 >= 1)) or (((TestAlone_0_0_5 >= 1) and (WantSection_5_F >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_1_0_5 >= 1)) or (((TestAlone_2_0_5 >= 1) and (WantSection_5_F >= 1)) or (((TestAlone_5_0_1 >= 1) and (WantSection_1_F >= 1)) or (((TestAlone_4_0_1 >= 1) and (WantSection_1_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_0_0_2 >= 1)) or (((TestAlone_6_0_1 >= 1) and (WantSection_1_F >= 1)) or (((TestAlone_0_0_1 >= 1) and (WantSection_1_F >= 1)) or (((TestAlone_6_0_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_3_0_1 >= 1) and (WantSection_1_F >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_2_0_1 >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_0_0_3 >= 1)) or (((TestAlone_6_0_2 >= 1) and (WantSection_2_F >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_2_0_3 >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_1_0_3 >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_3_0_2 >= 1)) or (((TestAlone_1_0_2 >= 1) and (WantSection_2_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_5_0_2 >= 1)) or ((WantSection_2_F >= 1) and (TestAlone_4_0_2 >= 1))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))) and ((((BeginLoop_6_5_6 < 1) and ((BeginLoop_0_5_6 < 1) and ((BeginLoop_1_5_6 < 1) and ((BeginLoop_5_5_5 < 1) and ((BeginLoop_6_5_5 < 1) and ((BeginLoop_4_5_6 < 1) and ((BeginLoop_5_5_6 < 1) and ((BeginLoop_2_5_6 < 1) and ((BeginLoop_3_5_6 < 1) and ((BeginLoop_0_5_5 < 1) and ((BeginLoop_6_5_4 < 1) and ((BeginLoop_5_5_4 < 1) and ((BeginLoop_4_5_4 < 1) and ((BeginLoop_4_5_5 < 1) and ((BeginLoop_3_5_5 < 1) and ((BeginLoop_2_5_5 < 1) and ((BeginLoop_1_5_5 < 1) and ((BeginLoop_6_5_3 < 1) and ((BeginLoop_5_5_3 < 1) and ((BeginLoop_4_5_3 < 1) and ((BeginLoop_3_5_3 < 1) and ((BeginLoop_3_5_4 < 1) and ((BeginLoop_2_5_4 < 1) and ((BeginLoop_1_5_4 < 1) and ((BeginLoop_0_5_4 < 1) and ((BeginLoop_2_5_2 < 1) and ((BeginLoop_3_5_2 < 1) and ((BeginLoop_4_5_2 < 1) and ((BeginLoop_5_5_2 < 1) and ((BeginLoop_6_5_2 < 1) and ((BeginLoop_0_5_3 < 1) and ((BeginLoop_1_5_3 < 1) and ((BeginLoop_2_5_3 < 1) and ((BeginLoop_1_5_1 < 1) and ((BeginLoop_2_5_1 < 1) and ((BeginLoop_3_5_1 < 1) and ((BeginLoop_4_5_1 < 1) and ((BeginLoop_5_5_1 < 1) and ((BeginLoop_6_5_1 < 1) and ((BeginLoop_0_5_2 < 1) and ((BeginLoop_1_5_2 < 1) and ((BeginLoop_1_5_0 < 1) and ((BeginLoop_0_5_0 < 1) and ((BeginLoop_3_5_0 < 1) and ((BeginLoop_2_5_0 < 1) and ((BeginLoop_5_5_0 < 1) and ((BeginLoop_4_5_0 < 1) and ((BeginLoop_0_5_1 < 1) and ((BeginLoop_6_5_0 < 1) and ((BeginLoop_0_4_6 < 1) and ((BeginLoop_6_4_5 < 1) and ((BeginLoop_2_4_6 < 1) and ((BeginLoop_1_4_6 < 1) and ((BeginLoop_4_4_6 < 1) and ((BeginLoop_3_4_6 < 1) and ((BeginLoop_6_4_6 < 1) and ((BeginLoop_5_4_6 < 1) and ((BeginLoop_4_4_5 < 1) and ((BeginLoop_5_4_5 < 1) and ((BeginLoop_2_4_5 < 1) and ((BeginLoop_3_4_5 < 1) and ((BeginLoop_0_4_5 < 1) and ((BeginLoop_1_4_5 < 1) and ((BeginLoop_5_4_4 < 1) and ((BeginLoop_6_4_4 < 1) and ((BeginLoop_3_4_4 < 1) and ((BeginLoop_4_4_4 < 1) and ((BeginLoop_1_4_4 < 1) and ((BeginLoop_2_4_4 < 1) and ((BeginLoop_6_4_3 < 1) and ((BeginLoop_0_4_4 < 1) and ((BeginLoop_4_4_3 < 1) and ((BeginLoop_5_4_3 < 1) and ((BeginLoop_3_4_3 < 1) and ((BeginLoop_2_4_3 < 1) and ((BeginLoop_1_4_3 < 1) and ((BeginLoop_0_4_3 < 1) and ((BeginLoop_6_4_2 < 1) and ((BeginLoop_5_4_2 < 1) and ((BeginLoop_4_4_2 < 1) and ((BeginLoop_3_4_2 < 1) and ((BeginLoop_2_4_2 < 1) and ((BeginLoop_1_4_2 < 1) and ((BeginLoop_0_4_2 < 1) and ((BeginLoop_6_4_1 < 1) and ((BeginLoop_5_4_1 < 1) and ((BeginLoop_4_4_1 < 1) and ((BeginLoop_3_4_1 < 1) and ((BeginLoop_2_4_1 < 1) and ((BeginLoop_5_4_0 < 1) and ((BeginLoop_6_4_0 < 1) and ((BeginLoop_0_4_1 < 1) and ((BeginLoop_1_4_1 < 1) and ((BeginLoop_1_4_0 < 1) and ((BeginLoop_2_4_0 < 1) and ((BeginLoop_3_4_0 < 1) and ((BeginLoop_4_4_0 < 1) and ((BeginLoop_4_3_6 < 1) and ((BeginLoop_5_3_6 < 1) and ((BeginLoop_6_3_6 < 1) and ((BeginLoop_0_4_0 < 1) and ((BeginLoop_0_3_6 < 1) and ((BeginLoop_1_3_6 < 1) and ((BeginLoop_2_3_6 < 1) and ((BeginLoop_3_3_6 < 1) and ((BeginLoop_4_3_5 < 1) and ((BeginLoop_3_3_5 < 1) and ((BeginLoop_6_3_5 < 1) and ((BeginLoop_5_3_5 < 1) and (not ((BeginLoop_0_3_5 >= 1) or ((BeginLoop_6_3_4 >= 1) or ((BeginLoop_2_3_5 >= 1) or ((BeginLoop_1_3_5 >= 1) or ((BeginLoop_3_3_4 >= 1) or ((BeginLoop_2_3_4 >= 1) or ((BeginLoop_5_3_4 >= 1) or ((BeginLoop_4_3_4 >= 1) or ((BeginLoop_6_3_3 >= 1) or ((BeginLoop_5_3_3 >= 1) or ((BeginLoop_1_3_4 >= 1) or ((BeginLoop_0_3_4 >= 1) or ((BeginLoop_1_0_3 >= 1) or ((BeginLoop_0_0_3 >= 1) or ((BeginLoop_3_0_3 >= 1) or ((BeginLoop_2_0_3 >= 1) or ((BeginLoop_5_0_3 >= 1) or ((BeginLoop_4_0_3 >= 1) or ((BeginLoop_0_0_4 >= 1) or ((BeginLoop_6_0_3 >= 1) or ((BeginLoop_0_0_2 >= 1) or ((BeginLoop_6_0_1 >= 1) or ((BeginLoop_2_0_2 >= 1) or ((BeginLoop_1_0_2 >= 1) or ((BeginLoop_4_0_2 >= 1) or ((BeginLoop_3_0_2 >= 1) or ((BeginLoop_6_0_2 >= 1) or ((BeginLoop_5_0_2 >= 1) or ((BeginLoop_2_0_5 >= 1) or ((BeginLoop_3_0_5 >= 1) or ((BeginLoop_4_0_5 >= 1) or ((BeginLoop_5_0_5 >= 1) or ((BeginLoop_6_0_5 >= 1) or ((BeginLoop_0_0_6 >= 1) or ((BeginLoop_1_0_6 >= 1) or ((BeginLoop_2_0_6 >= 1) or ((BeginLoop_1_0_4 >= 1) or ((BeginLoop_2_0_4 >= 1) or ((BeginLoop_3_0_4 >= 1) or ((BeginLoop_4_0_4 >= 1) or ((BeginLoop_5_0_4 >= 1) or ((BeginLoop_6_0_4 >= 1) or ((BeginLoop_0_0_5 >= 1) or ((BeginLoop_1_0_5 >= 1) or ((BeginLoop_0_0_1 >= 1) or ((BeginLoop_1_0_1 >= 1) or ((BeginLoop_5_0_0 >= 1) or ((BeginLoop_6_0_0 >= 1) or ((BeginLoop_4_0_1 >= 1) or ((BeginLoop_5_0_1 >= 1) or ((BeginLoop_2_0_1 >= 1) or ((BeginLoop_3_0_1 >= 1) or ((BeginLoop_0_0_0 >= 1) or ((BeginLoop_3_0_0 >= 1) or ((BeginLoop_4_0_0 >= 1) or ((BeginLoop_1_0_0 >= 1) or ((BeginLoop_2_0_0 >= 1) or ((BeginLoop_1_2_6 >= 1) or ((BeginLoop_2_2_6 >= 1) or ((BeginLoop_3_2_6 >= 1) or ((BeginLoop_4_2_6 >= 1) or ((BeginLoop_5_2_6 >= 1) or ((BeginLoop_6_2_6 >= 1) or ((BeginLoop_0_3_0 >= 1) or ((BeginLoop_1_3_0 >= 1) or ((BeginLoop_2_3_0 >= 1) or ((BeginLoop_3_3_0 >= 1) or ((BeginLoop_4_3_0 >= 1) or ((BeginLoop_5_3_0 >= 1) or ((BeginLoop_6_3_0 >= 1) or ((BeginLoop_0_3_1 >= 1) or ((BeginLoop_1_3_1 >= 1) or ((BeginLoop_2_3_1 >= 1) or ((BeginLoop_4_3_1 >= 1) or ((BeginLoop_3_3_1 >= 1) or ((BeginLoop_6_3_1 >= 1) or ((BeginLoop_5_3_1 >= 1) or ((BeginLoop_1_3_2 >= 1) or ((BeginLoop_0_3_2 >= 1) or ((BeginLoop_3_3_2 >= 1) or ((BeginLoop_2_3_2 >= 1) or ((BeginLoop_5_3_2 >= 1) or ((BeginLoop_4_3_2 >= 1) or ((BeginLoop_0_3_3 >= 1) or ((BeginLoop_6_3_2 >= 1) or ((BeginLoop_2_3_3 >= 1) or ((BeginLoop_1_3_3 >= 1) or ((BeginLoop_4_3_3 >= 1) or ((BeginLoop_3_3_3 >= 1) or ((BeginLoop_6_2_1 >= 1) or ((BeginLoop_0_2_2 >= 1) or ((BeginLoop_4_2_1 >= 1) or ((BeginLoop_5_2_1 >= 1) or ((BeginLoop_3_2_2 >= 1) or ((BeginLoop_4_2_2 >= 1) or ((BeginLoop_1_2_2 >= 1) or ((BeginLoop_2_2_2 >= 1) or ((BeginLoop_0_2_3 >= 1) or ((BeginLoop_1_2_3 >= 1) or ((BeginLoop_5_2_2 >= 1) or ((BeginLoop_6_2_2 >= 1) or ((BeginLoop_4_2_3 >= 1) or ((BeginLoop_5_2_3 >= 1) or ((BeginLoop_2_2_3 >= 1) or ((BeginLoop_3_2_3 >= 1) or ((BeginLoop_2_2_4 >= 1) or ((BeginLoop_1_2_4 >= 1) or ((BeginLoop_0_2_4 >= 1) or ((BeginLoop_6_2_3 >= 1) or ((BeginLoop_6_2_4 >= 1) or ((BeginLoop_5_2_4 >= 1) or ((BeginLoop_4_2_4 >= 1) or ((BeginLoop_3_2_4 >= 1) or ((BeginLoop_3_2_5 >= 1) or ((BeginLoop_2_2_5 >= 1) or ((BeginLoop_1_2_5 >= 1) or ((BeginLoop_0_2_5 >= 1) or ((BeginLoop_0_2_6 >= 1) or ((BeginLoop_6_2_5 >= 1) or ((BeginLoop_5_2_5 >= 1) or ((BeginLoop_4_2_5 >= 1) or ((BeginLoop_4_1_4 >= 1) or ((BeginLoop_5_1_4 >= 1) or ((BeginLoop_6_1_4 >= 1) or ((BeginLoop_0_1_5 >= 1) or ((BeginLoop_0_1_4 >= 1) or ((BeginLoop_1_1_4 >= 1) or ((BeginLoop_2_1_4 >= 1) or ((BeginLoop_3_1_4 >= 1) or ((BeginLoop_5_1_5 >= 1) or ((BeginLoop_6_1_5 >= 1) or ((BeginLoop_0_1_6 >= 1) or ((BeginLoop_1_1_6 >= 1) or ((BeginLoop_1_1_5 >= 1) or ((BeginLoop_2_1_5 >= 1) or ((BeginLoop_3_1_5 >= 1) or ((BeginLoop_4_1_5 >= 1) or ((BeginLoop_0_2_0 >= 1) or ((BeginLoop_6_1_6 >= 1) or ((BeginLoop_2_2_0 >= 1) or ((BeginLoop_1_2_0 >= 1) or ((BeginLoop_3_1_6 >= 1) or ((BeginLoop_2_1_6 >= 1) or ((BeginLoop_5_1_6 >= 1) or ((BeginLoop_4_1_6 >= 1) or ((BeginLoop_1_2_1 >= 1) or ((BeginLoop_0_2_1 >= 1) or ((BeginLoop_3_2_1 >= 1) or ((BeginLoop_2_2_1 >= 1) or ((BeginLoop_4_2_0 >= 1) or ((BeginLoop_3_2_0 >= 1) or ((BeginLoop_6_2_0 >= 1) or ((BeginLoop_5_2_0 >= 1) or ((BeginLoop_2_1_0 >= 1) or ((BeginLoop_3_1_0 >= 1) or ((BeginLoop_0_1_0 >= 1) or ((BeginLoop_1_1_0 >= 1) or ((BeginLoop_5_0_6 >= 1) or ((BeginLoop_6_0_6 >= 1) or ((BeginLoop_3_0_6 >= 1) or ((BeginLoop_4_0_6 >= 1) or ((BeginLoop_3_1_1 >= 1) or ((BeginLoop_4_1_1 >= 1) or ((BeginLoop_1_1_1 >= 1) or ((BeginLoop_2_1_1 >= 1) or ((BeginLoop_6_1_0 >= 1) or ((BeginLoop_0_1_1 >= 1) or ((BeginLoop_4_1_0 >= 1) or ((BeginLoop_5_1_0 >= 1) or ((BeginLoop_5_1_2 >= 1) or ((BeginLoop_4_1_2 >= 1) or ((BeginLoop_3_1_2 >= 1) or ((BeginLoop_2_1_2 >= 1) or ((BeginLoop_1_1_2 >= 1) or ((BeginLoop_0_1_2 >= 1) or ((BeginLoop_6_1_1 >= 1) or ((BeginLoop_5_1_1 >= 1) or ((BeginLoop_6_1_3 >= 1) or ((BeginLoop_5_1_3 >= 1) or ((BeginLoop_4_1_3 >= 1) or ((BeginLoop_3_1_3 >= 1) or ((BeginLoop_2_1_3 >= 1) or ((BeginLoop_1_1_3 >= 1) or ((BeginLoop_0_1_3 >= 1) or (BeginLoop_6_1_2 >= 1))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))) or (not (((TestAlone_4_5_5 >= 1) and (WantSection_5_T >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_3_5_5 >= 1)) or (((TestAlone_2_5_5 >= 1) and (WantSection_5_T >= 1)) or (((TestAlone_1_5_5 >= 1) and (WantSection_5_T >= 1)) or (((TestAlone_2_5_6 >= 1) and (WantSection_6_T >= 1)) or (((TestAlone_1_5_6 >= 1) and (WantSection_6_T >= 1)) or (((TestAlone_0_5_6 >= 1) and (WantSection_6_T >= 1)) or (((TestAlone_6_5_5 >= 1) and (WantSection_5_T >= 1)) or (((TestAlone_2_5_4 >= 1) and (WantSection_4_T >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_1_5_4 >= 1)) or (((TestAlone_0_5_4 >= 1) and (WantSection_4_T >= 1)) or (((TestAlone_6_5_3 >= 1) and (WantSection_3_T >= 1)) or (((TestAlone_0_5_5 >= 1) and (WantSection_5_T >= 1)) or (((TestAlone_6_5_4 >= 1) and (WantSection_4_T >= 1)) or (((TestAlone_5_5_4 >= 1) and (WantSection_4_T >= 1)) or (((TestAlone_3_5_4 >= 1) and (WantSection_4_T >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_5_5_6 >= 1)) or (((TestAlone_3_5_6 >= 1) and (WantSection_6_T >= 1)) or (((TestAlone_4_5_6 >= 1) and (WantSection_6_T >= 1)) or (((TestAlone_5_5_0 >= 1) and (WantSection_0_T >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_4_5_0 >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_0_5_1 >= 1)) or (((TestAlone_6_5_0 >= 1) and (WantSection_0_T >= 1)) or (((TestAlone_1_5_0 >= 1) and (WantSection_0_T >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_5_4_6 >= 1)) or (((TestAlone_3_5_0 >= 1) and (WantSection_0_T >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_2_5_0 >= 1)) or (((TestAlone_2_4_6 >= 1) and (WantSection_6_T >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_1_4_6 >= 1)) or (((TestAlone_4_4_6 >= 1) and (WantSection_6_T >= 1)) or (((TestAlone_3_4_6 >= 1) and (WantSection_6_T >= 1)) or (((TestAlone_4_4_5 >= 1) and (WantSection_5_T >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_3_4_5 >= 1)) or (((TestAlone_0_4_6 >= 1) and (WantSection_6_T >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_6_4_5 >= 1)) or (((TestAlone_1_5_3 >= 1) and (WantSection_3_T >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_2_5_3 >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_4_5_3 >= 1)) or (((TestAlone_5_5_3 >= 1) and (WantSection_3_T >= 1)) or (((TestAlone_4_5_2 >= 1) and (WantSection_2_T >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_5_5_2 >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_6_5_2 >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_0_5_3 >= 1)) or (((TestAlone_6_5_1 >= 1) and (WantSection_1_T >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_0_5_2 >= 1)) or (((TestAlone_1_5_2 >= 1) and (WantSection_2_T >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_3_5_2 >= 1)) or (((TestAlone_2_5_1 >= 1) and (WantSection_1_T >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_3_5_1 >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_4_5_1 >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_5_5_1 >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_5_4_2 >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_4_4_2 >= 1)) or (((TestAlone_3_4_2 >= 1) and (WantSection_2_T >= 1)) or (((TestAlone_1_4_2 >= 1) and (WantSection_2_T >= 1)) or (((TestAlone_0_4_2 >= 1) and (WantSection_2_T >= 1)) or (((TestAlone_6_4_1 >= 1) and (WantSection_1_T >= 1)) or (((TestAlone_5_4_1 >= 1) and (WantSection_1_T >= 1)) or (((TestAlone_4_4_1 >= 1) and (WantSection_1_T >= 1)) or (((TestAlone_3_4_1 >= 1) and (WantSection_1_T >= 1)) or (((TestAlone_2_4_1 >= 1) and (WantSection_1_T >= 1)) or (((TestAlone_0_4_1 >= 1) and (WantSection_1_T >= 1)) or (((TestAlone_6_4_0 >= 1) and (WantSection_0_T >= 1)) or (((TestAlone_5_4_0 >= 1) and (WantSection_0_T >= 1)) or (((TestAlone_4_4_0 >= 1) and (WantSection_0_T >= 1)) or (((TestAlone_3_4_0 >= 1) and (WantSection_0_T >= 1)) or (((TestAlone_2_4_0 >= 1) and (WantSection_0_T >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_1_4_5 >= 1)) or (((TestAlone_2_4_5 >= 1) and (WantSection_5_T >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_6_4_4 >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_0_4_5 >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_3_4_4 >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_5_4_4 >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_1_4_4 >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_2_4_4 >= 1)) or (((TestAlone_6_4_3 >= 1) and (WantSection_3_T >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_0_4_4 >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_4_4_3 >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_5_4_3 >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_1_4_3 >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_2_4_3 >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_6_4_2 >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_0_4_3 >= 1)) or (((TestAlone_2_1_1 >= 1) and (WantSection_1_T >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_3_1_1 >= 1)) or (((TestAlone_4_1_1 >= 1) and (WantSection_1_T >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_5_1_1 >= 1)) or (((TestAlone_6_1_1 >= 1) and (WantSection_1_T >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_0_1_2 >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_1_1_2 >= 1)) or (((TestAlone_3_1_2 >= 1) and (WantSection_2_T >= 1)) or (((TestAlone_5_0_6 >= 1) and (WantSection_6_T >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_1_1_0 >= 1)) or (((TestAlone_2_1_0 >= 1) and (WantSection_0_T >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_3_1_0 >= 1)) or (((TestAlone_4_1_0 >= 1) and (WantSection_0_T >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_5_1_0 >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_6_1_0 >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_0_1_1 >= 1)) or (((TestAlone_0_1_4 >= 1) and (WantSection_4_T >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_6_1_3 >= 1)) or (((TestAlone_2_1_4 >= 1) and (WantSection_4_T >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_1_1_4 >= 1)) or (((TestAlone_5_1_4 >= 1) and (WantSection_4_T >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_3_1_4 >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_0_1_5 >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_6_1_4 >= 1)) or (((TestAlone_5_1_2 >= 1) and (WantSection_2_T >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_4_1_2 >= 1)) or (((TestAlone_0_1_3 >= 1) and (WantSection_3_T >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_6_1_2 >= 1)) or (((TestAlone_2_1_3 >= 1) and (WantSection_3_T >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_1_1_3 >= 1)) or (((TestAlone_5_1_3 >= 1) and (WantSection_3_T >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_4_1_3 >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_5_1_6 >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_1_2_0 >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_3_1_6 >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_4_1_6 >= 1)) or (((TestAlone_4_2_0 >= 1) and (WantSection_0_T >= 1)) or (((TestAlone_5_2_0 >= 1) and (WantSection_0_T >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_2_2_0 >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_3_2_0 >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_3_1_5 >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_4_1_5 >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_1_1_5 >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_2_1_5 >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_1_1_6 >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_2_1_6 >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_6_1_5 >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_0_1_6 >= 1)) or (((TestAlone_5_2_2 >= 1) and (WantSection_2_T >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_4_2_2 >= 1)) or (((TestAlone_3_2_2 >= 1) and (WantSection_2_T >= 1)) or (((TestAlone_1_2_2 >= 1) and (WantSection_2_T >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_2_2_3 >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_1_2_3 >= 1)) or (((TestAlone_0_2_3 >= 1) and (WantSection_3_T >= 1)) or (((TestAlone_6_2_2 >= 1) and (WantSection_2_T >= 1)) or (((TestAlone_3_2_1 >= 1) and (WantSection_1_T >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_2_2_1 >= 1)) or (((TestAlone_0_2_1 >= 1) and (WantSection_1_T >= 1)) or (((TestAlone_6_2_0 >= 1) and (WantSection_0_T >= 1)) or (((TestAlone_0_2_2 >= 1) and (WantSection_2_T >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_6_2_1 >= 1)) or (((TestAlone_5_2_1 >= 1) and (WantSection_1_T >= 1)) or (((TestAlone_4_2_1 >= 1) and (WantSection_1_T >= 1)) or (((TestAlone_3_2_5 >= 1) and (WantSection_5_T >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_4_2_5 >= 1)) or (((TestAlone_6_2_5 >= 1) and (WantSection_5_T >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_0_2_6 >= 1)) or (((TestAlone_6_2_4 >= 1) and (WantSection_4_T >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_0_2_5 >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_1_2_5 >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_2_2_5 >= 1)) or (((TestAlone_1_2_4 >= 1) and (WantSection_4_T >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_2_2_4 >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_3_2_4 >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_5_2_4 >= 1)) or (((TestAlone_4_2_3 >= 1) and (WantSection_3_T >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_5_2_3 >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_6_2_3 >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_0_2_4 >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_3_3_1 >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_2_3_1 >= 1)) or (((TestAlone_5_3_1 >= 1) and (WantSection_1_T >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_4_3_1 >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_5_3_0 >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_4_3_0 >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_0_3_1 >= 1)) or (((TestAlone_6_3_0 >= 1) and (WantSection_0_T >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_1_3_0 >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_5_2_6 >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_3_3_0 >= 1)) or (((TestAlone_2_3_0 >= 1) and (WantSection_0_T >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_2_2_6 >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_1_2_6 >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_4_2_6 >= 1)) or (((TestAlone_3_2_6 >= 1) and (WantSection_6_T >= 1)) or (((TestAlone_1_3_4 >= 1) and (WantSection_4_T >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_2_3_4 >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_6_3_3 >= 1)) or (((TestAlone_0_3_4 >= 1) and (WantSection_4_T >= 1)) or (((TestAlone_4_3_3 >= 1) and (WantSection_3_T >= 1)) or (((TestAlone_5_3_3 >= 1) and (WantSection_3_T >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_1_3_3 >= 1)) or (((TestAlone_2_3_3 >= 1) and (WantSection_3_T >= 1)) or (((TestAlone_6_3_2 >= 1) and (WantSection_2_T >= 1)) or (((TestAlone_0_3_3 >= 1) and (WantSection_3_T >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_4_3_2 >= 1)) or (((TestAlone_5_3_2 >= 1) and (WantSection_2_T >= 1)) or (((TestAlone_1_3_2 >= 1) and (WantSection_2_T >= 1)) or (((TestAlone_3_3_2 >= 1) and (WantSection_2_T >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_6_3_1 >= 1)) or (((TestAlone_0_3_2 >= 1) and (WantSection_2_T >= 1)) or (((TestAlone_1_4_0 >= 1) and (WantSection_0_T >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_5_3_6 >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_4_3_6 >= 1)) or (((TestAlone_3_3_6 >= 1) and (WantSection_6_T >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_2_3_6 >= 1)) or (((TestAlone_1_3_6 >= 1) and (WantSection_6_T >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_0_3_6 >= 1)) or (((TestAlone_6_3_5 >= 1) and (WantSection_5_T >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_4_3_5 >= 1)) or (((TestAlone_3_3_5 >= 1) and (WantSection_5_T >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_2_3_5 >= 1)) or (((TestAlone_1_3_5 >= 1) and (WantSection_5_T >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_0_3_5 >= 1)) or (((TestAlone_6_3_4 >= 1) and (WantSection_4_T >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_5_3_4 >= 1)) or (((TestAlone_3_3_4 >= 1) and (WantSection_4_T >= 1)) or (((TestAlone_1_0_0 >= 1) and (WantSection_0_T >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_6_0_0 >= 1)) or (((TestAlone_0_0_1 >= 1) and (WantSection_1_T >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_2_0_1 >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_3_0_1 >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_2_0_0 >= 1)) or (((TestAlone_3_0_0 >= 1) and (WantSection_0_T >= 1)) or (((TestAlone_4_0_0 >= 1) and (WantSection_0_T >= 1)) or (((TestAlone_5_0_0 >= 1) and (WantSection_0_T >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_5_0_2 >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_4_0_2 >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_3_0_2 >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_1_0_2 >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_0_0_2 >= 1)) or (((TestAlone_6_0_1 >= 1) and (WantSection_1_T >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_5_0_1 >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_4_0_1 >= 1)) or (((TestAlone_0_0_4 >= 1) and (WantSection_4_T >= 1)) or (((TestAlone_6_0_3 >= 1) and (WantSection_3_T >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_5_0_3 >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_4_0_3 >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_2_0_3 >= 1)) or (((TestAlone_1_0_3 >= 1) and (WantSection_3_T >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_0_0_3 >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_6_0_2 >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_1_0_5 >= 1)) or (((TestAlone_2_0_5 >= 1) and (WantSection_5_T >= 1)) or (((TestAlone_6_0_4 >= 1) and (WantSection_4_T >= 1)) or (((TestAlone_0_0_5 >= 1) and (WantSection_5_T >= 1)) or (((TestAlone_3_0_4 >= 1) and (WantSection_4_T >= 1)) or (((TestAlone_5_0_4 >= 1) and (WantSection_4_T >= 1)) or (((TestAlone_1_0_4 >= 1) and (WantSection_4_T >= 1)) or (((TestAlone_2_0_4 >= 1) and (WantSection_4_T >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_3_0_6 >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_4_0_6 >= 1)) or (((TestAlone_1_0_6 >= 1) and (WantSection_6_T >= 1)) or (((TestAlone_2_0_6 >= 1) and (WantSection_6_T >= 1)) or (((TestAlone_6_0_5 >= 1) and (WantSection_5_T >= 1)) or (((TestAlone_0_0_6 >= 1) and (WantSection_6_T >= 1)) or (((TestAlone_3_0_5 >= 1) and (WantSection_5_T >= 1)) or ((TestAlone_4_0_5 >= 1) and (WantSection_5_T >= 1))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))) and (not ((((TestTurn_0_1 >= 1) and (Turn_1_0 >= 1)) or (((Turn_1_1 >= 1) and (TestTurn_1_1 >= 1)) or (((TestTurn_2_1 >= 1) and (Turn_1_2 >= 1)) or (((TestTurn_3_1 >= 1) and (Turn_1_3 >= 1)) or (((TestTurn_3_0 >= 1) and (Turn_0_3 >= 1)) or (((Turn_0_4 >= 1) and (TestTurn_4_0 >= 1)) or (((TestTurn_5_0 >= 1) and (Turn_0_5 >= 1)) or (((TestTurn_6_0 >= 1) and (Turn_0_6 >= 1)) or (((TestTurn_0_0 >= 1) and (Turn_0_0 >= 1)) or (((TestTurn_1_0 >= 1) and (Turn_0_1 >= 1)) or (((TestTurn_2_0 >= 1) and (Turn_0_2 >= 1)) or (((TestTurn_6_1 >= 1) and (Turn_1_6 >= 1)) or (((TestTurn_0_2 >= 1) and (Turn_2_0 >= 1)) or (((TestTurn_4_1 >= 1) and (Turn_1_4 >= 1)) or (((Turn_1_5 >= 1) and (TestTurn_5_1 >= 1)) or (((Turn_2_3 >= 1) and (TestTurn_3_2 >= 1)) or (((Turn_2_4 >= 1) and (TestTurn_4_2 >= 1)) or (((TestTurn_1_2 >= 1) and (Turn_2_1 >= 1)) or (((TestTurn_2_2 >= 1) and (Turn_2_2 >= 1)) or (((Turn_3_0 >= 1) and (TestTurn_0_3 >= 1)) or (((Turn_3_1 >= 1) and (TestTurn_1_3 >= 1)) or (((Turn_2_5 >= 1) and (TestTurn_5_2 >= 1)) or (((Turn_2_6 >= 1) and (TestTurn_6_2 >= 1)) or (((Turn_3_4 >= 1) and (TestTurn_4_3 >= 1)) or (((Turn_3_5 >= 1) and (TestTurn_5_3 >= 1)) or (((Turn_3_2 >= 1) and (TestTurn_2_3 >= 1)) or (((Turn_3_3 >= 1) and (TestTurn_3_3 >= 1)) or (((Turn_4_2 >= 1) and (TestTurn_2_4 >= 1)) or (((Turn_4_1 >= 1) and (TestTurn_1_4 >= 1)) or (((Turn_4_0 >= 1) and (TestTurn_0_4 >= 1)) or (((Turn_3_6 >= 1) and (TestTurn_6_3 >= 1)) or (((Turn_4_6 >= 1) and (TestTurn_6_4 >= 1)) or (((TestTurn_5_4 >= 1) and (Turn_4_5 >= 1)) or (((TestTurn_4_4 >= 1) and (Turn_4_4 >= 1)) or (((Turn_4_3 >= 1) and (TestTurn_3_4 >= 1)) or (((Turn_5_3 >= 1) and (TestTurn_3_5 >= 1)) or (((TestTurn_2_5 >= 1) and (Turn_5_2 >= 1)) or (((Turn_5_1 >= 1) and (TestTurn_1_5 >= 1)) or (((TestTurn_0_5 >= 1) and (Turn_5_0 >= 1)) or (((TestTurn_6_5 >= 1) and (Turn_5_6 >= 1)) or (((Turn_5_5 >= 1) and (TestTurn_5_5 >= 1)) or ((TestTurn_4_5 >= 1) and (Turn_5_4 >= 1))))))))))))))))))))))))))))))))))))))))))) or (((Idle_0 >= 1) and (WantSection_0_F >= 1)) or (((WantSection_1_F >= 1) and (Idle_1 >= 1)) or (((Idle_2 >= 1) and (WantSection_2_F >= 1)) or (((Idle_3 >= 1) and (WantSection_3_F >= 1)) or (((Idle_4 >= 1) and (WantSection_4_F >= 1)) or (((WantSection_5_F >= 1) and (Idle_5 >= 1)) or ((Idle_6 >= 1) and (WantSection_6_F >= 1))))))))))))
Query size reduced from 5600 to 5600 nodes (0.00 percent reduction).
Query reduction reached timeout.
Size of net before structural reductions: 1330 places, 2030 transitions
Size of net after structural reductions: 1204 places, 1904 transitions
Structural reduction finished after 0.029712 seconds

Net reduction is enabled.
Removed transitions: 126
Removed places: 126
Applications of rule A: 126
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0


------------------- QUERY 12 ----------------------
Solution found
perl: warning: Setting locale failed.
perl: warning: Please check that your locale settings:
LANGUAGE = (unset),
LC_ALL = (unset),
LANG = "en_US.UTF-8"
are supported and installed on your system.
perl: warning: Falling back to the standard locale ("C").
perl: warning: Setting locale failed.
perl: warning: Please check that your locale settings:
LANGUAGE = (unset),
LC_ALL = (unset),
LANG = "en_US.UTF-8"
are supported and installed on your system.
perl: warning: Falling back to the standard locale ("C").

Parameters: -s BestFS -q 0 -d 10 ./model.pnml ./ReachabilityFireability.xml -x 12

Parameters: -s BestFS -d 10 ./model.pnml ./ReachabilityFireability.xml -x 12

Parameters: -s BFS -q 0 -d 10 ./model.pnml ./ReachabilityFireability.xml -x 12

Parameters: -s DFS -q 0 -d 10 ./model.pnml ./ReachabilityFireability.xml -x 12

Search=BFS,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=DISABLED,Siphon_Trap=DISABLED,LPSolve_Timeout=10
Size of net before structural reductions: 1330 places, 2030 transitions
Size of net after structural reductions: 952 places, 1652 transitions
Structural reduction finished after 0.021959 seconds

Net reduction is enabled.
Removed transitions: 378
Removed places: 378
Applications of rule A: 378
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0

Search=HEUR,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=ENABLED,QSTimeout=30,Siphon_Trap=DISABLED,LPSolve_Timeout=10


Search=HEUR,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=DISABLED,Siphon_Trap=DISABLED,LPSolve_Timeout=10
Size of net before structural reductions: 1330 places, 2030 transitions
Size of net after structural reductions: 952 places, 1652 transitions
Structural reduction finished after 0.036406 seconds

Net reduction is enabled.
Removed transitions: 378
Removed places: 378
Applications of rule A: 378
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0

Search=DFS,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=DISABLED,Siphon_Trap=DISABLED,LPSolve_Timeout=10
Size of net before structural reductions: 1330 places, 2030 transitions
Size of net after structural reductions: 952 places, 1652 transitions
Structural reduction finished after 0.038288 seconds

Net reduction is enabled.
Removed transitions: 378
Removed places: 378
Applications of rule A: 378
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0


Query is NOT satisfied.

STATS:
discovered states: 16413
explored states: 12316
expanded states: 2443
max tokens: 20

parallel: This job succeeded:
/home/mcc/BenchKit/start.sh 14500000 /home/mcc/BenchKit/bin/verifypn-linux64 -s\ DFS\ -q\ 0\ -d\ 10 ./model.pnml ./ReachabilityFireability.xml -x 12

FORMULA Peterson-COL-6-ReachabilityFireability-11 FALSE TECHNIQUES COLLATERAL_PROCESSING EXPLICIT STRUCTURAL_REDUCTION STATE_COMPRESSION STUBBORN_SETS
------------------- QUERY 13 ----------------------
Solution found
perl: warning: Setting locale failed.
perl: warning: Please check that your locale settings:
LANGUAGE = (unset),
LC_ALL = (unset),
LANG = "en_US.UTF-8"
are supported and installed on your system.
perl: warning: Falling back to the standard locale ("C").
perl: warning: Setting locale failed.
perl: warning: Please check that your locale settings:
LANGUAGE = (unset),
LC_ALL = (unset),
LANG = "en_US.UTF-8"
are supported and installed on your system.
perl: warning: Falling back to the standard locale ("C").

Parameters: -s BestFS -d 10 ./model.pnml ./ReachabilityFireability.xml -x 13

Parameters: -s BestFS -q 0 -d 10 ./model.pnml ./ReachabilityFireability.xml -x 13

Parameters: -s BFS -q 0 -d 10 ./model.pnml ./ReachabilityFireability.xml -x 13

Parameters: -s DFS -q 0 -d 10 ./model.pnml ./ReachabilityFireability.xml -x 13

Search=HEUR,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=ENABLED,QSTimeout=30,Siphon_Trap=DISABLED,LPSolve_Timeout=10


Search=DFS,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=DISABLED,Siphon_Trap=DISABLED,LPSolve_Timeout=10
Size of net before structural reductions: 1330 places, 2030 transitions
Size of net after structural reductions: 1330 places, 2030 transitions
Structural reduction finished after 0.032556 seconds

Net reduction is enabled.
Removed transitions: 0
Removed places: 0
Applications of rule A: 0
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0

Search=BFS,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=DISABLED,Siphon_Trap=DISABLED,LPSolve_Timeout=10
Size of net before structural reductions: 1330 places, 2030 transitions
Size of net after structural reductions: 1330 places, 2030 transitions
Structural reduction finished after 0.032467 seconds

Net reduction is enabled.
Removed transitions: 0
Removed places: 0
Applications of rule A: 0
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0

Search=HEUR,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=DISABLED,Siphon_Trap=DISABLED,LPSolve_Timeout=10
Size of net before structural reductions: 1330 places, 2030 transitions
Size of net after structural reductions: 1330 places, 2030 transitions
Structural reduction finished after 0.055696 seconds

Net reduction is enabled.
Removed transitions: 0
Removed places: 0
Applications of rule A: 0
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0


Query is satisfied.

STATS:
discovered states: 18186
explored states: 13612
expanded states: 2653
max tokens: 20

parallel: This job succeeded:
/home/mcc/BenchKit/start.sh 14500000 /home/mcc/BenchKit/bin/verifypn-linux64 -s\ DFS\ -q\ 0\ -d\ 10 ./model.pnml ./ReachabilityFireability.xml -x 13

FORMULA Peterson-COL-6-ReachabilityFireability-12 TRUE TECHNIQUES COLLATERAL_PROCESSING EXPLICIT STRUCTURAL_REDUCTION STATE_COMPRESSION STUBBORN_SETS
------------------- QUERY 14 ----------------------
Solution found
perl: warning: Setting locale failed.
perl: warning: Please check that your locale settings:
LANGUAGE = (unset),
LC_ALL = (unset),
LANG = "en_US.UTF-8"
are supported and installed on your system.
perl: warning: Falling back to the standard locale ("C").
perl: warning: Setting locale failed.
perl: warning: Please check that your locale settings:
LANGUAGE = (unset),
LC_ALL = (unset),
LANG = "en_US.UTF-8"
are supported and installed on your system.
perl: warning: Falling back to the standard locale ("C").

Parameters: -s BestFS -q 0 -d 10 ./model.pnml ./ReachabilityFireability.xml -x 14

Parameters: -s BestFS -d 10 ./model.pnml ./ReachabilityFireability.xml -x 14

Parameters: -s BFS -q 0 -d 10 ./model.pnml ./ReachabilityFireability.xml -x 14

Parameters: -s DFS -q 0 -d 10 ./model.pnml ./ReachabilityFireability.xml -x 14

Search=HEUR,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=DISABLED,Siphon_Trap=DISABLED,LPSolve_Timeout=10
Size of net before structural reductions: 1330 places, 2030 transitions
Size of net after structural reductions: 413 places, 1113 transitions
Structural reduction finished after 0.010908 seconds

Net reduction is enabled.
Removed transitions: 917
Removed places: 917
Applications of rule A: 917
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0

Search=BFS,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=DISABLED,Siphon_Trap=DISABLED,LPSolve_Timeout=10
Size of net before structural reductions: 1330 places, 2030 transitions
Size of net after structural reductions: 413 places, 1113 transitions
Structural reduction finished after 0.010841 seconds

Net reduction is enabled.
Removed transitions: 917
Removed places: 917
Applications of rule A: 917
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0


Query is NOT satisfied.

STATS:
discovered states: 1031
explored states: 843
expanded states: 147
max tokens: 20

parallel: This job succeeded:
/home/mcc/BenchKit/start.sh 14500000 /home/mcc/BenchKit/bin/verifypn-linux64 -s\ BestFS\ -q\ 0\ -d\ 10 ./model.pnml ./ReachabilityFireability.xml -x 14

FORMULA Peterson-COL-6-ReachabilityFireability-13 FALSE TECHNIQUES COLLATERAL_PROCESSING EXPLICIT STRUCTURAL_REDUCTION STATE_COMPRESSION STUBBORN_SETS
------------------- QUERY 15 ----------------------
Solution found
perl: warning: Setting locale failed.
perl: warning: Please check that your locale settings:
LANGUAGE = (unset),
LC_ALL = (unset),
LANG = "en_US.UTF-8"
are supported and installed on your system.
perl: warning: Falling back to the standard locale ("C").
perl: warning: Setting locale failed.
perl: warning: Please check that your locale settings:
LANGUAGE = (unset),
LC_ALL = (unset),
LANG = "en_US.UTF-8"
are supported and installed on your system.
perl: warning: Falling back to the standard locale ("C").

Parameters: -s BFS -q 0 -d 10 ./model.pnml ./ReachabilityFireability.xml -x 15

Parameters: -s BestFS -q 0 -d 10 ./model.pnml ./ReachabilityFireability.xml -x 15

Parameters: -s BestFS -d 10 ./model.pnml ./ReachabilityFireability.xml -x 15

Parameters: -s DFS -q 0 -d 10 ./model.pnml ./ReachabilityFireability.xml -x 15

Search=HEUR,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=ENABLED,QSTimeout=30,Siphon_Trap=DISABLED,LPSolve_Timeout=10


Search=BFS,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=DISABLED,Siphon_Trap=DISABLED,LPSolve_Timeout=10
Size of net before structural reductions: 1330 places, 2030 transitions
Size of net after structural reductions: 448 places, 1148 transitions
Structural reduction finished after 0.011512 seconds

Net reduction is enabled.
Removed transitions: 882
Removed places: 882
Applications of rule A: 882
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0

Search=HEUR,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=DISABLED,Siphon_Trap=DISABLED,LPSolve_Timeout=10
Size of net before structural reductions: 1330 places, 2030 transitions
Size of net after structural reductions: 448 places, 1148 transitions
Structural reduction finished after 0.011546 seconds

Net reduction is enabled.
Removed transitions: 882
Removed places: 882
Applications of rule A: 882
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0

Search=DFS,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=DISABLED,Siphon_Trap=DISABLED,LPSolve_Timeout=10
Size of net before structural reductions: 1330 places, 2030 transitions
Size of net after structural reductions: 448 places, 1148 transitions
Structural reduction finished after 0.012276 seconds

Net reduction is enabled.
Removed transitions: 882
Removed places: 882
Applications of rule A: 882
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0


Query is satisfied.

STATS:
discovered states: 1752
explored states: 1343
expanded states: 253
max tokens: 20

parallel: This job succeeded:
/home/mcc/BenchKit/start.sh 14500000 /home/mcc/BenchKit/bin/verifypn-linux64 -s\ DFS\ -q\ 0\ -d\ 10 ./model.pnml ./ReachabilityFireability.xml -x 15

FORMULA Peterson-COL-6-ReachabilityFireability-14 TRUE TECHNIQUES COLLATERAL_PROCESSING EXPLICIT STRUCTURAL_REDUCTION STATE_COMPRESSION STUBBORN_SETS
------------------- QUERY 16 ----------------------
Solution found
perl: warning: Setting locale failed.
perl: warning: Please check that your locale settings:
LANGUAGE = (unset),
LC_ALL = (unset),
LANG = "en_US.UTF-8"
are supported and installed on your system.
perl: warning: Falling back to the standard locale ("C").
perl: warning: Setting locale failed.
perl: warning: Please check that your locale settings:
LANGUAGE = (unset),
LC_ALL = (unset),
LANG = "en_US.UTF-8"
are supported and installed on your system.
perl: warning: Falling back to the standard locale ("C").

Parameters: -s BestFS -d 10 ./model.pnml ./ReachabilityFireability.xml -x 16

Parameters: -s BestFS -q 0 -d 10 ./model.pnml ./ReachabilityFireability.xml -x 16

Parameters: -s BFS -q 0 -d 10 ./model.pnml ./ReachabilityFireability.xml -x 16

Parameters: -s DFS -q 0 -d 10 ./model.pnml ./ReachabilityFireability.xml -x 16

Search=HEUR,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=ENABLED,QSTimeout=30,Siphon_Trap=DISABLED,LPSolve_Timeout=10


Search=HEUR,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=DISABLED,Siphon_Trap=DISABLED,LPSolve_Timeout=10
Size of net before structural reductions: 1330 places, 2030 transitions
Size of net after structural reductions: 1330 places, 2030 transitions
Structural reduction finished after 0.03085 seconds

Net reduction is enabled.
Removed transitions: 0
Removed places: 0
Applications of rule A: 0
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0

Search=BFS,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=DISABLED,Siphon_Trap=DISABLED,LPSolve_Timeout=10
Size of net before structural reductions: 1330 places, 2030 transitions
Size of net after structural reductions: 1330 places, 2030 transitions
Structural reduction finished after 0.030831 seconds

Net reduction is enabled.
Removed transitions: 0
Removed places: 0
Applications of rule A: 0
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0


Query is NOT satisfied.

STATS:
discovered states: 160
explored states: 160
expanded states: 26
max tokens: 20


Search=DFS,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=DISABLED,Siphon_Trap=DISABLED,LPSolve_Timeout=10
Size of net before structural reductions: 1330 places, 2030 transitions
Size of net after structural reductions: 1330 places, 2030 transitions
Structural reduction finished after 0.033079 seconds

Net reduction is enabled.
Removed transitions: 0
Removed places: 0
Applications of rule A: 0
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0
parallel: This job succeeded:
/home/mcc/BenchKit/start.sh 14500000 /home/mcc/BenchKit/bin/verifypn-linux64 -s\ BestFS\ -q\ 0\ -d\ 10 ./model.pnml ./ReachabilityFireability.xml -x 16

FORMULA Peterson-COL-6-ReachabilityFireability-15 FALSE TECHNIQUES COLLATERAL_PROCESSING EXPLICIT STRUCTURAL_REDUCTION STATE_COMPRESSION STUBBORN_SETS
---------------------------------------------------
Step 2: Sequential processing
---------------------------------------------------
Remaining queries are verified sequentially.
Each query is verified for a dynamic timeout (at least 480 seconds)
------------------- QUERY 2 ----------------------
Running query 2 for 837 seconds. Remaining: 4 queries and 3343 seconds

Parameters: -s DFS -d 100 -q 100 ./model.pnml ./ReachabilityFireability.xml -x 2

Search=DFS,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=100,Stubborn_Reduction=ENABLED,Query_Simplication=ENABLED,QSTimeout=100,Siphon_Trap=DISABLED,LPSolve_Timeout=10

Query before reduction: EF (((((BeginLoop_6_5_6 >= 1) or ((BeginLoop_0_5_6 >= 1) or ((BeginLoop_1_5_6 >= 1) or ((BeginLoop_5_5_5 >= 1) or ((BeginLoop_6_5_5 >= 1) or ((BeginLoop_4_5_6 >= 1) or ((BeginLoop_5_5_6 >= 1) or ((BeginLoop_2_5_6 >= 1) or ((BeginLoop_3_5_6 >= 1) or ((BeginLoop_0_5_5 >= 1) or ((BeginLoop_6_5_4 >= 1) or ((BeginLoop_5_5_4 >= 1) or ((BeginLoop_4_5_4 >= 1) or ((BeginLoop_4_5_5 >= 1) or ((BeginLoop_3_5_5 >= 1) or ((BeginLoop_2_5_5 >= 1) or ((BeginLoop_1_5_5 >= 1) or ((BeginLoop_6_5_3 >= 1) or ((BeginLoop_5_5_3 >= 1) or ((BeginLoop_4_5_3 >= 1) or ((BeginLoop_3_5_3 >= 1) or ((BeginLoop_3_5_4 >= 1) or ((BeginLoop_2_5_4 >= 1) or ((BeginLoop_1_5_4 >= 1) or ((BeginLoop_0_5_4 >= 1) or ((BeginLoop_2_5_2 >= 1) or ((BeginLoop_3_5_2 >= 1) or ((BeginLoop_4_5_2 >= 1) or ((BeginLoop_5_5_2 >= 1) or ((BeginLoop_6_5_2 >= 1) or ((BeginLoop_0_5_3 >= 1) or ((BeginLoop_1_5_3 >= 1) or ((BeginLoop_2_5_3 >= 1) or ((BeginLoop_1_5_1 >= 1) or ((BeginLoop_2_5_1 >= 1) or ((BeginLoop_3_5_1 >= 1) or ((BeginLoop_4_5_1 >= 1) or ((BeginLoop_5_5_1 >= 1) or ((BeginLoop_6_5_1 >= 1) or ((BeginLoop_0_5_2 >= 1) or ((BeginLoop_1_5_2 >= 1) or ((BeginLoop_1_5_0 >= 1) or ((BeginLoop_0_5_0 >= 1) or ((BeginLoop_3_5_0 >= 1) or ((BeginLoop_2_5_0 >= 1) or ((BeginLoop_5_5_0 >= 1) or ((BeginLoop_4_5_0 >= 1) or ((BeginLoop_0_5_1 >= 1) or ((BeginLoop_6_5_0 >= 1) or ((BeginLoop_0_4_6 >= 1) or ((BeginLoop_6_4_5 >= 1) or ((BeginLoop_2_4_6 >= 1) or ((BeginLoop_1_4_6 >= 1) or ((BeginLoop_4_4_6 >= 1) or ((BeginLoop_3_4_6 >= 1) or ((BeginLoop_6_4_6 >= 1) or ((BeginLoop_5_4_6 >= 1) or ((BeginLoop_4_4_5 >= 1) or ((BeginLoop_5_4_5 >= 1) or ((BeginLoop_2_4_5 >= 1) or ((BeginLoop_3_4_5 >= 1) or ((BeginLoop_0_4_5 >= 1) or ((BeginLoop_1_4_5 >= 1) or ((BeginLoop_5_4_4 >= 1) or ((BeginLoop_6_4_4 >= 1) or ((BeginLoop_3_4_4 >= 1) or ((BeginLoop_4_4_4 >= 1) or ((BeginLoop_1_4_4 >= 1) or ((BeginLoop_2_4_4 >= 1) or ((BeginLoop_6_4_3 >= 1) or ((BeginLoop_0_4_4 >= 1) or ((BeginLoop_4_4_3 >= 1) or ((BeginLoop_5_4_3 >= 1) or ((BeginLoop_3_4_3 >= 1) or ((BeginLoop_2_4_3 >= 1) or ((BeginLoop_1_4_3 >= 1) or ((BeginLoop_0_4_3 >= 1) or ((BeginLoop_6_4_2 >= 1) or ((BeginLoop_5_4_2 >= 1) or ((BeginLoop_4_4_2 >= 1) or ((BeginLoop_3_4_2 >= 1) or ((BeginLoop_2_4_2 >= 1) or ((BeginLoop_1_4_2 >= 1) or ((BeginLoop_0_4_2 >= 1) or ((BeginLoop_6_4_1 >= 1) or ((BeginLoop_5_4_1 >= 1) or ((BeginLoop_4_4_1 >= 1) or ((BeginLoop_3_4_1 >= 1) or ((BeginLoop_2_4_1 >= 1) or ((BeginLoop_5_4_0 >= 1) or ((BeginLoop_6_4_0 >= 1) or ((BeginLoop_0_4_1 >= 1) or ((BeginLoop_1_4_1 >= 1) or ((BeginLoop_1_4_0 >= 1) or ((BeginLoop_2_4_0 >= 1) or ((BeginLoop_3_4_0 >= 1) or ((BeginLoop_4_4_0 >= 1) or ((BeginLoop_4_3_6 >= 1) or ((BeginLoop_5_3_6 >= 1) or ((BeginLoop_6_3_6 >= 1) or ((BeginLoop_0_4_0 >= 1) or ((BeginLoop_0_3_6 >= 1) or ((BeginLoop_1_3_6 >= 1) or ((BeginLoop_2_3_6 >= 1) or ((BeginLoop_3_3_6 >= 1) or ((BeginLoop_4_3_5 >= 1) or ((BeginLoop_3_3_5 >= 1) or ((BeginLoop_6_3_5 >= 1) or ((BeginLoop_5_3_5 >= 1) or ((BeginLoop_0_3_5 >= 1) or ((BeginLoop_6_3_4 >= 1) or ((BeginLoop_2_3_5 >= 1) or ((BeginLoop_1_3_5 >= 1) or ((BeginLoop_3_3_4 >= 1) or ((BeginLoop_2_3_4 >= 1) or ((BeginLoop_5_3_4 >= 1) or ((BeginLoop_4_3_4 >= 1) or ((BeginLoop_6_3_3 >= 1) or ((BeginLoop_5_3_3 >= 1) or ((BeginLoop_1_3_4 >= 1) or ((BeginLoop_0_3_4 >= 1) or ((BeginLoop_1_0_3 >= 1) or ((BeginLoop_0_0_3 >= 1) or ((BeginLoop_3_0_3 >= 1) or ((BeginLoop_2_0_3 >= 1) or ((BeginLoop_5_0_3 >= 1) or ((BeginLoop_4_0_3 >= 1) or ((BeginLoop_0_0_4 >= 1) or ((BeginLoop_6_0_3 >= 1) or ((BeginLoop_0_0_2 >= 1) or ((BeginLoop_6_0_1 >= 1) or ((BeginLoop_2_0_2 >= 1) or ((BeginLoop_1_0_2 >= 1) or ((BeginLoop_4_0_2 >= 1) or ((BeginLoop_3_0_2 >= 1) or ((BeginLoop_6_0_2 >= 1) or ((BeginLoop_5_0_2 >= 1) or ((BeginLoop_2_0_5 >= 1) or ((BeginLoop_3_0_5 >= 1) or ((BeginLoop_4_0_5 >= 1) or ((BeginLoop_5_0_5 >= 1) or ((BeginLoop_6_0_5 >= 1) or ((BeginLoop_0_0_6 >= 1) or ((BeginLoop_1_0_6 >= 1) or ((BeginLoop_2_0_6 >= 1) or ((BeginLoop_1_0_4 >= 1) or ((BeginLoop_2_0_4 >= 1) or ((BeginLoop_3_0_4 >= 1) or ((BeginLoop_4_0_4 >= 1) or ((BeginLoop_5_0_4 >= 1) or ((BeginLoop_6_0_4 >= 1) or ((BeginLoop_0_0_5 >= 1) or ((BeginLoop_1_0_5 >= 1) or ((BeginLoop_0_0_1 >= 1) or ((BeginLoop_1_0_1 >= 1) or ((BeginLoop_5_0_0 >= 1) or ((BeginLoop_6_0_0 >= 1) or ((BeginLoop_4_0_1 >= 1) or ((BeginLoop_5_0_1 >= 1) or ((BeginLoop_2_0_1 >= 1) or ((BeginLoop_3_0_1 >= 1) or ((BeginLoop_0_0_0 >= 1) or ((BeginLoop_3_0_0 >= 1) or ((BeginLoop_4_0_0 >= 1) or ((BeginLoop_1_0_0 >= 1) or ((BeginLoop_2_0_0 >= 1) or ((BeginLoop_1_2_6 >= 1) or ((BeginLoop_2_2_6 >= 1) or ((BeginLoop_3_2_6 >= 1) or ((BeginLoop_4_2_6 >= 1) or ((BeginLoop_5_2_6 >= 1) or ((BeginLoop_6_2_6 >= 1) or ((BeginLoop_0_3_0 >= 1) or ((BeginLoop_1_3_0 >= 1) or ((BeginLoop_2_3_0 >= 1) or ((BeginLoop_3_3_0 >= 1) or ((BeginLoop_4_3_0 >= 1) or ((BeginLoop_5_3_0 >= 1) or ((BeginLoop_6_3_0 >= 1) or ((BeginLoop_0_3_1 >= 1) or ((BeginLoop_1_3_1 >= 1) or ((BeginLoop_2_3_1 >= 1) or ((BeginLoop_4_3_1 >= 1) or ((BeginLoop_3_3_1 >= 1) or ((BeginLoop_6_3_1 >= 1) or ((BeginLoop_5_3_1 >= 1) or ((BeginLoop_1_3_2 >= 1) or ((BeginLoop_0_3_2 >= 1) or ((BeginLoop_3_3_2 >= 1) or ((BeginLoop_2_3_2 >= 1) or ((BeginLoop_5_3_2 >= 1) or ((BeginLoop_4_3_2 >= 1) or ((BeginLoop_0_3_3 >= 1) or ((BeginLoop_6_3_2 >= 1) or ((BeginLoop_2_3_3 >= 1) or ((BeginLoop_1_3_3 >= 1) or ((BeginLoop_4_3_3 >= 1) or ((BeginLoop_3_3_3 >= 1) or ((BeginLoop_6_2_1 >= 1) or ((BeginLoop_0_2_2 >= 1) or ((BeginLoop_4_2_1 >= 1) or ((BeginLoop_5_2_1 >= 1) or ((BeginLoop_3_2_2 >= 1) or ((BeginLoop_4_2_2 >= 1) or ((BeginLoop_1_2_2 >= 1) or ((BeginLoop_2_2_2 >= 1) or ((BeginLoop_0_2_3 >= 1) or ((BeginLoop_1_2_3 >= 1) or ((BeginLoop_5_2_2 >= 1) or ((BeginLoop_6_2_2 >= 1) or ((BeginLoop_4_2_3 >= 1) or ((BeginLoop_5_2_3 >= 1) or ((BeginLoop_2_2_3 >= 1) or ((BeginLoop_3_2_3 >= 1) or ((BeginLoop_2_2_4 >= 1) or ((BeginLoop_1_2_4 >= 1) or ((BeginLoop_0_2_4 >= 1) or ((BeginLoop_6_2_3 >= 1) or ((BeginLoop_6_2_4 >= 1) or ((BeginLoop_5_2_4 >= 1) or ((BeginLoop_4_2_4 >= 1) or ((BeginLoop_3_2_4 >= 1) or ((BeginLoop_3_2_5 >= 1) or ((BeginLoop_2_2_5 >= 1) or ((BeginLoop_1_2_5 >= 1) or ((BeginLoop_0_2_5 >= 1) or ((BeginLoop_0_2_6 >= 1) or ((BeginLoop_6_2_5 >= 1) or ((BeginLoop_5_2_5 >= 1) or ((BeginLoop_4_2_5 >= 1) or ((BeginLoop_4_1_4 >= 1) or ((BeginLoop_5_1_4 >= 1) or ((BeginLoop_6_1_4 >= 1) or ((BeginLoop_0_1_5 >= 1) or ((BeginLoop_0_1_4 >= 1) or ((BeginLoop_1_1_4 >= 1) or ((BeginLoop_2_1_4 >= 1) or ((BeginLoop_3_1_4 >= 1) or ((BeginLoop_5_1_5 >= 1) or ((BeginLoop_6_1_5 >= 1) or ((BeginLoop_0_1_6 >= 1) or ((BeginLoop_1_1_6 >= 1) or ((BeginLoop_1_1_5 >= 1) or ((BeginLoop_2_1_5 >= 1) or ((BeginLoop_3_1_5 >= 1) or ((BeginLoop_4_1_5 >= 1) or ((BeginLoop_0_2_0 >= 1) or ((BeginLoop_6_1_6 >= 1) or ((BeginLoop_2_2_0 >= 1) or ((BeginLoop_1_2_0 >= 1) or ((BeginLoop_3_1_6 >= 1) or ((BeginLoop_2_1_6 >= 1) or ((BeginLoop_5_1_6 >= 1) or ((BeginLoop_4_1_6 >= 1) or ((BeginLoop_1_2_1 >= 1) or ((BeginLoop_0_2_1 >= 1) or ((BeginLoop_3_2_1 >= 1) or ((BeginLoop_2_2_1 >= 1) or ((BeginLoop_4_2_0 >= 1) or ((BeginLoop_3_2_0 >= 1) or ((BeginLoop_6_2_0 >= 1) or ((BeginLoop_5_2_0 >= 1) or ((BeginLoop_2_1_0 >= 1) or ((BeginLoop_3_1_0 >= 1) or ((BeginLoop_0_1_0 >= 1) or ((BeginLoop_1_1_0 >= 1) or ((BeginLoop_5_0_6 >= 1) or ((BeginLoop_6_0_6 >= 1) or ((BeginLoop_3_0_6 >= 1) or ((BeginLoop_4_0_6 >= 1) or ((BeginLoop_3_1_1 >= 1) or ((BeginLoop_4_1_1 >= 1) or ((BeginLoop_1_1_1 >= 1) or ((BeginLoop_2_1_1 >= 1) or ((BeginLoop_6_1_0 >= 1) or ((BeginLoop_0_1_1 >= 1) or ((BeginLoop_4_1_0 >= 1) or ((BeginLoop_5_1_0 >= 1) or ((BeginLoop_5_1_2 >= 1) or ((BeginLoop_4_1_2 >= 1) or ((BeginLoop_3_1_2 >= 1) or ((BeginLoop_2_1_2 >= 1) or ((BeginLoop_1_1_2 >= 1) or ((BeginLoop_0_1_2 >= 1) or ((BeginLoop_6_1_1 >= 1) or ((BeginLoop_5_1_1 >= 1) or ((BeginLoop_6_1_3 >= 1) or ((BeginLoop_5_1_3 >= 1) or ((BeginLoop_4_1_3 >= 1) or ((BeginLoop_3_1_3 >= 1) or ((BeginLoop_2_1_3 >= 1) or ((BeginLoop_1_1_3 >= 1) or ((BeginLoop_0_1_3 >= 1) or (BeginLoop_6_1_2 >= 1)))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))) and (not ((BeginLoop_6_5_6 >= 1) or ((BeginLoop_0_5_6 >= 1) or ((BeginLoop_1_5_6 >= 1) or ((BeginLoop_5_5_5 >= 1) or ((BeginLoop_6_5_5 >= 1) or ((BeginLoop_4_5_6 >= 1) or ((BeginLoop_5_5_6 >= 1) or ((BeginLoop_2_5_6 >= 1) or ((BeginLoop_3_5_6 >= 1) or ((BeginLoop_0_5_5 >= 1) or ((BeginLoop_6_5_4 >= 1) or ((BeginLoop_5_5_4 >= 1) or ((BeginLoop_4_5_4 >= 1) or ((BeginLoop_4_5_5 >= 1) or ((BeginLoop_3_5_5 >= 1) or ((BeginLoop_2_5_5 >= 1) or ((BeginLoop_1_5_5 >= 1) or ((BeginLoop_6_5_3 >= 1) or ((BeginLoop_5_5_3 >= 1) or ((BeginLoop_4_5_3 >= 1) or ((BeginLoop_3_5_3 >= 1) or ((BeginLoop_3_5_4 >= 1) or ((BeginLoop_2_5_4 >= 1) or ((BeginLoop_1_5_4 >= 1) or ((BeginLoop_0_5_4 >= 1) or ((BeginLoop_2_5_2 >= 1) or ((BeginLoop_3_5_2 >= 1) or ((BeginLoop_4_5_2 >= 1) or ((BeginLoop_5_5_2 >= 1) or ((BeginLoop_6_5_2 >= 1) or ((BeginLoop_0_5_3 >= 1) or ((BeginLoop_1_5_3 >= 1) or ((BeginLoop_2_5_3 >= 1) or ((BeginLoop_1_5_1 >= 1) or ((BeginLoop_2_5_1 >= 1) or ((BeginLoop_3_5_1 >= 1) or ((BeginLoop_4_5_1 >= 1) or ((BeginLoop_5_5_1 >= 1) or ((BeginLoop_6_5_1 >= 1) or ((BeginLoop_0_5_2 >= 1) or ((BeginLoop_1_5_2 >= 1) or ((BeginLoop_1_5_0 >= 1) or ((BeginLoop_0_5_0 >= 1) or ((BeginLoop_3_5_0 >= 1) or ((BeginLoop_2_5_0 >= 1) or ((BeginLoop_5_5_0 >= 1) or ((BeginLoop_4_5_0 >= 1) or ((BeginLoop_0_5_1 >= 1) or ((BeginLoop_6_5_0 >= 1) or ((BeginLoop_0_4_6 >= 1) or ((BeginLoop_6_4_5 >= 1) or ((BeginLoop_2_4_6 >= 1) or ((BeginLoop_1_4_6 >= 1) or ((BeginLoop_4_4_6 >= 1) or ((BeginLoop_3_4_6 >= 1) or ((BeginLoop_6_4_6 >= 1) or ((BeginLoop_5_4_6 >= 1) or ((BeginLoop_4_4_5 >= 1) or ((BeginLoop_5_4_5 >= 1) or ((BeginLoop_2_4_5 >= 1) or ((BeginLoop_3_4_5 >= 1) or ((BeginLoop_0_4_5 >= 1) or ((BeginLoop_1_4_5 >= 1) or ((BeginLoop_5_4_4 >= 1) or ((BeginLoop_6_4_4 >= 1) or ((BeginLoop_3_4_4 >= 1) or ((BeginLoop_4_4_4 >= 1) or ((BeginLoop_1_4_4 >= 1) or ((BeginLoop_2_4_4 >= 1) or ((BeginLoop_6_4_3 >= 1) or ((BeginLoop_0_4_4 >= 1) or ((BeginLoop_4_4_3 >= 1) or ((BeginLoop_5_4_3 >= 1) or ((BeginLoop_3_4_3 >= 1) or ((BeginLoop_2_4_3 >= 1) or ((BeginLoop_1_4_3 >= 1) or ((BeginLoop_0_4_3 >= 1) or ((BeginLoop_6_4_2 >= 1) or ((BeginLoop_5_4_2 >= 1) or ((BeginLoop_4_4_2 >= 1) or ((BeginLoop_3_4_2 >= 1) or ((BeginLoop_2_4_2 >= 1) or ((BeginLoop_1_4_2 >= 1) or ((BeginLoop_0_4_2 >= 1) or ((BeginLoop_6_4_1 >= 1) or ((BeginLoop_5_4_1 >= 1) or ((BeginLoop_4_4_1 >= 1) or ((BeginLoop_3_4_1 >= 1) or ((BeginLoop_2_4_1 >= 1) or ((BeginLoop_5_4_0 >= 1) or ((BeginLoop_6_4_0 >= 1) or ((BeginLoop_0_4_1 >= 1) or ((BeginLoop_1_4_1 >= 1) or ((BeginLoop_1_4_0 >= 1) or ((BeginLoop_2_4_0 >= 1) or ((BeginLoop_3_4_0 >= 1) or ((BeginLoop_4_4_0 >= 1) or ((BeginLoop_4_3_6 >= 1) or ((BeginLoop_5_3_6 >= 1) or ((BeginLoop_6_3_6 >= 1) or ((BeginLoop_0_4_0 >= 1) or ((BeginLoop_0_3_6 >= 1) or ((BeginLoop_1_3_6 >= 1) or ((BeginLoop_2_3_6 >= 1) or ((BeginLoop_3_3_6 >= 1) or ((BeginLoop_4_3_5 >= 1) or ((BeginLoop_3_3_5 >= 1) or ((BeginLoop_6_3_5 >= 1) or ((BeginLoop_5_3_5 >= 1) or ((BeginLoop_0_3_5 >= 1) or ((BeginLoop_6_3_4 >= 1) or ((BeginLoop_2_3_5 >= 1) or ((BeginLoop_1_3_5 >= 1) or ((BeginLoop_3_3_4 >= 1) or ((BeginLoop_2_3_4 >= 1) or ((BeginLoop_5_3_4 >= 1) or ((BeginLoop_4_3_4 >= 1) or ((BeginLoop_6_3_3 >= 1) or ((BeginLoop_5_3_3 >= 1) or ((BeginLoop_1_3_4 >= 1) or ((BeginLoop_0_3_4 >= 1) or ((BeginLoop_1_0_3 >= 1) or ((BeginLoop_0_0_3 >= 1) or ((BeginLoop_3_0_3 >= 1) or ((BeginLoop_2_0_3 >= 1) or ((BeginLoop_5_0_3 >= 1) or ((BeginLoop_4_0_3 >= 1) or ((BeginLoop_0_0_4 >= 1) or ((BeginLoop_6_0_3 >= 1) or ((BeginLoop_0_0_2 >= 1) or ((BeginLoop_6_0_1 >= 1) or ((BeginLoop_2_0_2 >= 1) or ((BeginLoop_1_0_2 >= 1) or ((BeginLoop_4_0_2 >= 1) or ((BeginLoop_3_0_2 >= 1) or ((BeginLoop_6_0_2 >= 1) or ((BeginLoop_5_0_2 >= 1) or ((BeginLoop_2_0_5 >= 1) or ((BeginLoop_3_0_5 >= 1) or ((BeginLoop_4_0_5 >= 1) or ((BeginLoop_5_0_5 >= 1) or ((BeginLoop_6_0_5 >= 1) or ((BeginLoop_0_0_6 >= 1) or ((BeginLoop_1_0_6 >= 1) or ((BeginLoop_2_0_6 >= 1) or ((BeginLoop_1_0_4 >= 1) or ((BeginLoop_2_0_4 >= 1) or ((BeginLoop_3_0_4 >= 1) or ((BeginLoop_4_0_4 >= 1) or ((BeginLoop_5_0_4 >= 1) or ((BeginLoop_6_0_4 >= 1) or ((BeginLoop_0_0_5 >= 1) or ((BeginLoop_1_0_5 >= 1) or ((BeginLoop_0_0_1 >= 1) or ((BeginLoop_1_0_1 >= 1) or ((BeginLoop_5_0_0 >= 1) or ((BeginLoop_6_0_0 >= 1) or ((BeginLoop_4_0_1 >= 1) or ((BeginLoop_5_0_1 >= 1) or ((BeginLoop_2_0_1 >= 1) or ((BeginLoop_3_0_1 >= 1) or ((BeginLoop_0_0_0 >= 1) or ((BeginLoop_3_0_0 >= 1) or ((BeginLoop_4_0_0 >= 1) or ((BeginLoop_1_0_0 >= 1) or ((BeginLoop_2_0_0 >= 1) or ((BeginLoop_1_2_6 >= 1) or ((BeginLoop_2_2_6 >= 1) or ((BeginLoop_3_2_6 >= 1) or ((BeginLoop_4_2_6 >= 1) or ((BeginLoop_5_2_6 >= 1) or ((BeginLoop_6_2_6 >= 1) or ((BeginLoop_0_3_0 >= 1) or ((BeginLoop_1_3_0 >= 1) or ((BeginLoop_2_3_0 >= 1) or ((BeginLoop_3_3_0 >= 1) or ((BeginLoop_4_3_0 >= 1) or ((BeginLoop_5_3_0 >= 1) or ((BeginLoop_6_3_0 >= 1) or ((BeginLoop_0_3_1 >= 1) or ((BeginLoop_1_3_1 >= 1) or ((BeginLoop_2_3_1 >= 1) or ((BeginLoop_4_3_1 >= 1) or ((BeginLoop_3_3_1 >= 1) or ((BeginLoop_6_3_1 >= 1) or ((BeginLoop_5_3_1 >= 1) or ((BeginLoop_1_3_2 >= 1) or ((BeginLoop_0_3_2 >= 1) or ((BeginLoop_3_3_2 >= 1) or ((BeginLoop_2_3_2 >= 1) or ((BeginLoop_5_3_2 >= 1) or ((BeginLoop_4_3_2 >= 1) or ((BeginLoop_0_3_3 >= 1) or ((BeginLoop_6_3_2 >= 1) or ((BeginLoop_2_3_3 >= 1) or ((BeginLoop_1_3_3 >= 1) or ((BeginLoop_4_3_3 >= 1) or ((BeginLoop_3_3_3 >= 1) or ((BeginLoop_6_2_1 >= 1) or ((BeginLoop_0_2_2 >= 1) or ((BeginLoop_4_2_1 >= 1) or ((BeginLoop_5_2_1 >= 1) or ((BeginLoop_3_2_2 >= 1) or ((BeginLoop_4_2_2 >= 1) or ((BeginLoop_1_2_2 >= 1) or ((BeginLoop_2_2_2 >= 1) or ((BeginLoop_0_2_3 >= 1) or ((BeginLoop_1_2_3 >= 1) or ((BeginLoop_5_2_2 >= 1) or ((BeginLoop_6_2_2 >= 1) or ((BeginLoop_4_2_3 >= 1) or ((BeginLoop_5_2_3 >= 1) or ((BeginLoop_2_2_3 >= 1) or ((BeginLoop_3_2_3 >= 1) or ((BeginLoop_2_2_4 >= 1) or ((BeginLoop_1_2_4 >= 1) or ((BeginLoop_0_2_4 >= 1) or ((BeginLoop_6_2_3 >= 1) or ((BeginLoop_6_2_4 >= 1) or ((BeginLoop_5_2_4 >= 1) or ((BeginLoop_4_2_4 >= 1) or ((BeginLoop_3_2_4 >= 1) or ((BeginLoop_3_2_5 >= 1) or ((BeginLoop_2_2_5 >= 1) or ((BeginLoop_1_2_5 >= 1) or ((BeginLoop_0_2_5 >= 1) or ((BeginLoop_0_2_6 >= 1) or ((BeginLoop_6_2_5 >= 1) or ((BeginLoop_5_2_5 >= 1) or ((BeginLoop_4_2_5 >= 1) or ((BeginLoop_4_1_4 >= 1) or ((BeginLoop_5_1_4 >= 1) or ((BeginLoop_6_1_4 >= 1) or ((BeginLoop_0_1_5 >= 1) or ((BeginLoop_0_1_4 >= 1) or ((BeginLoop_1_1_4 >= 1) or ((BeginLoop_2_1_4 >= 1) or ((BeginLoop_3_1_4 >= 1) or ((BeginLoop_5_1_5 >= 1) or ((BeginLoop_6_1_5 >= 1) or ((BeginLoop_0_1_6 >= 1) or ((BeginLoop_1_1_6 >= 1) or ((BeginLoop_1_1_5 >= 1) or ((BeginLoop_2_1_5 >= 1) or ((BeginLoop_3_1_5 >= 1) or ((BeginLoop_4_1_5 >= 1) or ((BeginLoop_0_2_0 >= 1) or ((BeginLoop_6_1_6 >= 1) or ((BeginLoop_2_2_0 >= 1) or ((BeginLoop_1_2_0 >= 1) or ((BeginLoop_3_1_6 >= 1) or ((BeginLoop_2_1_6 >= 1) or ((BeginLoop_5_1_6 >= 1) or ((BeginLoop_4_1_6 >= 1) or ((BeginLoop_1_2_1 >= 1) or ((BeginLoop_0_2_1 >= 1) or ((BeginLoop_3_2_1 >= 1) or ((BeginLoop_2_2_1 >= 1) or ((BeginLoop_4_2_0 >= 1) or ((BeginLoop_3_2_0 >= 1) or ((BeginLoop_6_2_0 >= 1) or ((BeginLoop_5_2_0 >= 1) or ((BeginLoop_2_1_0 >= 1) or ((BeginLoop_3_1_0 >= 1) or ((BeginLoop_0_1_0 >= 1) or ((BeginLoop_1_1_0 >= 1) or ((BeginLoop_5_0_6 >= 1) or ((BeginLoop_6_0_6 >= 1) or ((BeginLoop_3_0_6 >= 1) or ((BeginLoop_4_0_6 >= 1) or ((BeginLoop_3_1_1 >= 1) or ((BeginLoop_4_1_1 >= 1) or ((BeginLoop_1_1_1 >= 1) or ((BeginLoop_2_1_1 >= 1) or ((BeginLoop_6_1_0 >= 1) or ((BeginLoop_0_1_1 >= 1) or ((BeginLoop_4_1_0 >= 1) or ((BeginLoop_5_1_0 >= 1) or ((BeginLoop_5_1_2 >= 1) or ((BeginLoop_4_1_2 >= 1) or ((BeginLoop_3_1_2 >= 1) or ((BeginLoop_2_1_2 >= 1) or ((BeginLoop_1_1_2 >= 1) or ((BeginLoop_0_1_2 >= 1) or ((BeginLoop_6_1_1 >= 1) or ((BeginLoop_5_1_1 >= 1) or ((BeginLoop_6_1_3 >= 1) or ((BeginLoop_5_1_3 >= 1) or ((BeginLoop_4_1_3 >= 1) or ((BeginLoop_3_1_3 >= 1) or ((BeginLoop_2_1_3 >= 1) or ((BeginLoop_1_1_3 >= 1) or ((BeginLoop_0_1_3 >= 1) or (BeginLoop_6_1_2 >= 1)))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))) and ((EndTurn_6_4 >= 1) or ((EndTurn_2_4 >= 1) or ((EndTurn_3_4 >= 1) or ((EndTurn_4_4 >= 1) or ((EndTurn_5_4 >= 1) or ((EndTurn_5_2 >= 1) or ((EndTurn_4_2 >= 1) or ((EndTurn_0_3 >= 1) or ((EndTurn_6_2 >= 1) or ((EndTurn_1_2 >= 1) or ((EndTurn_0_2 >= 1) or ((EndTurn_3_2 >= 1) or ((EndTurn_2_2 >= 1) or ((EndTurn_6_3 >= 1) or ((EndTurn_5_3 >= 1) or ((EndTurn_1_4 >= 1) or ((EndTurn_0_4 >= 1) or ((EndTurn_2_3 >= 1) or ((EndTurn_1_3 >= 1) or ((EndTurn_4_3 >= 1) or ((EndTurn_3_3 >= 1) or ((EndTurn_0_1 >= 1) or ((EndTurn_6_0 >= 1) or ((EndTurn_2_1 >= 1) or ((EndTurn_1_1 >= 1) or ((EndTurn_4_1 >= 1) or ((EndTurn_3_1 >= 1) or ((EndTurn_6_1 >= 1) or ((EndTurn_5_1 >= 1) or ((EndTurn_1_0 >= 1) or ((EndTurn_0_0 >= 1) or ((EndTurn_3_0 >= 1) or ((EndTurn_2_0 >= 1) or ((EndTurn_5_0 >= 1) or (EndTurn_4_0 >= 1)))))))))))))))))))))))))))))))))))) and (not ((EndTurn_6_4 >= 1) or ((EndTurn_2_4 >= 1) or ((EndTurn_3_4 >= 1) or ((EndTurn_4_4 >= 1) or ((EndTurn_5_4 >= 1) or ((EndTurn_5_2 >= 1) or ((EndTurn_4_2 >= 1) or ((EndTurn_0_3 >= 1) or ((EndTurn_6_2 >= 1) or ((EndTurn_1_2 >= 1) or ((EndTurn_0_2 >= 1) or ((EndTurn_3_2 >= 1) or ((EndTurn_2_2 >= 1) or ((EndTurn_6_3 >= 1) or ((EndTurn_5_3 >= 1) or ((EndTurn_1_4 >= 1) or ((EndTurn_0_4 >= 1) or ((EndTurn_2_3 >= 1) or ((EndTurn_1_3 >= 1) or ((EndTurn_4_3 >= 1) or ((EndTurn_3_3 >= 1) or ((EndTurn_0_1 >= 1) or ((EndTurn_6_0 >= 1) or ((EndTurn_2_1 >= 1) or ((EndTurn_1_1 >= 1) or ((EndTurn_4_1 >= 1) or ((EndTurn_3_1 >= 1) or ((EndTurn_6_1 >= 1) or ((EndTurn_5_1 >= 1) or ((EndTurn_1_0 >= 1) or ((EndTurn_0_0 >= 1) or ((EndTurn_3_0 >= 1) or ((EndTurn_2_0 >= 1) or ((EndTurn_5_0 >= 1) or (EndTurn_4_0 >= 1)))))))))))))))))))))))))))))))))))))
Query after reduction: false
Query size reduced from 2632 to 1 nodes (99.96 percent reduction).
Query reduction finished after 39.321640 seconds.

FORMULA Peterson-COL-6-ReachabilityFireability-1 FALSE TECHNIQUES COLLATERAL_PROCESSING EXPLICIT STRUCTURAL_REDUCTION STATE_COMPRESSION STUBBORN_SETS

Query is NOT satisfied.

Query solved by Query Simplification.

Solution found
------------------- QUERY 6 ----------------------
Running query 6 for 1103 seconds. Remaining: 3 queries and 3304 seconds

Parameters: -s DFS -d 100 -q 100 ./model.pnml ./ReachabilityFireability.xml -x 6

Search=DFS,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=100,Stubborn_Reduction=ENABLED,Query_Simplication=ENABLED,QSTimeout=100,Siphon_Trap=DISABLED,LPSolve_Timeout=10

Query before reduction: AG (not ((((((AskForSection_0_3 >= 1) and (Turn_3_5 >= 1)) or (((Turn_3_5 >= 1) and (AskForSection_1_3 >= 1)) or (((AskForSection_2_3 >= 1) and (Turn_3_5 >= 1)) or (((Turn_3_5 >= 1) and (AskForSection_3_3 >= 1)) or (((AskForSection_3_3 >= 1) and (Turn_3_4 >= 1)) or (((Turn_3_4 >= 1) and (AskForSection_4_3 >= 1)) or (((AskForSection_5_3 >= 1) and (Turn_3_4 >= 1)) or (((Turn_3_4 >= 1) and (AskForSection_6_3 >= 1)) or (((AskForSection_6_3 >= 1) and (Turn_3_3 >= 1)) or (((Turn_3_4 >= 1) and (AskForSection_0_3 >= 1)) or (((AskForSection_1_3 >= 1) and (Turn_3_4 >= 1)) or (((Turn_3_4 >= 1) and (AskForSection_2_3 >= 1)) or (((Turn_3_3 >= 1) and (AskForSection_2_3 >= 1)) or (((Turn_3_3 >= 1) and (AskForSection_3_3 >= 1)) or (((Turn_3_3 >= 1) and (AskForSection_4_3 >= 1)) or (((Turn_3_3 >= 1) and (AskForSection_5_3 >= 1)) or (((Turn_3_2 >= 1) and (AskForSection_6_3 >= 1)) or (((Turn_3_2 >= 1) and (AskForSection_5_3 >= 1)) or (((Turn_3_3 >= 1) and (AskForSection_1_3 >= 1)) or (((Turn_3_3 >= 1) and (AskForSection_0_3 >= 1)) or (((Turn_3_2 >= 1) and (AskForSection_2_3 >= 1)) or (((Turn_3_2 >= 1) and (AskForSection_1_3 >= 1)) or (((Turn_3_2 >= 1) and (AskForSection_4_3 >= 1)) or (((Turn_3_2 >= 1) and (AskForSection_3_3 >= 1)) or (((Turn_3_1 >= 1) and (AskForSection_5_3 >= 1)) or (((Turn_3_1 >= 1) and (AskForSection_4_3 >= 1)) or (((Turn_3_2 >= 1) and (AskForSection_0_3 >= 1)) or (((Turn_3_1 >= 1) and (AskForSection_6_3 >= 1)) or (((AskForSection_1_3 >= 1) and (Turn_3_1 >= 1)) or (((AskForSection_0_3 >= 1) and (Turn_3_1 >= 1)) or (((AskForSection_3_3 >= 1) and (Turn_3_1 >= 1)) or (((AskForSection_2_3 >= 1) and (Turn_3_1 >= 1)) or (((Turn_4_2 >= 1) and (AskForSection_6_4 >= 1)) or (((AskForSection_0_4 >= 1) and (Turn_4_3 >= 1)) or (((Turn_4_2 >= 1) and (AskForSection_4_4 >= 1)) or (((Turn_4_2 >= 1) and (AskForSection_5_4 >= 1)) or (((Turn_4_2 >= 1) and (AskForSection_2_4 >= 1)) or (((AskForSection_3_4 >= 1) and (Turn_4_2 >= 1)) or (((Turn_4_2 >= 1) and (AskForSection_0_4 >= 1)) or (((Turn_4_2 >= 1) and (AskForSection_1_4 >= 1)) or (((Turn_4_1 >= 1) and (AskForSection_5_4 >= 1)) or (((AskForSection_6_4 >= 1) and (Turn_4_1 >= 1)) or (((Turn_4_1 >= 1) and (AskForSection_3_4 >= 1)) or (((Turn_4_1 >= 1) and (AskForSection_4_4 >= 1)) or (((AskForSection_1_4 >= 1) and (Turn_4_1 >= 1)) or (((AskForSection_2_4 >= 1) and (Turn_4_1 >= 1)) or (((AskForSection_6_4 >= 1) and (Turn_4_0 >= 1)) or (((Turn_4_1 >= 1) and (AskForSection_0_4 >= 1)) or (((AskForSection_5_4 >= 1) and (Turn_4_0 >= 1)) or (((AskForSection_4_4 >= 1) and (Turn_4_0 >= 1)) or (((Turn_4_0 >= 1) and (AskForSection_3_4 >= 1)) or (((AskForSection_2_4 >= 1) and (Turn_4_0 >= 1)) or (((AskForSection_1_4 >= 1) and (Turn_4_0 >= 1)) or (((AskForSection_0_4 >= 1) and (Turn_4_0 >= 1)) or (((Turn_3_6 >= 1) and (AskForSection_6_3 >= 1)) or (((AskForSection_5_3 >= 1) and (Turn_3_6 >= 1)) or (((AskForSection_4_3 >= 1) and (Turn_3_6 >= 1)) or (((AskForSection_3_3 >= 1) and (Turn_3_6 >= 1)) or (((Turn_3_6 >= 1) and (AskForSection_2_3 >= 1)) or (((AskForSection_1_3 >= 1) and (Turn_3_6 >= 1)) or (((AskForSection_0_3 >= 1) and (Turn_3_6 >= 1)) or (((AskForSection_6_3 >= 1) and (Turn_3_5 >= 1)) or (((Turn_3_5 >= 1) and (AskForSection_5_3 >= 1)) or (((AskForSection_4_3 >= 1) and (Turn_3_5 >= 1)) or (((AskForSection_2_2 >= 1) and (Turn_2_2 >= 1)) or (((Turn_2_2 >= 1) and (AskForSection_3_2 >= 1)) or (((AskForSection_4_2 >= 1) and (Turn_2_2 >= 1)) or (((Turn_2_2 >= 1) and (AskForSection_5_2 >= 1)) or (((AskForSection_6_2 >= 1) and (Turn_2_2 >= 1)) or (((Turn_2_3 >= 1) and (AskForSection_0_2 >= 1)) or (((AskForSection_1_2 >= 1) and (Turn_2_3 >= 1)) or (((AskForSection_2_2 >= 1) and (Turn_2_3 >= 1)) or (((Turn_2_1 >= 1) and (AskForSection_1_2 >= 1)) or (((Turn_2_1 >= 1) and (AskForSection_2_2 >= 1)) or (((Turn_2_1 >= 1) and (AskForSection_3_2 >= 1)) or (((Turn_2_1 >= 1) and (AskForSection_4_2 >= 1)) or (((AskForSection_5_2 >= 1) and (Turn_2_1 >= 1)) or (((Turn_2_1 >= 1) and (AskForSection_6_2 >= 1)) or (((AskForSection_0_2 >= 1) and (Turn_2_2 >= 1)) or (((AskForSection_1_2 >= 1) and (Turn_2_2 >= 1)) or (((Turn_2_0 >= 1) and (AskForSection_1_2 >= 1)) or (((Turn_2_0 >= 1) and (AskForSection_0_2 >= 1)) or (((Turn_2_0 >= 1) and (AskForSection_3_2 >= 1)) or (((Turn_2_0 >= 1) and (AskForSection_2_2 >= 1)) or (((Turn_2_0 >= 1) and (AskForSection_5_2 >= 1)) or (((Turn_2_0 >= 1) and (AskForSection_4_2 >= 1)) or (((AskForSection_0_2 >= 1) and (Turn_2_1 >= 1)) or (((Turn_2_0 >= 1) and (AskForSection_6_2 >= 1)) or (((AskForSection_0_1 >= 1) and (Turn_1_6 >= 1)) or (((AskForSection_6_1 >= 1) and (Turn_1_5 >= 1)) or (((AskForSection_2_1 >= 1) and (Turn_1_6 >= 1)) or (((AskForSection_1_1 >= 1) and (Turn_1_6 >= 1)) or (((Turn_1_6 >= 1) and (AskForSection_4_1 >= 1)) or (((Turn_1_6 >= 1) and (AskForSection_3_1 >= 1)) or (((AskForSection_6_1 >= 1) and (Turn_1_6 >= 1)) or (((Turn_1_6 >= 1) and (AskForSection_5_1 >= 1)) or (((AskForSection_1_3 >= 1) and (Turn_3_0 >= 1)) or (((Turn_3_0 >= 1) and (AskForSection_2_3 >= 1)) or (((AskForSection_6_2 >= 1) and (Turn_2_6 >= 1)) or (((AskForSection_0_3 >= 1) and (Turn_3_0 >= 1)) or (((AskForSection_5_3 >= 1) and (Turn_3_0 >= 1)) or (((Turn_3_0 >= 1) and (AskForSection_6_3 >= 1)) or (((AskForSection_3_3 >= 1) and (Turn_3_0 >= 1)) or (((AskForSection_4_3 >= 1) and (Turn_3_0 >= 1)) or (((Turn_2_6 >= 1) and (AskForSection_0_2 >= 1)) or (((Turn_2_6 >= 1) and (AskForSection_1_2 >= 1)) or (((Turn_2_5 >= 1) and (AskForSection_5_2 >= 1)) or (((AskForSection_6_2 >= 1) and (Turn_2_5 >= 1)) or (((AskForSection_4_2 >= 1) and (Turn_2_6 >= 1)) or (((Turn_2_6 >= 1) and (AskForSection_5_2 >= 1)) or (((AskForSection_2_2 >= 1) and (Turn_2_6 >= 1)) or (((AskForSection_3_2 >= 1) and (Turn_2_6 >= 1)) or (((Turn_2_5 >= 1) and (AskForSection_0_2 >= 1)) or (((Turn_2_4 >= 1) and (AskForSection_6_2 >= 1)) or (((AskForSection_5_2 >= 1) and (Turn_2_4 >= 1)) or (((Turn_2_4 >= 1) and (AskForSection_4_2 >= 1)) or (((Turn_2_5 >= 1) and (AskForSection_4_2 >= 1)) or (((Turn_2_5 >= 1) and (AskForSection_3_2 >= 1)) or (((AskForSection_2_2 >= 1) and (Turn_2_5 >= 1)) or (((Turn_2_5 >= 1) and (AskForSection_1_2 >= 1)) or (((AskForSection_6_2 >= 1) and (Turn_2_3 >= 1)) or (((AskForSection_5_2 >= 1) and (Turn_2_3 >= 1)) or (((Turn_2_3 >= 1) and (AskForSection_4_2 >= 1)) or (((AskForSection_3_2 >= 1) and (Turn_2_3 >= 1)) or (((Turn_2_4 >= 1) and (AskForSection_3_2 >= 1)) or (((Turn_2_4 >= 1) and (AskForSection_2_2 >= 1)) or (((AskForSection_1_2 >= 1) and (Turn_2_4 >= 1)) or (((Turn_2_4 >= 1) and (AskForSection_0_2 >= 1)) or (((AskForSection_6_5 >= 1) and (Turn_5_5 >= 1)) or (((AskForSection_0_5 >= 1) and (Turn_5_6 >= 1)) or (((AskForSection_1_5 >= 1) and (Turn_5_6 >= 1)) or (((Turn_5_6 >= 1) and (AskForSection_2_5 >= 1)) or (((Turn_5_5 >= 1) and (AskForSection_2_5 >= 1)) or (((Turn_5_5 >= 1) and (AskForSection_3_5 >= 1)) or (((Turn_5_5 >= 1) and (AskForSection_4_5 >= 1)) or (((AskForSection_5_5 >= 1) and (Turn_5_5 >= 1)) or (((AskForSection_3_5 >= 1) and (Turn_5_6 >= 1)) or (((AskForSection_4_5 >= 1) and (Turn_5_6 >= 1)) or (((AskForSection_5_5 >= 1) and (Turn_5_6 >= 1)) or (((AskForSection_6_5 >= 1) and (Turn_5_6 >= 1)) or (((AskForSection_4_4 >= 1) and (Turn_4_5 >= 1)) or (((AskForSection_3_4 >= 1) and (Turn_4_5 >= 1)) or (((AskForSection_6_4 >= 1) and (Turn_4_5 >= 1)) or (((AskForSection_5_4 >= 1) and (Turn_4_5 >= 1)) or (((AskForSection_1_4 >= 1) and (Turn_4_6 >= 1)) or (((Turn_4_6 >= 1) and (AskForSection_0_4 >= 1)) or (((AskForSection_3_4 >= 1) and (Turn_4_6 >= 1)) or (((Turn_4_6 >= 1) and (AskForSection_2_4 >= 1)) or (((AskForSection_5_4 >= 1) and (Turn_4_6 >= 1)) or (((Turn_4_6 >= 1) and (AskForSection_4_4 >= 1)) or (((AskForSection_0_5 >= 1) and (Turn_5_0 >= 1)) or (((Turn_4_6 >= 1) and (AskForSection_6_4 >= 1)) or (((AskForSection_2_5 >= 1) and (Turn_5_0 >= 1)) or (((Turn_5_0 >= 1) and (AskForSection_1_5 >= 1)) or (((AskForSection_4_5 >= 1) and (Turn_5_0 >= 1)) or (((Turn_5_0 >= 1) and (AskForSection_3_5 >= 1)) or (((Turn_4_3 >= 1) and (AskForSection_1_4 >= 1)) or (((Turn_4_3 >= 1) and (AskForSection_2_4 >= 1)) or (((Turn_4_3 >= 1) and (AskForSection_3_4 >= 1)) or (((AskForSection_4_4 >= 1) and (Turn_4_3 >= 1)) or (((AskForSection_5_4 >= 1) and (Turn_4_3 >= 1)) or (((AskForSection_6_4 >= 1) and (Turn_4_3 >= 1)) or (((AskForSection_0_4 >= 1) and (Turn_4_4 >= 1)) or (((AskForSection_1_4 >= 1) and (Turn_4_4 >= 1)) or (((AskForSection_2_4 >= 1) and (Turn_4_4 >= 1)) or (((AskForSection_3_4 >= 1) and (Turn_4_4 >= 1)) or (((AskForSection_4_4 >= 1) and (Turn_4_4 >= 1)) or (((AskForSection_5_4 >= 1) and (Turn_4_4 >= 1)) or (((AskForSection_6_4 >= 1) and (Turn_4_4 >= 1)) or (((AskForSection_0_4 >= 1) and (Turn_4_5 >= 1)) or (((AskForSection_1_4 >= 1) and (Turn_4_5 >= 1)) or (((AskForSection_2_4 >= 1) and (Turn_4_5 >= 1)) or (((AskForSection_3_5 >= 1) and (Turn_5_3 >= 1)) or (((AskForSection_2_5 >= 1) and (Turn_5_3 >= 1)) or (((Turn_5_3 >= 1) and (AskForSection_1_5 >= 1)) or (((AskForSection_0_5 >= 1) and (Turn_5_3 >= 1)) or (((Turn_5_4 >= 1) and (AskForSection_0_5 >= 1)) or (((Turn_5_3 >= 1) and (AskForSection_6_5 >= 1)) or (((Turn_5_3 >= 1) and (AskForSection_5_5 >= 1)) or (((Turn_5_3 >= 1) and (AskForSection_4_5 >= 1)) or (((AskForSection_4_5 >= 1) and (Turn_5_4 >= 1)) or (((Turn_5_4 >= 1) and (AskForSection_3_5 >= 1)) or (((Turn_5_4 >= 1) and (AskForSection_2_5 >= 1)) or (((Turn_5_4 >= 1) and (AskForSection_1_5 >= 1)) or (((Turn_5_5 >= 1) and (AskForSection_1_5 >= 1)) or (((Turn_5_5 >= 1) and (AskForSection_0_5 >= 1)) or (((Turn_5_4 >= 1) and (AskForSection_6_5 >= 1)) or (((Turn_5_4 >= 1) and (AskForSection_5_5 >= 1)) or (((Turn_5_1 >= 1) and (AskForSection_0_5 >= 1)) or (((AskForSection_1_5 >= 1) and (Turn_5_1 >= 1)) or (((Turn_5_0 >= 1) and (AskForSection_5_5 >= 1)) or (((AskForSection_6_5 >= 1) and (Turn_5_0 >= 1)) or (((AskForSection_4_5 >= 1) and (Turn_5_1 >= 1)) or (((Turn_5_1 >= 1) and (AskForSection_5_5 >= 1)) or (((AskForSection_2_5 >= 1) and (Turn_5_1 >= 1)) or (((Turn_5_1 >= 1) and (AskForSection_3_5 >= 1)) or (((AskForSection_1_5 >= 1) and (Turn_5_2 >= 1)) or (((AskForSection_2_5 >= 1) and (Turn_5_2 >= 1)) or (((AskForSection_6_5 >= 1) and (Turn_5_1 >= 1)) or (((Turn_5_2 >= 1) and (AskForSection_0_5 >= 1)) or (((AskForSection_5_5 >= 1) and (Turn_5_2 >= 1)) or (((Turn_5_2 >= 1) and (AskForSection_6_5 >= 1)) or (((AskForSection_3_5 >= 1) and (Turn_5_2 >= 1)) or (((Turn_5_2 >= 1) and (AskForSection_4_5 >= 1)) or (((AskForSection_4_0 >= 1) and (Turn_0_4 >= 1)) or (((Turn_0_4 >= 1) and (AskForSection_5_0 >= 1)) or (((AskForSection_2_0 >= 1) and (Turn_0_4 >= 1)) or (((Turn_0_4 >= 1) and (AskForSection_3_0 >= 1)) or (((Turn_0_4 >= 1) and (AskForSection_0_0 >= 1)) or (((AskForSection_1_0 >= 1) and (Turn_0_4 >= 1)) or (((Turn_0_3 >= 1) and (AskForSection_5_0 >= 1)) or (((AskForSection_6_0 >= 1) and (Turn_0_3 >= 1)) or (((AskForSection_5_0 >= 1) and (Turn_0_5 >= 1)) or (((Turn_0_5 >= 1) and (AskForSection_6_0 >= 1)) or (((AskForSection_3_0 >= 1) and (Turn_0_5 >= 1)) or (((Turn_0_5 >= 1) and (AskForSection_4_0 >= 1)) or (((AskForSection_1_0 >= 1) and (Turn_0_5 >= 1)) or (((AskForSection_2_0 >= 1) and (Turn_0_5 >= 1)) or (((AskForSection_6_0 >= 1) and (Turn_0_4 >= 1)) or (((Turn_0_5 >= 1) and (AskForSection_0_0 >= 1)) or (((Turn_1_0 >= 1) and (AskForSection_0_1 >= 1)) or (((Turn_0_6 >= 1) and (AskForSection_6_0 >= 1)) or (((Turn_0_6 >= 1) and (AskForSection_5_0 >= 1)) or (((Turn_0_6 >= 1) and (AskForSection_4_0 >= 1)) or (((Turn_0_6 >= 1) and (AskForSection_3_0 >= 1)) or (((AskForSection_2_0 >= 1) and (Turn_0_6 >= 1)) or (((Turn_0_6 >= 1) and (AskForSection_1_0 >= 1)) or (((AskForSection_0_0 >= 1) and (Turn_0_6 >= 1)) or (((Turn_1_1 >= 1) and (AskForSection_1_1 >= 1)) or (((Turn_1_1 >= 1) and (AskForSection_0_1 >= 1)) or (((Turn_1_0 >= 1) and (AskForSection_6_1 >= 1)) or (((Turn_1_0 >= 1) and (AskForSection_5_1 >= 1)) or (((AskForSection_4_1 >= 1) and (Turn_1_0 >= 1)) or (((Turn_1_0 >= 1) and (AskForSection_3_1 >= 1)) or (((Turn_1_0 >= 1) and (AskForSection_2_1 >= 1)) or (((Turn_1_0 >= 1) and (AskForSection_1_1 >= 1)) or (((Turn_1_1 >= 1) and (AskForSection_6_1 >= 1)) or (((AskForSection_0_1 >= 1) and (Turn_1_2 >= 1)) or (((Turn_1_2 >= 1) and (AskForSection_1_1 >= 1)) or (((AskForSection_2_1 >= 1) and (Turn_1_2 >= 1)) or (((Turn_1_1 >= 1) and (AskForSection_2_1 >= 1)) or (((Turn_1_1 >= 1) and (AskForSection_3_1 >= 1)) or (((Turn_1_1 >= 1) and (AskForSection_4_1 >= 1)) or (((Turn_1_1 >= 1) and (AskForSection_5_1 >= 1)) or (((Turn_1_3 >= 1) and (AskForSection_0_1 >= 1)) or (((AskForSection_1_1 >= 1) and (Turn_1_3 >= 1)) or (((Turn_1_3 >= 1) and (AskForSection_2_1 >= 1)) or (((AskForSection_3_1 >= 1) and (Turn_1_3 >= 1)) or (((Turn_1_2 >= 1) and (AskForSection_3_1 >= 1)) or (((AskForSection_4_1 >= 1) and (Turn_1_2 >= 1)) or (((Turn_1_2 >= 1) and (AskForSection_5_1 >= 1)) or (((Turn_1_2 >= 1) and (AskForSection_6_1 >= 1)) or (((AskForSection_2_1 >= 1) and (Turn_1_4 >= 1)) or (((AskForSection_1_1 >= 1) and (Turn_1_4 >= 1)) or (((AskForSection_4_1 >= 1) and (Turn_1_4 >= 1)) or (((AskForSection_3_1 >= 1) and (Turn_1_4 >= 1)) or (((AskForSection_5_1 >= 1) and (Turn_1_3 >= 1)) or (((Turn_1_3 >= 1) and (AskForSection_4_1 >= 1)) or (((Turn_1_4 >= 1) and (AskForSection_0_1 >= 1)) or (((Turn_1_3 >= 1) and (AskForSection_6_1 >= 1)) or (((AskForSection_3_1 >= 1) and (Turn_1_5 >= 1)) or (((AskForSection_2_1 >= 1) and (Turn_1_5 >= 1)) or (((AskForSection_5_1 >= 1) and (Turn_1_5 >= 1)) or (((AskForSection_4_1 >= 1) and (Turn_1_5 >= 1)) or (((AskForSection_6_1 >= 1) and (Turn_1_4 >= 1)) or (((AskForSection_5_1 >= 1) and (Turn_1_4 >= 1)) or (((Turn_1_5 >= 1) and (AskForSection_1_1 >= 1)) or (((AskForSection_0_1 >= 1) and (Turn_1_5 >= 1)) or (((AskForSection_0_0 >= 1) and (Turn_0_0 >= 1)) or (((Turn_0_0 >= 1) and (AskForSection_1_0 >= 1)) or (((AskForSection_2_0 >= 1) and (Turn_0_0 >= 1)) or (((AskForSection_3_0 >= 1) and (Turn_0_0 >= 1)) or (((AskForSection_4_0 >= 1) and (Turn_0_0 >= 1)) or (((AskForSection_5_0 >= 1) and (Turn_0_0 >= 1)) or (((AskForSection_6_0 >= 1) and (Turn_0_0 >= 1)) or (((AskForSection_0_0 >= 1) and (Turn_0_1 >= 1)) or (((AskForSection_1_0 >= 1) and (Turn_0_1 >= 1)) or (((Turn_0_1 >= 1) and (AskForSection_2_0 >= 1)) or (((AskForSection_4_0 >= 1) and (Turn_0_1 >= 1)) or (((AskForSection_3_0 >= 1) and (Turn_0_1 >= 1)) or (((Turn_0_1 >= 1) and (AskForSection_6_0 >= 1)) or (((AskForSection_5_0 >= 1) and (Turn_0_1 >= 1)) or (((AskForSection_1_0 >= 1) and (Turn_0_2 >= 1)) or (((Turn_0_2 >= 1) and (AskForSection_0_0 >= 1)) or (((Turn_0_2 >= 1) and (AskForSection_3_0 >= 1)) or (((Turn_0_2 >= 1) and (AskForSection_2_0 >= 1)) or (((AskForSection_5_0 >= 1) and (Turn_0_2 >= 1)) or (((Turn_0_2 >= 1) and (AskForSection_4_0 >= 1)) or (((AskForSection_0_0 >= 1) and (Turn_0_3 >= 1)) or (((Turn_0_2 >= 1) and (AskForSection_6_0 >= 1)) or (((AskForSection_2_0 >= 1) and (Turn_0_3 >= 1)) or (((Turn_0_3 >= 1) and (AskForSection_1_0 >= 1)) or (((Turn_0_3 >= 1) and (AskForSection_4_0 >= 1)) or ((Turn_0_3 >= 1) and (AskForSection_3_0 >= 1))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))) and (((TestAlone_4_5_5 >= 1) and (WantSection_5_T >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_3_5_5 >= 1)) or (((TestAlone_2_5_5 >= 1) and (WantSection_5_T >= 1)) or (((TestAlone_1_5_5 >= 1) and (WantSection_5_T >= 1)) or (((TestAlone_2_5_6 >= 1) and (WantSection_6_T >= 1)) or (((TestAlone_1_5_6 >= 1) and (WantSection_6_T >= 1)) or (((TestAlone_0_5_6 >= 1) and (WantSection_6_T >= 1)) or (((TestAlone_6_5_5 >= 1) and (WantSection_5_T >= 1)) or (((TestAlone_2_5_4 >= 1) and (WantSection_4_T >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_1_5_4 >= 1)) or (((TestAlone_0_5_4 >= 1) and (WantSection_4_T >= 1)) or (((TestAlone_6_5_3 >= 1) and (WantSection_3_T >= 1)) or (((TestAlone_0_5_5 >= 1) and (WantSection_5_T >= 1)) or (((TestAlone_6_5_4 >= 1) and (WantSection_4_T >= 1)) or (((TestAlone_5_5_4 >= 1) and (WantSection_4_T >= 1)) or (((TestAlone_3_5_4 >= 1) and (WantSection_4_T >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_5_5_6 >= 1)) or (((TestAlone_3_5_6 >= 1) and (WantSection_6_T >= 1)) or (((TestAlone_4_5_6 >= 1) and (WantSection_6_T >= 1)) or (((TestAlone_5_5_0 >= 1) and (WantSection_0_T >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_4_5_0 >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_0_5_1 >= 1)) or (((TestAlone_6_5_0 >= 1) and (WantSection_0_T >= 1)) or (((TestAlone_1_5_0 >= 1) and (WantSection_0_T >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_5_4_6 >= 1)) or (((TestAlone_3_5_0 >= 1) and (WantSection_0_T >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_2_5_0 >= 1)) or (((TestAlone_2_4_6 >= 1) and (WantSection_6_T >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_1_4_6 >= 1)) or (((TestAlone_4_4_6 >= 1) and (WantSection_6_T >= 1)) or (((TestAlone_3_4_6 >= 1) and (WantSection_6_T >= 1)) or (((TestAlone_4_4_5 >= 1) and (WantSection_5_T >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_3_4_5 >= 1)) or (((TestAlone_0_4_6 >= 1) and (WantSection_6_T >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_6_4_5 >= 1)) or (((TestAlone_1_5_3 >= 1) and (WantSection_3_T >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_2_5_3 >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_4_5_3 >= 1)) or (((TestAlone_5_5_3 >= 1) and (WantSection_3_T >= 1)) or (((TestAlone_4_5_2 >= 1) and (WantSection_2_T >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_5_5_2 >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_6_5_2 >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_0_5_3 >= 1)) or (((TestAlone_6_5_1 >= 1) and (WantSection_1_T >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_0_5_2 >= 1)) or (((TestAlone_1_5_2 >= 1) and (WantSection_2_T >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_3_5_2 >= 1)) or (((TestAlone_2_5_1 >= 1) and (WantSection_1_T >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_3_5_1 >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_4_5_1 >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_5_5_1 >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_5_4_2 >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_4_4_2 >= 1)) or (((TestAlone_3_4_2 >= 1) and (WantSection_2_T >= 1)) or (((TestAlone_1_4_2 >= 1) and (WantSection_2_T >= 1)) or (((TestAlone_0_4_2 >= 1) and (WantSection_2_T >= 1)) or (((TestAlone_6_4_1 >= 1) and (WantSection_1_T >= 1)) or (((TestAlone_5_4_1 >= 1) and (WantSection_1_T >= 1)) or (((TestAlone_4_4_1 >= 1) and (WantSection_1_T >= 1)) or (((TestAlone_3_4_1 >= 1) and (WantSection_1_T >= 1)) or (((TestAlone_2_4_1 >= 1) and (WantSection_1_T >= 1)) or (((TestAlone_0_4_1 >= 1) and (WantSection_1_T >= 1)) or (((TestAlone_6_4_0 >= 1) and (WantSection_0_T >= 1)) or (((TestAlone_5_4_0 >= 1) and (WantSection_0_T >= 1)) or (((TestAlone_4_4_0 >= 1) and (WantSection_0_T >= 1)) or (((TestAlone_3_4_0 >= 1) and (WantSection_0_T >= 1)) or (((TestAlone_2_4_0 >= 1) and (WantSection_0_T >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_1_4_5 >= 1)) or (((TestAlone_2_4_5 >= 1) and (WantSection_5_T >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_6_4_4 >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_0_4_5 >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_3_4_4 >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_5_4_4 >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_1_4_4 >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_2_4_4 >= 1)) or (((TestAlone_6_4_3 >= 1) and (WantSection_3_T >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_0_4_4 >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_4_4_3 >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_5_4_3 >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_1_4_3 >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_2_4_3 >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_6_4_2 >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_0_4_3 >= 1)) or (((TestAlone_2_1_1 >= 1) and (WantSection_1_T >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_3_1_1 >= 1)) or (((TestAlone_4_1_1 >= 1) and (WantSection_1_T >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_5_1_1 >= 1)) or (((TestAlone_6_1_1 >= 1) and (WantSection_1_T >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_0_1_2 >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_1_1_2 >= 1)) or (((TestAlone_3_1_2 >= 1) and (WantSection_2_T >= 1)) or (((TestAlone_5_0_6 >= 1) and (WantSection_6_T >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_1_1_0 >= 1)) or (((TestAlone_2_1_0 >= 1) and (WantSection_0_T >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_3_1_0 >= 1)) or (((TestAlone_4_1_0 >= 1) and (WantSection_0_T >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_5_1_0 >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_6_1_0 >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_0_1_1 >= 1)) or (((TestAlone_0_1_4 >= 1) and (WantSection_4_T >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_6_1_3 >= 1)) or (((TestAlone_2_1_4 >= 1) and (WantSection_4_T >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_1_1_4 >= 1)) or (((TestAlone_5_1_4 >= 1) and (WantSection_4_T >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_3_1_4 >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_0_1_5 >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_6_1_4 >= 1)) or (((TestAlone_5_1_2 >= 1) and (WantSection_2_T >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_4_1_2 >= 1)) or (((TestAlone_0_1_3 >= 1) and (WantSection_3_T >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_6_1_2 >= 1)) or (((TestAlone_2_1_3 >= 1) and (WantSection_3_T >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_1_1_3 >= 1)) or (((TestAlone_5_1_3 >= 1) and (WantSection_3_T >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_4_1_3 >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_5_1_6 >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_1_2_0 >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_3_1_6 >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_4_1_6 >= 1)) or (((TestAlone_4_2_0 >= 1) and (WantSection_0_T >= 1)) or (((TestAlone_5_2_0 >= 1) and (WantSection_0_T >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_2_2_0 >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_3_2_0 >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_3_1_5 >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_4_1_5 >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_1_1_5 >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_2_1_5 >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_1_1_6 >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_2_1_6 >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_6_1_5 >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_0_1_6 >= 1)) or (((TestAlone_5_2_2 >= 1) and (WantSection_2_T >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_4_2_2 >= 1)) or (((TestAlone_3_2_2 >= 1) and (WantSection_2_T >= 1)) or (((TestAlone_1_2_2 >= 1) and (WantSection_2_T >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_2_2_3 >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_1_2_3 >= 1)) or (((TestAlone_0_2_3 >= 1) and (WantSection_3_T >= 1)) or (((TestAlone_6_2_2 >= 1) and (WantSection_2_T >= 1)) or (((TestAlone_3_2_1 >= 1) and (WantSection_1_T >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_2_2_1 >= 1)) or (((TestAlone_0_2_1 >= 1) and (WantSection_1_T >= 1)) or (((TestAlone_6_2_0 >= 1) and (WantSection_0_T >= 1)) or (((TestAlone_0_2_2 >= 1) and (WantSection_2_T >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_6_2_1 >= 1)) or (((TestAlone_5_2_1 >= 1) and (WantSection_1_T >= 1)) or (((TestAlone_4_2_1 >= 1) and (WantSection_1_T >= 1)) or (((TestAlone_3_2_5 >= 1) and (WantSection_5_T >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_4_2_5 >= 1)) or (((TestAlone_6_2_5 >= 1) and (WantSection_5_T >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_0_2_6 >= 1)) or (((TestAlone_6_2_4 >= 1) and (WantSection_4_T >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_0_2_5 >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_1_2_5 >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_2_2_5 >= 1)) or (((TestAlone_1_2_4 >= 1) and (WantSection_4_T >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_2_2_4 >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_3_2_4 >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_5_2_4 >= 1)) or (((TestAlone_4_2_3 >= 1) and (WantSection_3_T >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_5_2_3 >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_6_2_3 >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_0_2_4 >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_3_3_1 >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_2_3_1 >= 1)) or (((TestAlone_5_3_1 >= 1) and (WantSection_1_T >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_4_3_1 >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_5_3_0 >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_4_3_0 >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_0_3_1 >= 1)) or (((TestAlone_6_3_0 >= 1) and (WantSection_0_T >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_1_3_0 >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_5_2_6 >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_3_3_0 >= 1)) or (((TestAlone_2_3_0 >= 1) and (WantSection_0_T >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_2_2_6 >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_1_2_6 >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_4_2_6 >= 1)) or (((TestAlone_3_2_6 >= 1) and (WantSection_6_T >= 1)) or (((TestAlone_1_3_4 >= 1) and (WantSection_4_T >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_2_3_4 >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_6_3_3 >= 1)) or (((TestAlone_0_3_4 >= 1) and (WantSection_4_T >= 1)) or (((TestAlone_4_3_3 >= 1) and (WantSection_3_T >= 1)) or (((TestAlone_5_3_3 >= 1) and (WantSection_3_T >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_1_3_3 >= 1)) or (((TestAlone_2_3_3 >= 1) and (WantSection_3_T >= 1)) or (((TestAlone_6_3_2 >= 1) and (WantSection_2_T >= 1)) or (((TestAlone_0_3_3 >= 1) and (WantSection_3_T >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_4_3_2 >= 1)) or (((TestAlone_5_3_2 >= 1) and (WantSection_2_T >= 1)) or (((TestAlone_1_3_2 >= 1) and (WantSection_2_T >= 1)) or (((TestAlone_3_3_2 >= 1) and (WantSection_2_T >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_6_3_1 >= 1)) or (((TestAlone_0_3_2 >= 1) and (WantSection_2_T >= 1)) or (((TestAlone_1_4_0 >= 1) and (WantSection_0_T >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_5_3_6 >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_4_3_6 >= 1)) or (((TestAlone_3_3_6 >= 1) and (WantSection_6_T >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_2_3_6 >= 1)) or (((TestAlone_1_3_6 >= 1) and (WantSection_6_T >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_0_3_6 >= 1)) or (((TestAlone_6_3_5 >= 1) and (WantSection_5_T >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_4_3_5 >= 1)) or (((TestAlone_3_3_5 >= 1) and (WantSection_5_T >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_2_3_5 >= 1)) or (((TestAlone_1_3_5 >= 1) and (WantSection_5_T >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_0_3_5 >= 1)) or (((TestAlone_6_3_4 >= 1) and (WantSection_4_T >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_5_3_4 >= 1)) or (((TestAlone_3_3_4 >= 1) and (WantSection_4_T >= 1)) or (((TestAlone_1_0_0 >= 1) and (WantSection_0_T >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_6_0_0 >= 1)) or (((TestAlone_0_0_1 >= 1) and (WantSection_1_T >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_2_0_1 >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_3_0_1 >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_2_0_0 >= 1)) or (((TestAlone_3_0_0 >= 1) and (WantSection_0_T >= 1)) or (((TestAlone_4_0_0 >= 1) and (WantSection_0_T >= 1)) or (((TestAlone_5_0_0 >= 1) and (WantSection_0_T >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_5_0_2 >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_4_0_2 >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_3_0_2 >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_1_0_2 >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_0_0_2 >= 1)) or (((TestAlone_6_0_1 >= 1) and (WantSection_1_T >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_5_0_1 >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_4_0_1 >= 1)) or (((TestAlone_0_0_4 >= 1) and (WantSection_4_T >= 1)) or (((TestAlone_6_0_3 >= 1) and (WantSection_3_T >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_5_0_3 >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_4_0_3 >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_2_0_3 >= 1)) or (((TestAlone_1_0_3 >= 1) and (WantSection_3_T >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_0_0_3 >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_6_0_2 >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_1_0_5 >= 1)) or (((TestAlone_2_0_5 >= 1) and (WantSection_5_T >= 1)) or (((TestAlone_6_0_4 >= 1) and (WantSection_4_T >= 1)) or (((TestAlone_0_0_5 >= 1) and (WantSection_5_T >= 1)) or (((TestAlone_3_0_4 >= 1) and (WantSection_4_T >= 1)) or (((TestAlone_5_0_4 >= 1) and (WantSection_4_T >= 1)) or (((TestAlone_1_0_4 >= 1) and (WantSection_4_T >= 1)) or (((TestAlone_2_0_4 >= 1) and (WantSection_4_T >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_3_0_6 >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_4_0_6 >= 1)) or (((TestAlone_1_0_6 >= 1) and (WantSection_6_T >= 1)) or (((TestAlone_2_0_6 >= 1) and (WantSection_6_T >= 1)) or (((TestAlone_6_0_5 >= 1) and (WantSection_5_T >= 1)) or (((TestAlone_0_0_6 >= 1) and (WantSection_6_T >= 1)) or (((TestAlone_3_0_5 >= 1) and (WantSection_5_T >= 1)) or ((TestAlone_4_0_5 >= 1) and (WantSection_5_T >= 1)))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))) and ((((WantSection_6_F >= 1) and (TestAlone_4_3_6 >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_3_3_6 >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_1_4_0 >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_5_3_6 >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_3_4_0 >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_2_4_0 >= 1)) or (((TestAlone_5_4_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_4_4_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_0_4_1 >= 1) and (WantSection_1_F >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_6_4_0 >= 1)) or (((TestAlone_3_4_1 >= 1) and (WantSection_1_F >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_2_4_1 >= 1)) or (((TestAlone_5_4_1 >= 1) and (WantSection_1_F >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_4_4_1 >= 1)) or (((TestAlone_0_4_2 >= 1) and (WantSection_2_F >= 1)) or (((TestAlone_6_4_1 >= 1) and (WantSection_1_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_1_4_2 >= 1)) or (((TestAlone_3_4_2 >= 1) and (WantSection_2_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_4_4_2 >= 1)) or (((TestAlone_5_4_2 >= 1) and (WantSection_2_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_6_4_2 >= 1)) or (((TestAlone_0_4_3 >= 1) and (WantSection_3_F >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_1_4_3 >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_2_4_3 >= 1)) or (((TestAlone_4_4_3 >= 1) and (WantSection_3_F >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_5_4_3 >= 1)) or (((TestAlone_6_4_3 >= 1) and (WantSection_3_F >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_0_4_4 >= 1)) or (((TestAlone_1_4_4 >= 1) and (WantSection_4_F >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_2_4_4 >= 1)) or (((TestAlone_3_4_4 >= 1) and (WantSection_4_F >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_5_4_4 >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_2_4_5 >= 1)) or (((TestAlone_1_4_5 >= 1) and (WantSection_5_F >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_0_4_5 >= 1)) or (((TestAlone_6_4_4 >= 1) and (WantSection_4_F >= 1)) or (((TestAlone_0_4_6 >= 1) and (WantSection_6_F >= 1)) or (((TestAlone_6_4_5 >= 1) and (WantSection_5_F >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_4_4_5 >= 1)) or (((TestAlone_3_4_5 >= 1) and (WantSection_5_F >= 1)) or (((TestAlone_4_4_6 >= 1) and (WantSection_6_F >= 1)) or (((TestAlone_3_4_6 >= 1) and (WantSection_6_F >= 1)) or (((TestAlone_2_4_6 >= 1) and (WantSection_6_F >= 1)) or (((TestAlone_1_4_6 >= 1) and (WantSection_6_F >= 1)) or (((TestAlone_3_5_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_2_5_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_1_5_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_5_4_6 >= 1) and (WantSection_6_F >= 1)) or (((TestAlone_6_5_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_0_5_1 >= 1) and (WantSection_1_F >= 1)) or (((TestAlone_4_5_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_5_5_0 >= 1) and (WantSection_0_F >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_4_5_1 >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_5_5_1 >= 1)) or (((TestAlone_2_5_1 >= 1) and (WantSection_1_F >= 1)) or (((TestAlone_3_5_1 >= 1) and (WantSection_1_F >= 1)) or (((TestAlone_1_5_2 >= 1) and (WantSection_2_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_3_5_2 >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_6_5_1 >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_0_5_2 >= 1)) or (((TestAlone_6_5_2 >= 1) and (WantSection_2_F >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_0_5_3 >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_4_5_2 >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_5_5_2 >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_0_5_4 >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_6_5_3 >= 1)) or (((TestAlone_2_5_4 >= 1) and (WantSection_4_F >= 1)) or (((TestAlone_1_5_4 >= 1) and (WantSection_4_F >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_2_5_3 >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_1_5_3 >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_5_5_3 >= 1)) or (((TestAlone_4_5_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_2_5_5 >= 1) and (WantSection_5_F >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_1_5_5 >= 1)) or (((TestAlone_4_5_5 >= 1) and (WantSection_5_F >= 1)) or (((TestAlone_3_5_5 >= 1) and (WantSection_5_F >= 1)) or (((TestAlone_5_5_4 >= 1) and (WantSection_4_F >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_3_5_4 >= 1)) or (((TestAlone_0_5_5 >= 1) and (WantSection_5_F >= 1)) or (((TestAlone_6_5_4 >= 1) and (WantSection_4_F >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_3_5_6 >= 1)) or (((TestAlone_4_5_6 >= 1) and (WantSection_6_F >= 1)) or (((TestAlone_5_5_6 >= 1) and (WantSection_6_F >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_6_5_5 >= 1)) or (((TestAlone_0_5_6 >= 1) and (WantSection_6_F >= 1)) or (((TestAlone_1_5_6 >= 1) and (WantSection_6_F >= 1)) or (((TestAlone_2_5_6 >= 1) and (WantSection_6_F >= 1)) or (((TestAlone_5_1_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_4_1_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_2_1_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_1_1_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_2_1_4 >= 1) and (WantSection_4_F >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_1_1_4 >= 1)) or (((TestAlone_0_1_4 >= 1) and (WantSection_4_F >= 1)) or (((TestAlone_6_1_3 >= 1) and (WantSection_3_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_3_1_2 >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_1_1_2 >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_0_1_2 >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_6_1_1 >= 1)) or (((TestAlone_0_1_3 >= 1) and (WantSection_3_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_6_1_2 >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_5_1_2 >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_4_1_2 >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_6_1_0 >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_0_1_1 >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_4_1_0 >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_5_1_0 >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_4_1_1 >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_5_1_1 >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_2_1_1 >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_3_1_1 >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_3_0_6 >= 1)) or (((TestAlone_4_0_6 >= 1) and (WantSection_6_F >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_1_0_6 >= 1)) or (((TestAlone_2_0_6 >= 1) and (WantSection_6_F >= 1)) or (((TestAlone_2_1_0 >= 1) and (WantSection_0_F >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_3_1_0 >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_5_0_6 >= 1)) or (((TestAlone_1_1_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_5_2_1 >= 1) and (WantSection_1_F >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_4_2_1 >= 1)) or (((TestAlone_0_2_2 >= 1) and (WantSection_2_F >= 1)) or (((TestAlone_6_2_1 >= 1) and (WantSection_1_F >= 1)) or (((TestAlone_3_2_2 >= 1) and (WantSection_2_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_1_2_2 >= 1)) or (((TestAlone_5_2_2 >= 1) and (WantSection_2_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_4_2_2 >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_3_2_0 >= 1)) or (((TestAlone_2_2_0 >= 1) and (WantSection_0_F >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_5_2_0 >= 1)) or (((TestAlone_4_2_0 >= 1) and (WantSection_0_F >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_0_2_1 >= 1)) or (((TestAlone_6_2_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_3_2_1 >= 1) and (WantSection_1_F >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_2_2_1 >= 1)) or (((TestAlone_6_1_5 >= 1) and (WantSection_5_F >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_0_1_6 >= 1)) or (((TestAlone_1_1_6 >= 1) and (WantSection_6_F >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_2_1_6 >= 1)) or (((TestAlone_3_1_6 >= 1) and (WantSection_6_F >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_4_1_6 >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_5_1_6 >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_1_2_0 >= 1)) or (((TestAlone_3_1_4 >= 1) and (WantSection_4_F >= 1)) or (((TestAlone_5_1_4 >= 1) and (WantSection_4_F >= 1)) or (((TestAlone_6_1_4 >= 1) and (WantSection_4_F >= 1)) or (((TestAlone_0_1_5 >= 1) and (WantSection_5_F >= 1)) or (((TestAlone_1_1_5 >= 1) and (WantSection_5_F >= 1)) or (((TestAlone_2_1_5 >= 1) and (WantSection_5_F >= 1)) or (((TestAlone_3_1_5 >= 1) and (WantSection_5_F >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_4_1_5 >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_0_3_1 >= 1)) or (((TestAlone_6_3_0 >= 1) and (WantSection_0_F >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_5_3_0 >= 1)) or (((TestAlone_4_3_0 >= 1) and (WantSection_0_F >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_3_3_0 >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_2_3_0 >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_1_3_0 >= 1)) or (((TestAlone_5_2_6 >= 1) and (WantSection_6_F >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_4_2_6 >= 1)) or (((TestAlone_3_2_6 >= 1) and (WantSection_6_F >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_2_2_6 >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_1_2_6 >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_0_2_6 >= 1)) or (((TestAlone_6_2_5 >= 1) and (WantSection_5_F >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_4_2_5 >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_3_2_5 >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_1_2_5 >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_2_2_5 >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_6_2_4 >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_0_2_5 >= 1)) or (((TestAlone_3_2_4 >= 1) and (WantSection_4_F >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_5_2_4 >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_1_2_4 >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_2_2_4 >= 1)) or (((TestAlone_6_2_3 >= 1) and (WantSection_3_F >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_0_2_4 >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_4_2_3 >= 1)) or (((TestAlone_5_2_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_1_2_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_2_2_3 >= 1) and (WantSection_3_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_6_2_2 >= 1)) or (((TestAlone_0_2_3 >= 1) and (WantSection_3_F >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_0_3_6 >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_6_3_5 >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_2_3_6 >= 1)) or (((TestAlone_1_3_6 >= 1) and (WantSection_6_F >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_2_3_5 >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_1_3_5 >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_4_3_5 >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_3_3_5 >= 1)) or (((TestAlone_5_3_4 >= 1) and (WantSection_4_F >= 1)) or (((TestAlone_3_3_4 >= 1) and (WantSection_4_F >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_0_3_5 >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_6_3_4 >= 1)) or (((TestAlone_0_3_4 >= 1) and (WantSection_4_F >= 1)) or (((TestAlone_6_3_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_2_3_4 >= 1) and (WantSection_4_F >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_1_3_4 >= 1)) or (((TestAlone_1_3_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_2_3_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_4_3_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_5_3_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_4_3_2 >= 1) and (WantSection_2_F >= 1)) or (((TestAlone_5_3_2 >= 1) and (WantSection_2_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_6_3_2 >= 1)) or (((TestAlone_0_3_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_6_3_1 >= 1) and (WantSection_1_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_0_3_2 >= 1)) or (((TestAlone_1_3_2 >= 1) and (WantSection_2_F >= 1)) or (((TestAlone_3_3_2 >= 1) and (WantSection_2_F >= 1)) or (((TestAlone_2_3_1 >= 1) and (WantSection_1_F >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_3_3_1 >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_4_3_1 >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_5_3_1 >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_4_0_0 >= 1)) or (((TestAlone_5_0_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_2_0_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_3_0_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_1_0_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_1_0_4 >= 1) and (WantSection_4_F >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_2_0_4 >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_3_0_4 >= 1)) or (((TestAlone_5_0_4 >= 1) and (WantSection_4_F >= 1)) or (((TestAlone_4_0_3 >= 1) and (WantSection_3_F >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_5_0_3 >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_6_0_3 >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_0_0_4 >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_3_0_5 >= 1)) or (((TestAlone_4_0_5 >= 1) and (WantSection_5_F >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_6_0_5 >= 1)) or (((TestAlone_0_0_6 >= 1) and (WantSection_6_F >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_6_0_4 >= 1)) or (((TestAlone_0_0_5 >= 1) and (WantSection_5_F >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_1_0_5 >= 1)) or (((TestAlone_2_0_5 >= 1) and (WantSection_5_F >= 1)) or (((TestAlone_5_0_1 >= 1) and (WantSection_1_F >= 1)) or (((TestAlone_4_0_1 >= 1) and (WantSection_1_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_0_0_2 >= 1)) or (((TestAlone_6_0_1 >= 1) and (WantSection_1_F >= 1)) or (((TestAlone_0_0_1 >= 1) and (WantSection_1_F >= 1)) or (((TestAlone_6_0_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_3_0_1 >= 1) and (WantSection_1_F >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_2_0_1 >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_0_0_3 >= 1)) or (((TestAlone_6_0_2 >= 1) and (WantSection_2_F >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_2_0_3 >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_1_0_3 >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_3_0_2 >= 1)) or (((TestAlone_1_0_2 >= 1) and (WantSection_2_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_5_0_2 >= 1)) or ((WantSection_2_F >= 1) and (TestAlone_4_0_2 >= 1))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))) and (((Turn_5_0 >= 1) and (TestTurn_5_5 >= 1)) or (((Turn_5_0 >= 1) and (TestTurn_4_5 >= 1)) or (((Turn_5_0 >= 1) and (TestTurn_3_5 >= 1)) or (((Turn_5_0 >= 1) and (TestTurn_2_5 >= 1)) or (((TestTurn_1_5 >= 1) and (Turn_5_0 >= 1)) or (((Turn_4_6 >= 1) and (TestTurn_5_4 >= 1)) or (((Turn_4_6 >= 1) and (TestTurn_4_4 >= 1)) or (((Turn_4_6 >= 1) and (TestTurn_3_4 >= 1)) or (((TestTurn_2_4 >= 1) and (Turn_4_6 >= 1)) or (((Turn_4_6 >= 1) and (TestTurn_1_4 >= 1)) or (((Turn_4_6 >= 1) and (TestTurn_0_4 >= 1)) or (((Turn_4_5 >= 1) and (TestTurn_6_4 >= 1)) or (((TestTurn_4_4 >= 1) and (Turn_4_5 >= 1)) or (((Turn_4_5 >= 1) and (TestTurn_3_4 >= 1)) or (((Turn_4_5 >= 1) and (TestTurn_2_4 >= 1)) or (((Turn_4_5 >= 1) and (TestTurn_1_4 >= 1)) or (((TestTurn_1_5 >= 1) and (Turn_5_3 >= 1)) or (((Turn_5_3 >= 1) and (TestTurn_2_5 >= 1)) or (((TestTurn_6_5 >= 1) and (Turn_5_2 >= 1)) or (((Turn_5_3 >= 1) and (TestTurn_0_5 >= 1)) or (((TestTurn_4_5 >= 1) and (Turn_5_2 >= 1)) or (((TestTurn_5_5 >= 1) and (Turn_5_2 >= 1)) or (((TestTurn_1_5 >= 1) and (Turn_5_2 >= 1)) or (((Turn_5_2 >= 1) and (TestTurn_3_5 >= 1)) or (((TestTurn_6_5 >= 1) and (Turn_5_1 >= 1)) or (((TestTurn_0_5 >= 1) and (Turn_5_2 >= 1)) or (((TestTurn_4_5 >= 1) and (Turn_5_1 >= 1)) or (((Turn_5_1 >= 1) and (TestTurn_5_5 >= 1)) or (((TestTurn_2_5 >= 1) and (Turn_5_1 >= 1)) or (((TestTurn_3_5 >= 1) and (Turn_5_1 >= 1)) or (((TestTurn_6_5 >= 1) and (Turn_5_0 >= 1)) or (((Turn_5_1 >= 1) and (TestTurn_0_5 >= 1)) or (((TestTurn_4_5 >= 1) and (Turn_5_5 >= 1)) or (((Turn_5_5 >= 1) and (TestTurn_3_5 >= 1)) or (((Turn_5_6 >= 1) and (TestTurn_0_5 >= 1)) or (((Turn_5_5 >= 1) and (TestTurn_6_5 >= 1)) or (((TestTurn_0_5 >= 1) and (Turn_5_5 >= 1)) or (((Turn_5_4 >= 1) and (TestTurn_6_5 >= 1)) or (((TestTurn_2_5 >= 1) and (Turn_5_5 >= 1)) or (((Turn_5_5 >= 1) and (TestTurn_1_5 >= 1)) or (((TestTurn_2_5 >= 1) and (Turn_5_4 >= 1)) or (((Turn_5_4 >= 1) and (TestTurn_1_5 >= 1)) or (((TestTurn_5_5 >= 1) and (Turn_5_4 >= 1)) or (((Turn_5_4 >= 1) and (TestTurn_3_5 >= 1)) or (((TestTurn_5_5 >= 1) and (Turn_5_3 >= 1)) or (((Turn_5_3 >= 1) and (TestTurn_4_5 >= 1)) or (((TestTurn_0_5 >= 1) and (Turn_5_4 >= 1)) or (((Turn_5_3 >= 1) and (TestTurn_6_5 >= 1)) or (((TestTurn_5_5 >= 1) and (Turn_5_6 >= 1)) or (((TestTurn_1_5 >= 1) and (Turn_5_6 >= 1)) or (((TestTurn_2_5 >= 1) and (Turn_5_6 >= 1)) or (((TestTurn_3_5 >= 1) and (Turn_5_6 >= 1)) or (((TestTurn_4_5 >= 1) and (Turn_5_6 >= 1)) or (((Turn_3_3 >= 1) and (TestTurn_2_3 >= 1)) or (((Turn_3_3 >= 1) and (TestTurn_1_3 >= 1)) or (((Turn_3_3 >= 1) and (TestTurn_0_3 >= 1)) or (((Turn_3_2 >= 1) and (TestTurn_6_3 >= 1)) or (((Turn_3_4 >= 1) and (TestTurn_0_3 >= 1)) or (((Turn_3_3 >= 1) and (TestTurn_6_3 >= 1)) or (((Turn_3_3 >= 1) and (TestTurn_5_3 >= 1)) or (((Turn_3_3 >= 1) and (TestTurn_4_3 >= 1)) or (((Turn_3_2 >= 1) and (TestTurn_0_3 >= 1)) or (((Turn_3_1 >= 1) and (TestTurn_6_3 >= 1)) or (((Turn_3_1 >= 1) and (TestTurn_5_3 >= 1)) or (((Turn_3_1 >= 1) and (TestTurn_4_3 >= 1)) or (((TestTurn_5_3 >= 1) and (Turn_3_2 >= 1)) or (((Turn_3_2 >= 1) and (TestTurn_4_3 >= 1)) or (((Turn_3_2 >= 1) and (TestTurn_3_3 >= 1)) or (((Turn_3_2 >= 1) and (TestTurn_1_3 >= 1)) or (((TestTurn_6_3 >= 1) and (Turn_3_5 >= 1)) or (((Turn_3_6 >= 1) and (TestTurn_0_3 >= 1)) or (((TestTurn_3_3 >= 1) and (Turn_3_5 >= 1)) or (((Turn_3_5 >= 1) and (TestTurn_4_3 >= 1)) or (((TestTurn_3_3 >= 1) and (Turn_3_6 >= 1)) or (((Turn_3_6 >= 1) and (TestTurn_4_3 >= 1)) or (((TestTurn_1_3 >= 1) and (Turn_3_6 >= 1)) or (((Turn_3_6 >= 1) and (TestTurn_2_3 >= 1)) or (((TestTurn_3_3 >= 1) and (Turn_3_4 >= 1)) or (((Turn_3_4 >= 1) and (TestTurn_5_3 >= 1)) or (((TestTurn_1_3 >= 1) and (Turn_3_4 >= 1)) or (((Turn_3_4 >= 1) and (TestTurn_2_3 >= 1)) or (((TestTurn_1_3 >= 1) and (Turn_3_5 >= 1)) or (((TestTurn_2_3 >= 1) and (Turn_3_5 >= 1)) or (((TestTurn_6_3 >= 1) and (Turn_3_4 >= 1)) or (((Turn_3_5 >= 1) and (TestTurn_0_3 >= 1)) or (((TestTurn_3_4 >= 1) and (Turn_4_1 >= 1)) or (((Turn_4_1 >= 1) and (TestTurn_2_4 >= 1)) or (((Turn_4_1 >= 1) and (TestTurn_5_4 >= 1)) or (((Turn_4_1 >= 1) and (TestTurn_4_4 >= 1)) or (((TestTurn_0_4 >= 1) and (Turn_4_2 >= 1)) or (((Turn_4_1 >= 1) and (TestTurn_6_4 >= 1)) or (((Turn_4_2 >= 1) and (TestTurn_3_4 >= 1)) or (((Turn_4_2 >= 1) and (TestTurn_1_4 >= 1)) or (((TestTurn_1_4 >= 1) and (Turn_4_0 >= 1)) or (((Turn_3_6 >= 1) and (TestTurn_5_3 >= 1)) or (((Turn_4_0 >= 1) and (TestTurn_3_4 >= 1)) or (((Turn_4_0 >= 1) and (TestTurn_2_4 >= 1)) or (((TestTurn_5_4 >= 1) and (Turn_4_0 >= 1)) or (((Turn_4_0 >= 1) and (TestTurn_4_4 >= 1)) or (((TestTurn_0_4 >= 1) and (Turn_4_1 >= 1)) or (((Turn_4_0 >= 1) and (TestTurn_6_4 >= 1)) or (((TestTurn_6_4 >= 1) and (Turn_4_3 >= 1)) or (((TestTurn_0_4 >= 1) and (Turn_4_4 >= 1)) or (((TestTurn_1_4 >= 1) and (Turn_4_4 >= 1)) or (((Turn_4_4 >= 1) and (TestTurn_2_4 >= 1)) or (((TestTurn_3_4 >= 1) and (Turn_4_4 >= 1)) or (((TestTurn_5_4 >= 1) and (Turn_4_4 >= 1)) or (((TestTurn_6_4 >= 1) and (Turn_4_4 >= 1)) or (((TestTurn_0_4 >= 1) and (Turn_4_5 >= 1)) or (((TestTurn_4_4 >= 1) and (Turn_4_2 >= 1)) or (((TestTurn_5_4 >= 1) and (Turn_4_2 >= 1)) or (((TestTurn_6_4 >= 1) and (Turn_4_2 >= 1)) or (((Turn_4_3 >= 1) and (TestTurn_0_4 >= 1)) or (((TestTurn_1_4 >= 1) and (Turn_4_3 >= 1)) or (((TestTurn_2_4 >= 1) and (Turn_4_3 >= 1)) or (((TestTurn_4_4 >= 1) and (Turn_4_3 >= 1)) or (((TestTurn_5_4 >= 1) and (Turn_4_3 >= 1)) or (((TestTurn_2_0 >= 1) and (Turn_0_0 >= 1)) or (((Turn_0_0 >= 1) and (TestTurn_3_0 >= 1)) or (((Turn_0_0 >= 1) and (TestTurn_1_0 >= 1)) or (((TestTurn_6_0 >= 1) and (Turn_0_0 >= 1)) or (((Turn_0_1 >= 1) and (TestTurn_0_0 >= 1)) or (((TestTurn_4_0 >= 1) and (Turn_0_0 >= 1)) or (((Turn_0_0 >= 1) and (TestTurn_5_0 >= 1)) or (((Turn_2_6 >= 1) and (TestTurn_2_2 >= 1)) or (((Turn_2_6 >= 1) and (TestTurn_1_2 >= 1)) or (((Turn_2_6 >= 1) and (TestTurn_0_2 >= 1)) or (((Turn_2_5 >= 1) and (TestTurn_6_2 >= 1)) or (((TestTurn_1_3 >= 1) and (Turn_3_0 >= 1)) or (((Turn_2_6 >= 1) and (TestTurn_5_2 >= 1)) or (((Turn_2_6 >= 1) and (TestTurn_4_2 >= 1)) or (((Turn_2_6 >= 1) and (TestTurn_3_2 >= 1)) or (((Turn_3_0 >= 1) and (TestTurn_5_3 >= 1)) or (((Turn_3_0 >= 1) and (TestTurn_4_3 >= 1)) or (((Turn_3_0 >= 1) and (TestTurn_3_3 >= 1)) or (((Turn_3_0 >= 1) and (TestTurn_2_3 >= 1)) or (((Turn_3_1 >= 1) and (TestTurn_3_3 >= 1)) or (((Turn_3_1 >= 1) and (TestTurn_2_3 >= 1)) or (((Turn_3_1 >= 1) and (TestTurn_0_3 >= 1)) or (((Turn_3_0 >= 1) and (TestTurn_6_3 >= 1)) or (((TestTurn_4_2 >= 1) and (Turn_2_3 >= 1)) or (((Turn_2_3 >= 1) and (TestTurn_5_2 >= 1)) or (((TestTurn_1_2 >= 1) and (Turn_2_3 >= 1)) or (((Turn_2_3 >= 1) and (TestTurn_2_2 >= 1)) or (((TestTurn_1_2 >= 1) and (Turn_2_4 >= 1)) or (((TestTurn_2_2 >= 1) and (Turn_2_4 >= 1)) or (((TestTurn_6_2 >= 1) and (Turn_2_3 >= 1)) or (((Turn_2_4 >= 1) and (TestTurn_0_2 >= 1)) or (((TestTurn_6_2 >= 1) and (Turn_2_4 >= 1)) or (((Turn_2_5 >= 1) and (TestTurn_0_2 >= 1)) or (((TestTurn_3_2 >= 1) and (Turn_2_4 >= 1)) or (((Turn_2_4 >= 1) and (TestTurn_5_2 >= 1)) or (((TestTurn_3_2 >= 1) and (Turn_2_5 >= 1)) or (((TestTurn_4_2 >= 1) and (Turn_2_5 >= 1)) or (((TestTurn_1_2 >= 1) and (Turn_2_5 >= 1)) or (((Turn_2_5 >= 1) and (TestTurn_2_2 >= 1)) or (((TestTurn_5_2 >= 1) and (Turn_2_0 >= 1)) or (((Turn_2_0 >= 1) and (TestTurn_4_2 >= 1)) or (((Turn_2_1 >= 1) and (TestTurn_0_2 >= 1)) or (((Turn_2_0 >= 1) and (TestTurn_6_2 >= 1)) or (((TestTurn_3_2 >= 1) and (Turn_2_1 >= 1)) or (((Turn_2_1 >= 1) and (TestTurn_2_2 >= 1)) or (((TestTurn_5_2 >= 1) and (Turn_2_1 >= 1)) or (((Turn_2_1 >= 1) and (TestTurn_4_2 >= 1)) or (((TestTurn_0_2 >= 1) and (Turn_2_2 >= 1)) or (((Turn_2_1 >= 1) and (TestTurn_6_2 >= 1)) or (((Turn_2_2 >= 1) and (TestTurn_3_2 >= 1)) or (((Turn_2_2 >= 1) and (TestTurn_1_2 >= 1)) or (((TestTurn_5_2 >= 1) and (Turn_2_2 >= 1)) or (((Turn_2_2 >= 1) and (TestTurn_4_2 >= 1)) or (((TestTurn_0_2 >= 1) and (Turn_2_3 >= 1)) or (((Turn_2_2 >= 1) and (TestTurn_6_2 >= 1)) or (((TestTurn_6_1 >= 1) and (Turn_1_4 >= 1)) or (((TestTurn_0_1 >= 1) and (Turn_1_5 >= 1)) or (((TestTurn_1_1 >= 1) and (Turn_1_5 >= 1)) or (((Turn_1_5 >= 1) and (TestTurn_2_1 >= 1)) or (((TestTurn_3_1 >= 1) and (Turn_1_5 >= 1)) or (((TestTurn_4_1 >= 1) and (Turn_1_5 >= 1)) or (((TestTurn_6_1 >= 1) and (Turn_1_5 >= 1)) or (((TestTurn_0_1 >= 1) and (Turn_1_6 >= 1)) or (((TestTurn_1_1 >= 1) and (Turn_1_6 >= 1)) or (((TestTurn_2_1 >= 1) and (Turn_1_6 >= 1)) or (((TestTurn_3_1 >= 1) and (Turn_1_6 >= 1)) or (((Turn_1_6 >= 1) and (TestTurn_4_1 >= 1)) or (((TestTurn_5_1 >= 1) and (Turn_1_6 >= 1)) or (((TestTurn_1_2 >= 1) and (Turn_2_0 >= 1)) or (((TestTurn_2_2 >= 1) and (Turn_2_0 >= 1)) or (((TestTurn_3_2 >= 1) and (Turn_2_0 >= 1)) or (((TestTurn_2_1 >= 1) and (Turn_1_3 >= 1)) or (((Turn_1_3 >= 1) and (TestTurn_1_1 >= 1)) or (((Turn_1_3 >= 1) and (TestTurn_0_1 >= 1)) or (((Turn_1_2 >= 1) and (TestTurn_6_1 >= 1)) or (((TestTurn_5_1 >= 1) and (Turn_1_2 >= 1)) or (((Turn_1_2 >= 1) and (TestTurn_4_1 >= 1)) or (((Turn_1_2 >= 1) and (TestTurn_3_1 >= 1)) or (((Turn_1_2 >= 1) and (TestTurn_1_1 >= 1)) or (((Turn_1_4 >= 1) and (TestTurn_5_1 >= 1)) or (((Turn_1_4 >= 1) and (TestTurn_3_1 >= 1)) or (((Turn_1_4 >= 1) and (TestTurn_2_1 >= 1)) or (((Turn_1_4 >= 1) and (TestTurn_1_1 >= 1)) or (((TestTurn_0_1 >= 1) and (Turn_1_4 >= 1)) or (((Turn_1_3 >= 1) and (TestTurn_6_1 >= 1)) or (((Turn_1_3 >= 1) and (TestTurn_5_1 >= 1)) or (((Turn_1_3 >= 1) and (TestTurn_4_1 >= 1)) or (((TestTurn_4_1 >= 1) and (Turn_1_0 >= 1)) or (((TestTurn_5_1 >= 1) and (Turn_1_0 >= 1)) or (((TestTurn_2_1 >= 1) and (Turn_1_0 >= 1)) or (((Turn_1_0 >= 1) and (TestTurn_3_1 >= 1)) or (((TestTurn_5_0 >= 1) and (Turn_0_6 >= 1)) or (((TestTurn_1_1 >= 1) and (Turn_1_0 >= 1)) or (((TestTurn_3_0 >= 1) and (Turn_0_6 >= 1)) or (((Turn_0_6 >= 1) and (TestTurn_4_0 >= 1)) or (((TestTurn_6_1 >= 1) and (Turn_1_1 >= 1)) or (((TestTurn_0_1 >= 1) and (Turn_1_2 >= 1)) or (((TestTurn_4_1 >= 1) and (Turn_1_1 >= 1)) or (((Turn_1_1 >= 1) and (TestTurn_5_1 >= 1)) or (((TestTurn_2_1 >= 1) and (Turn_1_1 >= 1)) or (((TestTurn_3_1 >= 1) and (Turn_1_1 >= 1)) or (((TestTurn_6_1 >= 1) and (Turn_1_0 >= 1)) or (((Turn_1_1 >= 1) and (TestTurn_0_1 >= 1)) or (((TestTurn_5_0 >= 1) and (Turn_0_4 >= 1)) or (((Turn_0_4 >= 1) and (TestTurn_3_0 >= 1)) or (((TestTurn_0_0 >= 1) and (Turn_0_5 >= 1)) or (((Turn_0_4 >= 1) and (TestTurn_6_0 >= 1)) or (((TestTurn_0_0 >= 1) and (Turn_0_4 >= 1)) or (((Turn_0_3 >= 1) and (TestTurn_6_0 >= 1)) or (((TestTurn_2_0 >= 1) and (Turn_0_4 >= 1)) or (((Turn_0_4 >= 1) and (TestTurn_1_0 >= 1)) or (((TestTurn_0_0 >= 1) and (Turn_0_6 >= 1)) or (((Turn_0_5 >= 1) and (TestTurn_6_0 >= 1)) or (((TestTurn_2_0 >= 1) and (Turn_0_6 >= 1)) or (((Turn_0_6 >= 1) and (TestTurn_1_0 >= 1)) or (((TestTurn_2_0 >= 1) and (Turn_0_5 >= 1)) or (((Turn_0_5 >= 1) and (TestTurn_1_0 >= 1)) or (((TestTurn_4_0 >= 1) and (Turn_0_5 >= 1)) or (((Turn_0_5 >= 1) and (TestTurn_3_0 >= 1)) or (((TestTurn_6_0 >= 1) and (Turn_0_1 >= 1)) or (((TestTurn_0_0 >= 1) and (Turn_0_2 >= 1)) or (((TestTurn_1_0 >= 1) and (Turn_0_2 >= 1)) or (((TestTurn_3_0 >= 1) and (Turn_0_2 >= 1)) or (((TestTurn_2_0 >= 1) and (Turn_0_1 >= 1)) or (((TestTurn_3_0 >= 1) and (Turn_0_1 >= 1)) or (((TestTurn_4_0 >= 1) and (Turn_0_1 >= 1)) or (((TestTurn_5_0 >= 1) and (Turn_0_1 >= 1)) or (((TestTurn_1_0 >= 1) and (Turn_0_3 >= 1)) or (((TestTurn_2_0 >= 1) and (Turn_0_3 >= 1)) or (((TestTurn_4_0 >= 1) and (Turn_0_3 >= 1)) or (((TestTurn_5_0 >= 1) and (Turn_0_3 >= 1)) or (((TestTurn_4_0 >= 1) and (Turn_0_2 >= 1)) or (((TestTurn_5_0 >= 1) and (Turn_0_2 >= 1)) or (((TestTurn_6_0 >= 1) and (Turn_0_2 >= 1)) or ((TestTurn_0_0 >= 1) and (Turn_0_3 >= 1))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))) and (((WantSection_6_T >= 1) and (CS_6 >= 1)) or (((CS_5 >= 1) and (WantSection_5_T >= 1)) or (((CS_4 >= 1) and (WantSection_4_T >= 1)) or (((WantSection_0_T >= 1) and (CS_0 >= 1)) or (((CS_1 >= 1) and (WantSection_1_T >= 1)) or (((WantSection_2_T >= 1) and (CS_2 >= 1)) or ((CS_3 >= 1) and (WantSection_3_T >= 1))))))))))
Query after reduction: AG ((((((AskForSection_0_3 < 1) or (Turn_3_5 < 1)) and (((Turn_3_5 < 1) or (AskForSection_1_3 < 1)) and (((AskForSection_2_3 < 1) or (Turn_3_5 < 1)) and (((Turn_3_5 < 1) or (AskForSection_3_3 < 1)) and (((AskForSection_3_3 < 1) or (Turn_3_4 < 1)) and (((Turn_3_4 < 1) or (AskForSection_4_3 < 1)) and (((AskForSection_5_3 < 1) or (Turn_3_4 < 1)) and (((Turn_3_4 < 1) or (AskForSection_6_3 < 1)) and (((AskForSection_6_3 < 1) or (Turn_3_3 < 1)) and (((Turn_3_4 < 1) or (AskForSection_0_3 < 1)) and (((AskForSection_1_3 < 1) or (Turn_3_4 < 1)) and (((Turn_3_4 < 1) or (AskForSection_2_3 < 1)) and (((Turn_3_3 < 1) or (AskForSection_2_3 < 1)) and (((Turn_3_3 < 1) or (AskForSection_3_3 < 1)) and (((Turn_3_3 < 1) or (AskForSection_4_3 < 1)) and (((Turn_3_3 < 1) or (AskForSection_5_3 < 1)) and (((Turn_3_2 < 1) or (AskForSection_6_3 < 1)) and (((Turn_3_2 < 1) or (AskForSection_5_3 < 1)) and (((Turn_3_3 < 1) or (AskForSection_1_3 < 1)) and (((Turn_3_3 < 1) or (AskForSection_0_3 < 1)) and (((Turn_3_2 < 1) or (AskForSection_2_3 < 1)) and (((Turn_3_2 < 1) or (AskForSection_1_3 < 1)) and (((Turn_3_2 < 1) or (AskForSection_4_3 < 1)) and (((Turn_3_2 < 1) or (AskForSection_3_3 < 1)) and (((Turn_3_1 < 1) or (AskForSection_5_3 < 1)) and (((Turn_3_1 < 1) or (AskForSection_4_3 < 1)) and (((Turn_3_2 < 1) or (AskForSection_0_3 < 1)) and (((Turn_3_1 < 1) or (AskForSection_6_3 < 1)) and (((AskForSection_1_3 < 1) or (Turn_3_1 < 1)) and (((AskForSection_0_3 < 1) or (Turn_3_1 < 1)) and (((AskForSection_3_3 < 1) or (Turn_3_1 < 1)) and (((AskForSection_2_3 < 1) or (Turn_3_1 < 1)) and (((Turn_4_2 < 1) or (AskForSection_6_4 < 1)) and (((AskForSection_0_4 < 1) or (Turn_4_3 < 1)) and (((Turn_4_2 < 1) or (AskForSection_4_4 < 1)) and (((Turn_4_2 < 1) or (AskForSection_5_4 < 1)) and (((Turn_4_2 < 1) or (AskForSection_2_4 < 1)) and (((AskForSection_3_4 < 1) or (Turn_4_2 < 1)) and (((Turn_4_2 < 1) or (AskForSection_0_4 < 1)) and (((Turn_4_2 < 1) or (AskForSection_1_4 < 1)) and (((Turn_4_1 < 1) or (AskForSection_5_4 < 1)) and (((AskForSection_6_4 < 1) or (Turn_4_1 < 1)) and (((Turn_4_1 < 1) or (AskForSection_3_4 < 1)) and (((Turn_4_1 < 1) or (AskForSection_4_4 < 1)) and (((AskForSection_1_4 < 1) or (Turn_4_1 < 1)) and (((AskForSection_2_4 < 1) or (Turn_4_1 < 1)) and (((AskForSection_6_4 < 1) or (Turn_4_0 < 1)) and (((Turn_4_1 < 1) or (AskForSection_0_4 < 1)) and (((AskForSection_5_4 < 1) or (Turn_4_0 < 1)) and (((AskForSection_4_4 < 1) or (Turn_4_0 < 1)) and (((Turn_4_0 < 1) or (AskForSection_3_4 < 1)) and (((AskForSection_2_4 < 1) or (Turn_4_0 < 1)) and (((AskForSection_1_4 < 1) or (Turn_4_0 < 1)) and (((AskForSection_0_4 < 1) or (Turn_4_0 < 1)) and (((Turn_3_6 < 1) or (AskForSection_6_3 < 1)) and (((AskForSection_5_3 < 1) or (Turn_3_6 < 1)) and (((AskForSection_4_3 < 1) or (Turn_3_6 < 1)) and (((AskForSection_3_3 < 1) or (Turn_3_6 < 1)) and (((Turn_3_6 < 1) or (AskForSection_2_3 < 1)) and (((AskForSection_1_3 < 1) or (Turn_3_6 < 1)) and (((AskForSection_0_3 < 1) or (Turn_3_6 < 1)) and (((AskForSection_6_3 < 1) or (Turn_3_5 < 1)) and (((Turn_3_5 < 1) or (AskForSection_5_3 < 1)) and (((AskForSection_4_3 < 1) or (Turn_3_5 < 1)) and (((AskForSection_2_2 < 1) or (Turn_2_2 < 1)) and (((Turn_2_2 < 1) or (AskForSection_3_2 < 1)) and (((AskForSection_4_2 < 1) or (Turn_2_2 < 1)) and (((Turn_2_2 < 1) or (AskForSection_5_2 < 1)) and (((AskForSection_6_2 < 1) or (Turn_2_2 < 1)) and (((Turn_2_3 < 1) or (AskForSection_0_2 < 1)) and (((AskForSection_1_2 < 1) or (Turn_2_3 < 1)) and (((AskForSection_2_2 < 1) or (Turn_2_3 < 1)) and (((Turn_2_1 < 1) or (AskForSection_1_2 < 1)) and (((Turn_2_1 < 1) or (AskForSection_2_2 < 1)) and (((Turn_2_1 < 1) or (AskForSection_3_2 < 1)) and (((Turn_2_1 < 1) or (AskForSection_4_2 < 1)) and (((AskForSection_5_2 < 1) or (Turn_2_1 < 1)) and (((Turn_2_1 < 1) or (AskForSection_6_2 < 1)) and (((AskForSection_0_2 < 1) or (Turn_2_2 < 1)) and (((AskForSection_1_2 < 1) or (Turn_2_2 < 1)) and (((Turn_2_0 < 1) or (AskForSection_1_2 < 1)) and (((Turn_2_0 < 1) or (AskForSection_0_2 < 1)) and (((Turn_2_0 < 1) or (AskForSection_3_2 < 1)) and (((Turn_2_0 < 1) or (AskForSection_2_2 < 1)) and (((Turn_2_0 < 1) or (AskForSection_5_2 < 1)) and (((Turn_2_0 < 1) or (AskForSection_4_2 < 1)) and (((AskForSection_0_2 < 1) or (Turn_2_1 < 1)) and (((Turn_2_0 < 1) or (AskForSection_6_2 < 1)) and (((AskForSection_0_1 < 1) or (Turn_1_6 < 1)) and (((AskForSection_6_1 < 1) or (Turn_1_5 < 1)) and (((AskForSection_2_1 < 1) or (Turn_1_6 < 1)) and (((AskForSection_1_1 < 1) or (Turn_1_6 < 1)) and (((Turn_1_6 < 1) or (AskForSection_4_1 < 1)) and (((Turn_1_6 < 1) or (AskForSection_3_1 < 1)) and (((AskForSection_6_1 < 1) or (Turn_1_6 < 1)) and (((Turn_1_6 < 1) or (AskForSection_5_1 < 1)) and (((AskForSection_1_3 < 1) or (Turn_3_0 < 1)) and (((Turn_3_0 < 1) or (AskForSection_2_3 < 1)) and (((AskForSection_6_2 < 1) or (Turn_2_6 < 1)) and (((AskForSection_0_3 < 1) or (Turn_3_0 < 1)) and (((AskForSection_5_3 < 1) or (Turn_3_0 < 1)) and (((Turn_3_0 < 1) or (AskForSection_6_3 < 1)) and (((AskForSection_3_3 < 1) or (Turn_3_0 < 1)) and (((AskForSection_4_3 < 1) or (Turn_3_0 < 1)) and (((Turn_2_6 < 1) or (AskForSection_0_2 < 1)) and (((Turn_2_6 < 1) or (AskForSection_1_2 < 1)) and (((Turn_2_5 < 1) or (AskForSection_5_2 < 1)) and (((AskForSection_6_2 < 1) or (Turn_2_5 < 1)) and (((AskForSection_4_2 < 1) or (Turn_2_6 < 1)) and (((Turn_2_6 < 1) or (AskForSection_5_2 < 1)) and (((AskForSection_2_2 < 1) or (Turn_2_6 < 1)) and (((AskForSection_3_2 < 1) or (Turn_2_6 < 1)) and (((Turn_2_5 < 1) or (AskForSection_0_2 < 1)) and (((Turn_2_4 < 1) or (AskForSection_6_2 < 1)) and (((AskForSection_5_2 < 1) or (Turn_2_4 < 1)) and (((Turn_2_4 < 1) or (AskForSection_4_2 < 1)) and (((Turn_2_5 < 1) or (AskForSection_4_2 < 1)) and (((Turn_2_5 < 1) or (AskForSection_3_2 < 1)) and (((AskForSection_2_2 < 1) or (Turn_2_5 < 1)) and (((Turn_2_5 < 1) or (AskForSection_1_2 < 1)) and (((AskForSection_6_2 < 1) or (Turn_2_3 < 1)) and (((AskForSection_5_2 < 1) or (Turn_2_3 < 1)) and (((Turn_2_3 < 1) or (AskForSection_4_2 < 1)) and (((AskForSection_3_2 < 1) or (Turn_2_3 < 1)) and (((Turn_2_4 < 1) or (AskForSection_3_2 < 1)) and (((Turn_2_4 < 1) or (AskForSection_2_2 < 1)) and (((AskForSection_1_2 < 1) or (Turn_2_4 < 1)) and (((Turn_2_4 < 1) or (AskForSection_0_2 < 1)) and (((AskForSection_6_5 < 1) or (Turn_5_5 < 1)) and (((AskForSection_0_5 < 1) or (Turn_5_6 < 1)) and (((AskForSection_1_5 < 1) or (Turn_5_6 < 1)) and (((Turn_5_6 < 1) or (AskForSection_2_5 < 1)) and (((Turn_5_5 < 1) or (AskForSection_2_5 < 1)) and (((Turn_5_5 < 1) or (AskForSection_3_5 < 1)) and (((Turn_5_5 < 1) or (AskForSection_4_5 < 1)) and (((AskForSection_5_5 < 1) or (Turn_5_5 < 1)) and (((AskForSection_3_5 < 1) or (Turn_5_6 < 1)) and (((AskForSection_4_5 < 1) or (Turn_5_6 < 1)) and (((AskForSection_5_5 < 1) or (Turn_5_6 < 1)) and (((AskForSection_6_5 < 1) or (Turn_5_6 < 1)) and (((AskForSection_4_4 < 1) or (Turn_4_5 < 1)) and (((AskForSection_3_4 < 1) or (Turn_4_5 < 1)) and (((AskForSection_6_4 < 1) or (Turn_4_5 < 1)) and (((AskForSection_5_4 < 1) or (Turn_4_5 < 1)) and (((AskForSection_1_4 < 1) or (Turn_4_6 < 1)) and (((Turn_4_6 < 1) or (AskForSection_0_4 < 1)) and (((AskForSection_3_4 < 1) or (Turn_4_6 < 1)) and (((Turn_4_6 < 1) or (AskForSection_2_4 < 1)) and (((AskForSection_5_4 < 1) or (Turn_4_6 < 1)) and (((Turn_4_6 < 1) or (AskForSection_4_4 < 1)) and (((AskForSection_0_5 < 1) or (Turn_5_0 < 1)) and (((Turn_4_6 < 1) or (AskForSection_6_4 < 1)) and (((AskForSection_2_5 < 1) or (Turn_5_0 < 1)) and (((Turn_5_0 < 1) or (AskForSection_1_5 < 1)) and (((AskForSection_4_5 < 1) or (Turn_5_0 < 1)) and (((Turn_5_0 < 1) or (AskForSection_3_5 < 1)) and (((Turn_4_3 < 1) or (AskForSection_1_4 < 1)) and (((Turn_4_3 < 1) or (AskForSection_2_4 < 1)) and (((Turn_4_3 < 1) or (AskForSection_3_4 < 1)) and (((AskForSection_4_4 < 1) or (Turn_4_3 < 1)) and (((AskForSection_5_4 < 1) or (Turn_4_3 < 1)) and (((AskForSection_6_4 < 1) or (Turn_4_3 < 1)) and (((AskForSection_0_4 < 1) or (Turn_4_4 < 1)) and (((AskForSection_1_4 < 1) or (Turn_4_4 < 1)) and (((AskForSection_2_4 < 1) or (Turn_4_4 < 1)) and (((AskForSection_3_4 < 1) or (Turn_4_4 < 1)) and (((AskForSection_4_4 < 1) or (Turn_4_4 < 1)) and (((AskForSection_5_4 < 1) or (Turn_4_4 < 1)) and (((AskForSection_6_4 < 1) or (Turn_4_4 < 1)) and (((AskForSection_0_4 < 1) or (Turn_4_5 < 1)) and (((AskForSection_1_4 < 1) or (Turn_4_5 < 1)) and (((AskForSection_2_4 < 1) or (Turn_4_5 < 1)) and (((AskForSection_3_5 < 1) or (Turn_5_3 < 1)) and (((AskForSection_2_5 < 1) or (Turn_5_3 < 1)) and (((Turn_5_3 < 1) or (AskForSection_1_5 < 1)) and (((AskForSection_0_5 < 1) or (Turn_5_3 < 1)) and (((Turn_5_4 < 1) or (AskForSection_0_5 < 1)) and (((Turn_5_3 < 1) or (AskForSection_6_5 < 1)) and (((Turn_5_3 < 1) or (AskForSection_5_5 < 1)) and (((Turn_5_3 < 1) or (AskForSection_4_5 < 1)) and (((AskForSection_4_5 < 1) or (Turn_5_4 < 1)) and (((Turn_5_4 < 1) or (AskForSection_3_5 < 1)) and (((Turn_5_4 < 1) or (AskForSection_2_5 < 1)) and (((Turn_5_4 < 1) or (AskForSection_1_5 < 1)) and (((Turn_5_5 < 1) or (AskForSection_1_5 < 1)) and (((Turn_5_5 < 1) or (AskForSection_0_5 < 1)) and (((Turn_5_4 < 1) or (AskForSection_6_5 < 1)) and (((Turn_5_4 < 1) or (AskForSection_5_5 < 1)) and (((Turn_5_1 < 1) or (AskForSection_0_5 < 1)) and (((AskForSection_1_5 < 1) or (Turn_5_1 < 1)) and (((Turn_5_0 < 1) or (AskForSection_5_5 < 1)) and (((AskForSection_6_5 < 1) or (Turn_5_0 < 1)) and (((AskForSection_4_5 < 1) or (Turn_5_1 < 1)) and (((Turn_5_1 < 1) or (AskForSection_5_5 < 1)) and (((AskForSection_2_5 < 1) or (Turn_5_1 < 1)) and (((Turn_5_1 < 1) or (AskForSection_3_5 < 1)) and (((AskForSection_1_5 < 1) or (Turn_5_2 < 1)) and (((AskForSection_2_5 < 1) or (Turn_5_2 < 1)) and (((AskForSection_6_5 < 1) or (Turn_5_1 < 1)) and (((Turn_5_2 < 1) or (AskForSection_0_5 < 1)) and (((AskForSection_5_5 < 1) or (Turn_5_2 < 1)) and (((Turn_5_2 < 1) or (AskForSection_6_5 < 1)) and (((AskForSection_3_5 < 1) or (Turn_5_2 < 1)) and (((Turn_5_2 < 1) or (AskForSection_4_5 < 1)) and (((AskForSection_4_0 < 1) or (Turn_0_4 < 1)) and (((Turn_0_4 < 1) or (AskForSection_5_0 < 1)) and (((AskForSection_2_0 < 1) or (Turn_0_4 < 1)) and (((Turn_0_4 < 1) or (AskForSection_3_0 < 1)) and (((Turn_0_4 < 1) or (AskForSection_0_0 < 1)) and (((AskForSection_1_0 < 1) or (Turn_0_4 < 1)) and (((Turn_0_3 < 1) or (AskForSection_5_0 < 1)) and (((AskForSection_6_0 < 1) or (Turn_0_3 < 1)) and (((AskForSection_5_0 < 1) or (Turn_0_5 < 1)) and (((Turn_0_5 < 1) or (AskForSection_6_0 < 1)) and (((AskForSection_3_0 < 1) or (Turn_0_5 < 1)) and (((Turn_0_5 < 1) or (AskForSection_4_0 < 1)) and (((AskForSection_1_0 < 1) or (Turn_0_5 < 1)) and (((AskForSection_2_0 < 1) or (Turn_0_5 < 1)) and (((AskForSection_6_0 < 1) or (Turn_0_4 < 1)) and (((Turn_0_5 < 1) or (AskForSection_0_0 < 1)) and (((Turn_1_0 < 1) or (AskForSection_0_1 < 1)) and (((Turn_0_6 < 1) or (AskForSection_6_0 < 1)) and (((Turn_0_6 < 1) or (AskForSection_5_0 < 1)) and (((Turn_0_6 < 1) or (AskForSection_4_0 < 1)) and (((Turn_0_6 < 1) or (AskForSection_3_0 < 1)) and (((AskForSection_2_0 < 1) or (Turn_0_6 < 1)) and (((Turn_0_6 < 1) or (AskForSection_1_0 < 1)) and (((AskForSection_0_0 < 1) or (Turn_0_6 < 1)) and (((Turn_1_1 < 1) or (AskForSection_1_1 < 1)) and (((Turn_1_1 < 1) or (AskForSection_0_1 < 1)) and (((Turn_1_0 < 1) or (AskForSection_6_1 < 1)) and (((Turn_1_0 < 1) or (AskForSection_5_1 < 1)) and (((AskForSection_4_1 < 1) or (Turn_1_0 < 1)) and (((Turn_1_0 < 1) or (AskForSection_3_1 < 1)) and (((Turn_1_0 < 1) or (AskForSection_2_1 < 1)) and (((Turn_1_0 < 1) or (AskForSection_1_1 < 1)) and (((Turn_1_1 < 1) or (AskForSection_6_1 < 1)) and (((AskForSection_0_1 < 1) or (Turn_1_2 < 1)) and (((Turn_1_2 < 1) or (AskForSection_1_1 < 1)) and (((AskForSection_2_1 < 1) or (Turn_1_2 < 1)) and (((Turn_1_1 < 1) or (AskForSection_2_1 < 1)) and (((Turn_1_1 < 1) or (AskForSection_3_1 < 1)) and (((Turn_1_1 < 1) or (AskForSection_4_1 < 1)) and (((Turn_1_1 < 1) or (AskForSection_5_1 < 1)) and (((Turn_1_3 < 1) or (AskForSection_0_1 < 1)) and (((AskForSection_1_1 < 1) or (Turn_1_3 < 1)) and (((Turn_1_3 < 1) or (AskForSection_2_1 < 1)) and (((AskForSection_3_1 < 1) or (Turn_1_3 < 1)) and (((Turn_1_2 < 1) or (AskForSection_3_1 < 1)) and (((AskForSection_4_1 < 1) or (Turn_1_2 < 1)) and (((Turn_1_2 < 1) or (AskForSection_5_1 < 1)) and (((Turn_1_2 < 1) or (AskForSection_6_1 < 1)) and (((AskForSection_2_1 < 1) or (Turn_1_4 < 1)) and (((AskForSection_1_1 < 1) or (Turn_1_4 < 1)) and (((AskForSection_4_1 < 1) or (Turn_1_4 < 1)) and (((AskForSection_3_1 < 1) or (Turn_1_4 < 1)) and (((AskForSection_5_1 < 1) or (Turn_1_3 < 1)) and (((Turn_1_3 < 1) or (AskForSection_4_1 < 1)) and (((Turn_1_4 < 1) or (AskForSection_0_1 < 1)) and (((Turn_1_3 < 1) or (AskForSection_6_1 < 1)) and (((AskForSection_3_1 < 1) or (Turn_1_5 < 1)) and (((AskForSection_2_1 < 1) or (Turn_1_5 < 1)) and (((AskForSection_5_1 < 1) or (Turn_1_5 < 1)) and (((AskForSection_4_1 < 1) or (Turn_1_5 < 1)) and (((AskForSection_6_1 < 1) or (Turn_1_4 < 1)) and (((AskForSection_5_1 < 1) or (Turn_1_4 < 1)) and (((Turn_1_5 < 1) or (AskForSection_1_1 < 1)) and (((AskForSection_0_1 < 1) or (Turn_1_5 < 1)) and (((AskForSection_0_0 < 1) or (Turn_0_0 < 1)) and (((Turn_0_0 < 1) or (AskForSection_1_0 < 1)) and (((AskForSection_2_0 < 1) or (Turn_0_0 < 1)) and (((AskForSection_3_0 < 1) or (Turn_0_0 < 1)) and (((AskForSection_4_0 < 1) or (Turn_0_0 < 1)) and (((AskForSection_5_0 < 1) or (Turn_0_0 < 1)) and (((AskForSection_6_0 < 1) or (Turn_0_0 < 1)) and (((AskForSection_0_0 < 1) or (Turn_0_1 < 1)) and (((AskForSection_1_0 < 1) or (Turn_0_1 < 1)) and (((Turn_0_1 < 1) or (AskForSection_2_0 < 1)) and (((AskForSection_4_0 < 1) or (Turn_0_1 < 1)) and (((AskForSection_3_0 < 1) or (Turn_0_1 < 1)) and (((Turn_0_1 < 1) or (AskForSection_6_0 < 1)) and (((AskForSection_5_0 < 1) or (Turn_0_1 < 1)) and (((AskForSection_1_0 < 1) or (Turn_0_2 < 1)) and (((Turn_0_2 < 1) or (AskForSection_0_0 < 1)) and (((Turn_0_2 < 1) or (AskForSection_3_0 < 1)) and (((Turn_0_2 < 1) or (AskForSection_2_0 < 1)) and (((AskForSection_5_0 < 1) or (Turn_0_2 < 1)) and (((Turn_0_2 < 1) or (AskForSection_4_0 < 1)) and (((AskForSection_0_0 < 1) or (Turn_0_3 < 1)) and (((Turn_0_2 < 1) or (AskForSection_6_0 < 1)) and (((AskForSection_2_0 < 1) or (Turn_0_3 < 1)) and (((Turn_0_3 < 1) or (AskForSection_1_0 < 1)) and (((Turn_0_3 < 1) or (AskForSection_4_0 < 1)) and ((Turn_0_3 < 1) or (AskForSection_3_0 < 1))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))) or (not (((TestAlone_4_5_5 >= 1) and (WantSection_5_T >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_3_5_5 >= 1)) or (((TestAlone_2_5_5 >= 1) and (WantSection_5_T >= 1)) or (((TestAlone_1_5_5 >= 1) and (WantSection_5_T >= 1)) or (((TestAlone_2_5_6 >= 1) and (WantSection_6_T >= 1)) or (((TestAlone_1_5_6 >= 1) and (WantSection_6_T >= 1)) or (((TestAlone_0_5_6 >= 1) and (WantSection_6_T >= 1)) or (((TestAlone_6_5_5 >= 1) and (WantSection_5_T >= 1)) or (((TestAlone_2_5_4 >= 1) and (WantSection_4_T >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_1_5_4 >= 1)) or (((TestAlone_0_5_4 >= 1) and (WantSection_4_T >= 1)) or (((TestAlone_6_5_3 >= 1) and (WantSection_3_T >= 1)) or (((TestAlone_0_5_5 >= 1) and (WantSection_5_T >= 1)) or (((TestAlone_6_5_4 >= 1) and (WantSection_4_T >= 1)) or (((TestAlone_5_5_4 >= 1) and (WantSection_4_T >= 1)) or (((TestAlone_3_5_4 >= 1) and (WantSection_4_T >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_5_5_6 >= 1)) or (((TestAlone_3_5_6 >= 1) and (WantSection_6_T >= 1)) or (((TestAlone_4_5_6 >= 1) and (WantSection_6_T >= 1)) or (((TestAlone_5_5_0 >= 1) and (WantSection_0_T >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_4_5_0 >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_0_5_1 >= 1)) or (((TestAlone_6_5_0 >= 1) and (WantSection_0_T >= 1)) or (((TestAlone_1_5_0 >= 1) and (WantSection_0_T >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_5_4_6 >= 1)) or (((TestAlone_3_5_0 >= 1) and (WantSection_0_T >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_2_5_0 >= 1)) or (((TestAlone_2_4_6 >= 1) and (WantSection_6_T >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_1_4_6 >= 1)) or (((TestAlone_4_4_6 >= 1) and (WantSection_6_T >= 1)) or (((TestAlone_3_4_6 >= 1) and (WantSection_6_T >= 1)) or (((TestAlone_4_4_5 >= 1) and (WantSection_5_T >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_3_4_5 >= 1)) or (((TestAlone_0_4_6 >= 1) and (WantSection_6_T >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_6_4_5 >= 1)) or (((TestAlone_1_5_3 >= 1) and (WantSection_3_T >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_2_5_3 >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_4_5_3 >= 1)) or (((TestAlone_5_5_3 >= 1) and (WantSection_3_T >= 1)) or (((TestAlone_4_5_2 >= 1) and (WantSection_2_T >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_5_5_2 >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_6_5_2 >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_0_5_3 >= 1)) or (((TestAlone_6_5_1 >= 1) and (WantSection_1_T >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_0_5_2 >= 1)) or (((TestAlone_1_5_2 >= 1) and (WantSection_2_T >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_3_5_2 >= 1)) or (((TestAlone_2_5_1 >= 1) and (WantSection_1_T >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_3_5_1 >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_4_5_1 >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_5_5_1 >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_5_4_2 >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_4_4_2 >= 1)) or (((TestAlone_3_4_2 >= 1) and (WantSection_2_T >= 1)) or (((TestAlone_1_4_2 >= 1) and (WantSection_2_T >= 1)) or (((TestAlone_0_4_2 >= 1) and (WantSection_2_T >= 1)) or (((TestAlone_6_4_1 >= 1) and (WantSection_1_T >= 1)) or (((TestAlone_5_4_1 >= 1) and (WantSection_1_T >= 1)) or (((TestAlone_4_4_1 >= 1) and (WantSection_1_T >= 1)) or (((TestAlone_3_4_1 >= 1) and (WantSection_1_T >= 1)) or (((TestAlone_2_4_1 >= 1) and (WantSection_1_T >= 1)) or (((TestAlone_0_4_1 >= 1) and (WantSection_1_T >= 1)) or (((TestAlone_6_4_0 >= 1) and (WantSection_0_T >= 1)) or (((TestAlone_5_4_0 >= 1) and (WantSection_0_T >= 1)) or (((TestAlone_4_4_0 >= 1) and (WantSection_0_T >= 1)) or (((TestAlone_3_4_0 >= 1) and (WantSection_0_T >= 1)) or (((TestAlone_2_4_0 >= 1) and (WantSection_0_T >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_1_4_5 >= 1)) or (((TestAlone_2_4_5 >= 1) and (WantSection_5_T >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_6_4_4 >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_0_4_5 >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_3_4_4 >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_5_4_4 >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_1_4_4 >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_2_4_4 >= 1)) or (((TestAlone_6_4_3 >= 1) and (WantSection_3_T >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_0_4_4 >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_4_4_3 >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_5_4_3 >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_1_4_3 >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_2_4_3 >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_6_4_2 >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_0_4_3 >= 1)) or (((TestAlone_2_1_1 >= 1) and (WantSection_1_T >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_3_1_1 >= 1)) or (((TestAlone_4_1_1 >= 1) and (WantSection_1_T >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_5_1_1 >= 1)) or (((TestAlone_6_1_1 >= 1) and (WantSection_1_T >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_0_1_2 >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_1_1_2 >= 1)) or (((TestAlone_3_1_2 >= 1) and (WantSection_2_T >= 1)) or (((TestAlone_5_0_6 >= 1) and (WantSection_6_T >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_1_1_0 >= 1)) or (((TestAlone_2_1_0 >= 1) and (WantSection_0_T >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_3_1_0 >= 1)) or (((TestAlone_4_1_0 >= 1) and (WantSection_0_T >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_5_1_0 >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_6_1_0 >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_0_1_1 >= 1)) or (((TestAlone_0_1_4 >= 1) and (WantSection_4_T >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_6_1_3 >= 1)) or (((TestAlone_2_1_4 >= 1) and (WantSection_4_T >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_1_1_4 >= 1)) or (((TestAlone_5_1_4 >= 1) and (WantSection_4_T >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_3_1_4 >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_0_1_5 >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_6_1_4 >= 1)) or (((TestAlone_5_1_2 >= 1) and (WantSection_2_T >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_4_1_2 >= 1)) or (((TestAlone_0_1_3 >= 1) and (WantSection_3_T >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_6_1_2 >= 1)) or (((TestAlone_2_1_3 >= 1) and (WantSection_3_T >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_1_1_3 >= 1)) or (((TestAlone_5_1_3 >= 1) and (WantSection_3_T >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_4_1_3 >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_5_1_6 >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_1_2_0 >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_3_1_6 >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_4_1_6 >= 1)) or (((TestAlone_4_2_0 >= 1) and (WantSection_0_T >= 1)) or (((TestAlone_5_2_0 >= 1) and (WantSection_0_T >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_2_2_0 >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_3_2_0 >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_3_1_5 >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_4_1_5 >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_1_1_5 >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_2_1_5 >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_1_1_6 >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_2_1_6 >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_6_1_5 >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_0_1_6 >= 1)) or (((TestAlone_5_2_2 >= 1) and (WantSection_2_T >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_4_2_2 >= 1)) or (((TestAlone_3_2_2 >= 1) and (WantSection_2_T >= 1)) or (((TestAlone_1_2_2 >= 1) and (WantSection_2_T >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_2_2_3 >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_1_2_3 >= 1)) or (((TestAlone_0_2_3 >= 1) and (WantSection_3_T >= 1)) or (((TestAlone_6_2_2 >= 1) and (WantSection_2_T >= 1)) or (((TestAlone_3_2_1 >= 1) and (WantSection_1_T >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_2_2_1 >= 1)) or (((TestAlone_0_2_1 >= 1) and (WantSection_1_T >= 1)) or (((TestAlone_6_2_0 >= 1) and (WantSection_0_T >= 1)) or (((TestAlone_0_2_2 >= 1) and (WantSection_2_T >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_6_2_1 >= 1)) or (((TestAlone_5_2_1 >= 1) and (WantSection_1_T >= 1)) or (((TestAlone_4_2_1 >= 1) and (WantSection_1_T >= 1)) or (((TestAlone_3_2_5 >= 1) and (WantSection_5_T >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_4_2_5 >= 1)) or (((TestAlone_6_2_5 >= 1) and (WantSection_5_T >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_0_2_6 >= 1)) or (((TestAlone_6_2_4 >= 1) and (WantSection_4_T >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_0_2_5 >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_1_2_5 >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_2_2_5 >= 1)) or (((TestAlone_1_2_4 >= 1) and (WantSection_4_T >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_2_2_4 >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_3_2_4 >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_5_2_4 >= 1)) or (((TestAlone_4_2_3 >= 1) and (WantSection_3_T >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_5_2_3 >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_6_2_3 >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_0_2_4 >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_3_3_1 >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_2_3_1 >= 1)) or (((TestAlone_5_3_1 >= 1) and (WantSection_1_T >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_4_3_1 >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_5_3_0 >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_4_3_0 >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_0_3_1 >= 1)) or (((TestAlone_6_3_0 >= 1) and (WantSection_0_T >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_1_3_0 >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_5_2_6 >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_3_3_0 >= 1)) or (((TestAlone_2_3_0 >= 1) and (WantSection_0_T >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_2_2_6 >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_1_2_6 >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_4_2_6 >= 1)) or (((TestAlone_3_2_6 >= 1) and (WantSection_6_T >= 1)) or (((TestAlone_1_3_4 >= 1) and (WantSection_4_T >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_2_3_4 >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_6_3_3 >= 1)) or (((TestAlone_0_3_4 >= 1) and (WantSection_4_T >= 1)) or (((TestAlone_4_3_3 >= 1) and (WantSection_3_T >= 1)) or (((TestAlone_5_3_3 >= 1) and (WantSection_3_T >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_1_3_3 >= 1)) or (((TestAlone_2_3_3 >= 1) and (WantSection_3_T >= 1)) or (((TestAlone_6_3_2 >= 1) and (WantSection_2_T >= 1)) or (((TestAlone_0_3_3 >= 1) and (WantSection_3_T >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_4_3_2 >= 1)) or (((TestAlone_5_3_2 >= 1) and (WantSection_2_T >= 1)) or (((TestAlone_1_3_2 >= 1) and (WantSection_2_T >= 1)) or (((TestAlone_3_3_2 >= 1) and (WantSection_2_T >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_6_3_1 >= 1)) or (((TestAlone_0_3_2 >= 1) and (WantSection_2_T >= 1)) or (((TestAlone_1_4_0 >= 1) and (WantSection_0_T >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_5_3_6 >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_4_3_6 >= 1)) or (((TestAlone_3_3_6 >= 1) and (WantSection_6_T >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_2_3_6 >= 1)) or (((TestAlone_1_3_6 >= 1) and (WantSection_6_T >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_0_3_6 >= 1)) or (((TestAlone_6_3_5 >= 1) and (WantSection_5_T >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_4_3_5 >= 1)) or (((TestAlone_3_3_5 >= 1) and (WantSection_5_T >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_2_3_5 >= 1)) or (((TestAlone_1_3_5 >= 1) and (WantSection_5_T >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_0_3_5 >= 1)) or (((TestAlone_6_3_4 >= 1) and (WantSection_4_T >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_5_3_4 >= 1)) or (((TestAlone_3_3_4 >= 1) and (WantSection_4_T >= 1)) or (((TestAlone_1_0_0 >= 1) and (WantSection_0_T >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_6_0_0 >= 1)) or (((TestAlone_0_0_1 >= 1) and (WantSection_1_T >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_2_0_1 >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_3_0_1 >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_2_0_0 >= 1)) or (((TestAlone_3_0_0 >= 1) and (WantSection_0_T >= 1)) or (((TestAlone_4_0_0 >= 1) and (WantSection_0_T >= 1)) or (((TestAlone_5_0_0 >= 1) and (WantSection_0_T >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_5_0_2 >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_4_0_2 >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_3_0_2 >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_1_0_2 >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_0_0_2 >= 1)) or (((TestAlone_6_0_1 >= 1) and (WantSection_1_T >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_5_0_1 >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_4_0_1 >= 1)) or (((TestAlone_0_0_4 >= 1) and (WantSection_4_T >= 1)) or (((TestAlone_6_0_3 >= 1) and (WantSection_3_T >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_5_0_3 >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_4_0_3 >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_2_0_3 >= 1)) or (((TestAlone_1_0_3 >= 1) and (WantSection_3_T >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_0_0_3 >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_6_0_2 >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_1_0_5 >= 1)) or (((TestAlone_2_0_5 >= 1) and (WantSection_5_T >= 1)) or (((TestAlone_6_0_4 >= 1) and (WantSection_4_T >= 1)) or (((TestAlone_0_0_5 >= 1) and (WantSection_5_T >= 1)) or (((TestAlone_3_0_4 >= 1) and (WantSection_4_T >= 1)) or (((TestAlone_5_0_4 >= 1) and (WantSection_4_T >= 1)) or (((TestAlone_1_0_4 >= 1) and (WantSection_4_T >= 1)) or (((TestAlone_2_0_4 >= 1) and (WantSection_4_T >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_3_0_6 >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_4_0_6 >= 1)) or (((TestAlone_1_0_6 >= 1) and (WantSection_6_T >= 1)) or (((TestAlone_2_0_6 >= 1) and (WantSection_6_T >= 1)) or (((TestAlone_6_0_5 >= 1) and (WantSection_5_T >= 1)) or (((TestAlone_0_0_6 >= 1) and (WantSection_6_T >= 1)) or (((TestAlone_3_0_5 >= 1) and (WantSection_5_T >= 1)) or ((TestAlone_4_0_5 >= 1) and (WantSection_5_T >= 1))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))) or (not ((((WantSection_6_F >= 1) and (TestAlone_4_3_6 >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_3_3_6 >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_1_4_0 >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_5_3_6 >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_3_4_0 >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_2_4_0 >= 1)) or (((TestAlone_5_4_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_4_4_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_0_4_1 >= 1) and (WantSection_1_F >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_6_4_0 >= 1)) or (((TestAlone_3_4_1 >= 1) and (WantSection_1_F >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_2_4_1 >= 1)) or (((TestAlone_5_4_1 >= 1) and (WantSection_1_F >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_4_4_1 >= 1)) or (((TestAlone_0_4_2 >= 1) and (WantSection_2_F >= 1)) or (((TestAlone_6_4_1 >= 1) and (WantSection_1_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_1_4_2 >= 1)) or (((TestAlone_3_4_2 >= 1) and (WantSection_2_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_4_4_2 >= 1)) or (((TestAlone_5_4_2 >= 1) and (WantSection_2_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_6_4_2 >= 1)) or (((TestAlone_0_4_3 >= 1) and (WantSection_3_F >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_1_4_3 >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_2_4_3 >= 1)) or (((TestAlone_4_4_3 >= 1) and (WantSection_3_F >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_5_4_3 >= 1)) or (((TestAlone_6_4_3 >= 1) and (WantSection_3_F >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_0_4_4 >= 1)) or (((TestAlone_1_4_4 >= 1) and (WantSection_4_F >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_2_4_4 >= 1)) or (((TestAlone_3_4_4 >= 1) and (WantSection_4_F >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_5_4_4 >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_2_4_5 >= 1)) or (((TestAlone_1_4_5 >= 1) and (WantSection_5_F >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_0_4_5 >= 1)) or (((TestAlone_6_4_4 >= 1) and (WantSection_4_F >= 1)) or (((TestAlone_0_4_6 >= 1) and (WantSection_6_F >= 1)) or (((TestAlone_6_4_5 >= 1) and (WantSection_5_F >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_4_4_5 >= 1)) or (((TestAlone_3_4_5 >= 1) and (WantSection_5_F >= 1)) or (((TestAlone_4_4_6 >= 1) and (WantSection_6_F >= 1)) or (((TestAlone_3_4_6 >= 1) and (WantSection_6_F >= 1)) or (((TestAlone_2_4_6 >= 1) and (WantSection_6_F >= 1)) or (((TestAlone_1_4_6 >= 1) and (WantSection_6_F >= 1)) or (((TestAlone_3_5_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_2_5_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_1_5_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_5_4_6 >= 1) and (WantSection_6_F >= 1)) or (((TestAlone_6_5_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_0_5_1 >= 1) and (WantSection_1_F >= 1)) or (((TestAlone_4_5_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_5_5_0 >= 1) and (WantSection_0_F >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_4_5_1 >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_5_5_1 >= 1)) or (((TestAlone_2_5_1 >= 1) and (WantSection_1_F >= 1)) or (((TestAlone_3_5_1 >= 1) and (WantSection_1_F >= 1)) or (((TestAlone_1_5_2 >= 1) and (WantSection_2_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_3_5_2 >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_6_5_1 >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_0_5_2 >= 1)) or (((TestAlone_6_5_2 >= 1) and (WantSection_2_F >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_0_5_3 >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_4_5_2 >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_5_5_2 >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_0_5_4 >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_6_5_3 >= 1)) or (((TestAlone_2_5_4 >= 1) and (WantSection_4_F >= 1)) or (((TestAlone_1_5_4 >= 1) and (WantSection_4_F >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_2_5_3 >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_1_5_3 >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_5_5_3 >= 1)) or (((TestAlone_4_5_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_2_5_5 >= 1) and (WantSection_5_F >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_1_5_5 >= 1)) or (((TestAlone_4_5_5 >= 1) and (WantSection_5_F >= 1)) or (((TestAlone_3_5_5 >= 1) and (WantSection_5_F >= 1)) or (((TestAlone_5_5_4 >= 1) and (WantSection_4_F >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_3_5_4 >= 1)) or (((TestAlone_0_5_5 >= 1) and (WantSection_5_F >= 1)) or (((TestAlone_6_5_4 >= 1) and (WantSection_4_F >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_3_5_6 >= 1)) or (((TestAlone_4_5_6 >= 1) and (WantSection_6_F >= 1)) or (((TestAlone_5_5_6 >= 1) and (WantSection_6_F >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_6_5_5 >= 1)) or (((TestAlone_0_5_6 >= 1) and (WantSection_6_F >= 1)) or (((TestAlone_1_5_6 >= 1) and (WantSection_6_F >= 1)) or (((TestAlone_2_5_6 >= 1) and (WantSection_6_F >= 1)) or (((TestAlone_5_1_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_4_1_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_2_1_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_1_1_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_2_1_4 >= 1) and (WantSection_4_F >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_1_1_4 >= 1)) or (((TestAlone_0_1_4 >= 1) and (WantSection_4_F >= 1)) or (((TestAlone_6_1_3 >= 1) and (WantSection_3_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_3_1_2 >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_1_1_2 >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_0_1_2 >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_6_1_1 >= 1)) or (((TestAlone_0_1_3 >= 1) and (WantSection_3_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_6_1_2 >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_5_1_2 >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_4_1_2 >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_6_1_0 >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_0_1_1 >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_4_1_0 >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_5_1_0 >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_4_1_1 >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_5_1_1 >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_2_1_1 >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_3_1_1 >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_3_0_6 >= 1)) or (((TestAlone_4_0_6 >= 1) and (WantSection_6_F >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_1_0_6 >= 1)) or (((TestAlone_2_0_6 >= 1) and (WantSection_6_F >= 1)) or (((TestAlone_2_1_0 >= 1) and (WantSection_0_F >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_3_1_0 >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_5_0_6 >= 1)) or (((TestAlone_1_1_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_5_2_1 >= 1) and (WantSection_1_F >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_4_2_1 >= 1)) or (((TestAlone_0_2_2 >= 1) and (WantSection_2_F >= 1)) or (((TestAlone_6_2_1 >= 1) and (WantSection_1_F >= 1)) or (((TestAlone_3_2_2 >= 1) and (WantSection_2_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_1_2_2 >= 1)) or (((TestAlone_5_2_2 >= 1) and (WantSection_2_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_4_2_2 >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_3_2_0 >= 1)) or (((TestAlone_2_2_0 >= 1) and (WantSection_0_F >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_5_2_0 >= 1)) or (((TestAlone_4_2_0 >= 1) and (WantSection_0_F >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_0_2_1 >= 1)) or (((TestAlone_6_2_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_3_2_1 >= 1) and (WantSection_1_F >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_2_2_1 >= 1)) or (((TestAlone_6_1_5 >= 1) and (WantSection_5_F >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_0_1_6 >= 1)) or (((TestAlone_1_1_6 >= 1) and (WantSection_6_F >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_2_1_6 >= 1)) or (((TestAlone_3_1_6 >= 1) and (WantSection_6_F >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_4_1_6 >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_5_1_6 >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_1_2_0 >= 1)) or (((TestAlone_3_1_4 >= 1) and (WantSection_4_F >= 1)) or (((TestAlone_5_1_4 >= 1) and (WantSection_4_F >= 1)) or (((TestAlone_6_1_4 >= 1) and (WantSection_4_F >= 1)) or (((TestAlone_0_1_5 >= 1) and (WantSection_5_F >= 1)) or (((TestAlone_1_1_5 >= 1) and (WantSection_5_F >= 1)) or (((TestAlone_2_1_5 >= 1) and (WantSection_5_F >= 1)) or (((TestAlone_3_1_5 >= 1) and (WantSection_5_F >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_4_1_5 >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_0_3_1 >= 1)) or (((TestAlone_6_3_0 >= 1) and (WantSection_0_F >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_5_3_0 >= 1)) or (((TestAlone_4_3_0 >= 1) and (WantSection_0_F >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_3_3_0 >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_2_3_0 >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_1_3_0 >= 1)) or (((TestAlone_5_2_6 >= 1) and (WantSection_6_F >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_4_2_6 >= 1)) or (((TestAlone_3_2_6 >= 1) and (WantSection_6_F >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_2_2_6 >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_1_2_6 >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_0_2_6 >= 1)) or (((TestAlone_6_2_5 >= 1) and (WantSection_5_F >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_4_2_5 >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_3_2_5 >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_1_2_5 >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_2_2_5 >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_6_2_4 >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_0_2_5 >= 1)) or (((TestAlone_3_2_4 >= 1) and (WantSection_4_F >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_5_2_4 >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_1_2_4 >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_2_2_4 >= 1)) or (((TestAlone_6_2_3 >= 1) and (WantSection_3_F >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_0_2_4 >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_4_2_3 >= 1)) or (((TestAlone_5_2_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_1_2_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_2_2_3 >= 1) and (WantSection_3_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_6_2_2 >= 1)) or (((TestAlone_0_2_3 >= 1) and (WantSection_3_F >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_0_3_6 >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_6_3_5 >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_2_3_6 >= 1)) or (((TestAlone_1_3_6 >= 1) and (WantSection_6_F >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_2_3_5 >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_1_3_5 >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_4_3_5 >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_3_3_5 >= 1)) or (((TestAlone_5_3_4 >= 1) and (WantSection_4_F >= 1)) or (((TestAlone_3_3_4 >= 1) and (WantSection_4_F >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_0_3_5 >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_6_3_4 >= 1)) or (((TestAlone_0_3_4 >= 1) and (WantSection_4_F >= 1)) or (((TestAlone_6_3_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_2_3_4 >= 1) and (WantSection_4_F >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_1_3_4 >= 1)) or (((TestAlone_1_3_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_2_3_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_4_3_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_5_3_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_4_3_2 >= 1) and (WantSection_2_F >= 1)) or (((TestAlone_5_3_2 >= 1) and (WantSection_2_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_6_3_2 >= 1)) or (((TestAlone_0_3_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_6_3_1 >= 1) and (WantSection_1_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_0_3_2 >= 1)) or (((TestAlone_1_3_2 >= 1) and (WantSection_2_F >= 1)) or (((TestAlone_3_3_2 >= 1) and (WantSection_2_F >= 1)) or (((TestAlone_2_3_1 >= 1) and (WantSection_1_F >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_3_3_1 >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_4_3_1 >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_5_3_1 >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_4_0_0 >= 1)) or (((TestAlone_5_0_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_2_0_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_3_0_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_1_0_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_1_0_4 >= 1) and (WantSection_4_F >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_2_0_4 >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_3_0_4 >= 1)) or (((TestAlone_5_0_4 >= 1) and (WantSection_4_F >= 1)) or (((TestAlone_4_0_3 >= 1) and (WantSection_3_F >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_5_0_3 >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_6_0_3 >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_0_0_4 >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_3_0_5 >= 1)) or (((TestAlone_4_0_5 >= 1) and (WantSection_5_F >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_6_0_5 >= 1)) or (((TestAlone_0_0_6 >= 1) and (WantSection_6_F >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_6_0_4 >= 1)) or (((TestAlone_0_0_5 >= 1) and (WantSection_5_F >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_1_0_5 >= 1)) or (((TestAlone_2_0_5 >= 1) and (WantSection_5_F >= 1)) or (((TestAlone_5_0_1 >= 1) and (WantSection_1_F >= 1)) or (((TestAlone_4_0_1 >= 1) and (WantSection_1_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_0_0_2 >= 1)) or (((TestAlone_6_0_1 >= 1) and (WantSection_1_F >= 1)) or (((TestAlone_0_0_1 >= 1) and (WantSection_1_F >= 1)) or (((TestAlone_6_0_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_3_0_1 >= 1) and (WantSection_1_F >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_2_0_1 >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_0_0_3 >= 1)) or (((TestAlone_6_0_2 >= 1) and (WantSection_2_F >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_2_0_3 >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_1_0_3 >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_3_0_2 >= 1)) or (((TestAlone_1_0_2 >= 1) and (WantSection_2_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_5_0_2 >= 1)) or ((WantSection_2_F >= 1) and (TestAlone_4_0_2 >= 1))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))) and (((Turn_5_0 >= 1) and (TestTurn_5_5 >= 1)) or (((Turn_5_0 >= 1) and (TestTurn_4_5 >= 1)) or (((Turn_5_0 >= 1) and (TestTurn_3_5 >= 1)) or (((Turn_5_0 >= 1) and (TestTurn_2_5 >= 1)) or (((TestTurn_1_5 >= 1) and (Turn_5_0 >= 1)) or (((Turn_4_6 >= 1) and (TestTurn_5_4 >= 1)) or (((Turn_4_6 >= 1) and (TestTurn_4_4 >= 1)) or (((Turn_4_6 >= 1) and (TestTurn_3_4 >= 1)) or (((TestTurn_2_4 >= 1) and (Turn_4_6 >= 1)) or (((Turn_4_6 >= 1) and (TestTurn_1_4 >= 1)) or (((Turn_4_6 >= 1) and (TestTurn_0_4 >= 1)) or (((Turn_4_5 >= 1) and (TestTurn_6_4 >= 1)) or (((TestTurn_4_4 >= 1) and (Turn_4_5 >= 1)) or (((Turn_4_5 >= 1) and (TestTurn_3_4 >= 1)) or (((Turn_4_5 >= 1) and (TestTurn_2_4 >= 1)) or (((Turn_4_5 >= 1) and (TestTurn_1_4 >= 1)) or (((TestTurn_1_5 >= 1) and (Turn_5_3 >= 1)) or (((Turn_5_3 >= 1) and (TestTurn_2_5 >= 1)) or (((TestTurn_6_5 >= 1) and (Turn_5_2 >= 1)) or (((Turn_5_3 >= 1) and (TestTurn_0_5 >= 1)) or (((TestTurn_4_5 >= 1) and (Turn_5_2 >= 1)) or (((TestTurn_5_5 >= 1) and (Turn_5_2 >= 1)) or (((TestTurn_1_5 >= 1) and (Turn_5_2 >= 1)) or (((Turn_5_2 >= 1) and (TestTurn_3_5 >= 1)) or (((TestTurn_6_5 >= 1) and (Turn_5_1 >= 1)) or (((TestTurn_0_5 >= 1) and (Turn_5_2 >= 1)) or (((TestTurn_4_5 >= 1) and (Turn_5_1 >= 1)) or (((Turn_5_1 >= 1) and (TestTurn_5_5 >= 1)) or (((TestTurn_2_5 >= 1) and (Turn_5_1 >= 1)) or (((TestTurn_3_5 >= 1) and (Turn_5_1 >= 1)) or (((TestTurn_6_5 >= 1) and (Turn_5_0 >= 1)) or (((Turn_5_1 >= 1) and (TestTurn_0_5 >= 1)) or (((TestTurn_4_5 >= 1) and (Turn_5_5 >= 1)) or (((Turn_5_5 >= 1) and (TestTurn_3_5 >= 1)) or (((Turn_5_6 >= 1) and (TestTurn_0_5 >= 1)) or (((Turn_5_5 >= 1) and (TestTurn_6_5 >= 1)) or (((TestTurn_0_5 >= 1) and (Turn_5_5 >= 1)) or (((Turn_5_4 >= 1) and (TestTurn_6_5 >= 1)) or (((TestTurn_2_5 >= 1) and (Turn_5_5 >= 1)) or (((Turn_5_5 >= 1) and (TestTurn_1_5 >= 1)) or (((TestTurn_2_5 >= 1) and (Turn_5_4 >= 1)) or (((Turn_5_4 >= 1) and (TestTurn_1_5 >= 1)) or (((TestTurn_5_5 >= 1) and (Turn_5_4 >= 1)) or (((Turn_5_4 >= 1) and (TestTurn_3_5 >= 1)) or (((TestTurn_5_5 >= 1) and (Turn_5_3 >= 1)) or (((Turn_5_3 >= 1) and (TestTurn_4_5 >= 1)) or (((TestTurn_0_5 >= 1) and (Turn_5_4 >= 1)) or (((Turn_5_3 >= 1) and (TestTurn_6_5 >= 1)) or (((TestTurn_5_5 >= 1) and (Turn_5_6 >= 1)) or (((TestTurn_1_5 >= 1) and (Turn_5_6 >= 1)) or (((TestTurn_2_5 >= 1) and (Turn_5_6 >= 1)) or (((TestTurn_3_5 >= 1) and (Turn_5_6 >= 1)) or (((TestTurn_4_5 >= 1) and (Turn_5_6 >= 1)) or (((Turn_3_3 >= 1) and (TestTurn_2_3 >= 1)) or (((Turn_3_3 >= 1) and (TestTurn_1_3 >= 1)) or (((Turn_3_3 >= 1) and (TestTurn_0_3 >= 1)) or (((Turn_3_2 >= 1) and (TestTurn_6_3 >= 1)) or (((Turn_3_4 >= 1) and (TestTurn_0_3 >= 1)) or (((Turn_3_3 >= 1) and (TestTurn_6_3 >= 1)) or (((Turn_3_3 >= 1) and (TestTurn_5_3 >= 1)) or (((Turn_3_3 >= 1) and (TestTurn_4_3 >= 1)) or (((Turn_3_2 >= 1) and (TestTurn_0_3 >= 1)) or (((Turn_3_1 >= 1) and (TestTurn_6_3 >= 1)) or (((Turn_3_1 >= 1) and (TestTurn_5_3 >= 1)) or (((Turn_3_1 >= 1) and (TestTurn_4_3 >= 1)) or (((TestTurn_5_3 >= 1) and (Turn_3_2 >= 1)) or (((Turn_3_2 >= 1) and (TestTurn_4_3 >= 1)) or (((Turn_3_2 >= 1) and (TestTurn_3_3 >= 1)) or (((Turn_3_2 >= 1) and (TestTurn_1_3 >= 1)) or (((TestTurn_6_3 >= 1) and (Turn_3_5 >= 1)) or (((Turn_3_6 >= 1) and (TestTurn_0_3 >= 1)) or (((TestTurn_3_3 >= 1) and (Turn_3_5 >= 1)) or (((Turn_3_5 >= 1) and (TestTurn_4_3 >= 1)) or (((TestTurn_3_3 >= 1) and (Turn_3_6 >= 1)) or (((Turn_3_6 >= 1) and (TestTurn_4_3 >= 1)) or (((TestTurn_1_3 >= 1) and (Turn_3_6 >= 1)) or (((Turn_3_6 >= 1) and (TestTurn_2_3 >= 1)) or (((TestTurn_3_3 >= 1) and (Turn_3_4 >= 1)) or (((Turn_3_4 >= 1) and (TestTurn_5_3 >= 1)) or (((TestTurn_1_3 >= 1) and (Turn_3_4 >= 1)) or (((Turn_3_4 >= 1) and (TestTurn_2_3 >= 1)) or (((TestTurn_1_3 >= 1) and (Turn_3_5 >= 1)) or (((TestTurn_2_3 >= 1) and (Turn_3_5 >= 1)) or (((TestTurn_6_3 >= 1) and (Turn_3_4 >= 1)) or (((Turn_3_5 >= 1) and (TestTurn_0_3 >= 1)) or (((TestTurn_3_4 >= 1) and (Turn_4_1 >= 1)) or (((Turn_4_1 >= 1) and (TestTurn_2_4 >= 1)) or (((Turn_4_1 >= 1) and (TestTurn_5_4 >= 1)) or (((Turn_4_1 >= 1) and (TestTurn_4_4 >= 1)) or (((TestTurn_0_4 >= 1) and (Turn_4_2 >= 1)) or (((Turn_4_1 >= 1) and (TestTurn_6_4 >= 1)) or (((Turn_4_2 >= 1) and (TestTurn_3_4 >= 1)) or (((Turn_4_2 >= 1) and (TestTurn_1_4 >= 1)) or (((TestTurn_1_4 >= 1) and (Turn_4_0 >= 1)) or (((Turn_3_6 >= 1) and (TestTurn_5_3 >= 1)) or (((Turn_4_0 >= 1) and (TestTurn_3_4 >= 1)) or (((Turn_4_0 >= 1) and (TestTurn_2_4 >= 1)) or (((TestTurn_5_4 >= 1) and (Turn_4_0 >= 1)) or (((Turn_4_0 >= 1) and (TestTurn_4_4 >= 1)) or (((TestTurn_0_4 >= 1) and (Turn_4_1 >= 1)) or (((Turn_4_0 >= 1) and (TestTurn_6_4 >= 1)) or (((TestTurn_6_4 >= 1) and (Turn_4_3 >= 1)) or (((TestTurn_0_4 >= 1) and (Turn_4_4 >= 1)) or (((TestTurn_1_4 >= 1) and (Turn_4_4 >= 1)) or (((Turn_4_4 >= 1) and (TestTurn_2_4 >= 1)) or (((TestTurn_3_4 >= 1) and (Turn_4_4 >= 1)) or (((TestTurn_5_4 >= 1) and (Turn_4_4 >= 1)) or (((TestTurn_6_4 >= 1) and (Turn_4_4 >= 1)) or (((TestTurn_0_4 >= 1) and (Turn_4_5 >= 1)) or (((TestTurn_4_4 >= 1) and (Turn_4_2 >= 1)) or (((TestTurn_5_4 >= 1) and (Turn_4_2 >= 1)) or (((TestTurn_6_4 >= 1) and (Turn_4_2 >= 1)) or (((Turn_4_3 >= 1) and (TestTurn_0_4 >= 1)) or (((TestTurn_1_4 >= 1) and (Turn_4_3 >= 1)) or (((TestTurn_2_4 >= 1) and (Turn_4_3 >= 1)) or (((TestTurn_4_4 >= 1) and (Turn_4_3 >= 1)) or (((TestTurn_5_4 >= 1) and (Turn_4_3 >= 1)) or (((TestTurn_2_0 >= 1) and (Turn_0_0 >= 1)) or (((Turn_0_0 >= 1) and (TestTurn_3_0 >= 1)) or (((Turn_0_0 >= 1) and (TestTurn_1_0 >= 1)) or (((TestTurn_6_0 >= 1) and (Turn_0_0 >= 1)) or (((Turn_0_1 >= 1) and (TestTurn_0_0 >= 1)) or (((TestTurn_4_0 >= 1) and (Turn_0_0 >= 1)) or (((Turn_0_0 >= 1) and (TestTurn_5_0 >= 1)) or (((Turn_2_6 >= 1) and (TestTurn_2_2 >= 1)) or (((Turn_2_6 >= 1) and (TestTurn_1_2 >= 1)) or (((Turn_2_6 >= 1) and (TestTurn_0_2 >= 1)) or (((Turn_2_5 >= 1) and (TestTurn_6_2 >= 1)) or (((TestTurn_1_3 >= 1) and (Turn_3_0 >= 1)) or (((Turn_2_6 >= 1) and (TestTurn_5_2 >= 1)) or (((Turn_2_6 >= 1) and (TestTurn_4_2 >= 1)) or (((Turn_2_6 >= 1) and (TestTurn_3_2 >= 1)) or (((Turn_3_0 >= 1) and (TestTurn_5_3 >= 1)) or (((Turn_3_0 >= 1) and (TestTurn_4_3 >= 1)) or (((Turn_3_0 >= 1) and (TestTurn_3_3 >= 1)) or (((Turn_3_0 >= 1) and (TestTurn_2_3 >= 1)) or (((Turn_3_1 >= 1) and (TestTurn_3_3 >= 1)) or (((Turn_3_1 >= 1) and (TestTurn_2_3 >= 1)) or (((Turn_3_1 >= 1) and (TestTurn_0_3 >= 1)) or (((Turn_3_0 >= 1) and (TestTurn_6_3 >= 1)) or (((TestTurn_4_2 >= 1) and (Turn_2_3 >= 1)) or (((Turn_2_3 >= 1) and (TestTurn_5_2 >= 1)) or (((TestTurn_1_2 >= 1) and (Turn_2_3 >= 1)) or (((Turn_2_3 >= 1) and (TestTurn_2_2 >= 1)) or (((TestTurn_1_2 >= 1) and (Turn_2_4 >= 1)) or (((TestTurn_2_2 >= 1) and (Turn_2_4 >= 1)) or (((TestTurn_6_2 >= 1) and (Turn_2_3 >= 1)) or (((Turn_2_4 >= 1) and (TestTurn_0_2 >= 1)) or (((TestTurn_6_2 >= 1) and (Turn_2_4 >= 1)) or (((Turn_2_5 >= 1) and (TestTurn_0_2 >= 1)) or (((TestTurn_3_2 >= 1) and (Turn_2_4 >= 1)) or (((Turn_2_4 >= 1) and (TestTurn_5_2 >= 1)) or (((TestTurn_3_2 >= 1) and (Turn_2_5 >= 1)) or (((TestTurn_4_2 >= 1) and (Turn_2_5 >= 1)) or (((TestTurn_1_2 >= 1) and (Turn_2_5 >= 1)) or (((Turn_2_5 >= 1) and (TestTurn_2_2 >= 1)) or (((TestTurn_5_2 >= 1) and (Turn_2_0 >= 1)) or (((Turn_2_0 >= 1) and (TestTurn_4_2 >= 1)) or (((Turn_2_1 >= 1) and (TestTurn_0_2 >= 1)) or (((Turn_2_0 >= 1) and (TestTurn_6_2 >= 1)) or (((TestTurn_3_2 >= 1) and (Turn_2_1 >= 1)) or (((Turn_2_1 >= 1) and (TestTurn_2_2 >= 1)) or (((TestTurn_5_2 >= 1) and (Turn_2_1 >= 1)) or (((Turn_2_1 >= 1) and (TestTurn_4_2 >= 1)) or (((TestTurn_0_2 >= 1) and (Turn_2_2 >= 1)) or (((Turn_2_1 >= 1) and (TestTurn_6_2 >= 1)) or (((Turn_2_2 >= 1) and (TestTurn_3_2 >= 1)) or (((Turn_2_2 >= 1) and (TestTurn_1_2 >= 1)) or (((TestTurn_5_2 >= 1) and (Turn_2_2 >= 1)) or (((Turn_2_2 >= 1) and (TestTurn_4_2 >= 1)) or (((TestTurn_0_2 >= 1) and (Turn_2_3 >= 1)) or (((Turn_2_2 >= 1) and (TestTurn_6_2 >= 1)) or (((TestTurn_6_1 >= 1) and (Turn_1_4 >= 1)) or (((TestTurn_0_1 >= 1) and (Turn_1_5 >= 1)) or (((TestTurn_1_1 >= 1) and (Turn_1_5 >= 1)) or (((Turn_1_5 >= 1) and (TestTurn_2_1 >= 1)) or (((TestTurn_3_1 >= 1) and (Turn_1_5 >= 1)) or (((TestTurn_4_1 >= 1) and (Turn_1_5 >= 1)) or (((TestTurn_6_1 >= 1) and (Turn_1_5 >= 1)) or (((TestTurn_0_1 >= 1) and (Turn_1_6 >= 1)) or (((TestTurn_1_1 >= 1) and (Turn_1_6 >= 1)) or (((TestTurn_2_1 >= 1) and (Turn_1_6 >= 1)) or (((TestTurn_3_1 >= 1) and (Turn_1_6 >= 1)) or (((Turn_1_6 >= 1) and (TestTurn_4_1 >= 1)) or (((TestTurn_5_1 >= 1) and (Turn_1_6 >= 1)) or (((TestTurn_1_2 >= 1) and (Turn_2_0 >= 1)) or (((TestTurn_2_2 >= 1) and (Turn_2_0 >= 1)) or (((TestTurn_3_2 >= 1) and (Turn_2_0 >= 1)) or (((TestTurn_2_1 >= 1) and (Turn_1_3 >= 1)) or (((Turn_1_3 >= 1) and (TestTurn_1_1 >= 1)) or (((Turn_1_3 >= 1) and (TestTurn_0_1 >= 1)) or (((Turn_1_2 >= 1) and (TestTurn_6_1 >= 1)) or (((TestTurn_5_1 >= 1) and (Turn_1_2 >= 1)) or (((Turn_1_2 >= 1) and (TestTurn_4_1 >= 1)) or (((Turn_1_2 >= 1) and (TestTurn_3_1 >= 1)) or (((Turn_1_2 >= 1) and (TestTurn_1_1 >= 1)) or (((Turn_1_4 >= 1) and (TestTurn_5_1 >= 1)) or (((Turn_1_4 >= 1) and (TestTurn_3_1 >= 1)) or (((Turn_1_4 >= 1) and (TestTurn_2_1 >= 1)) or (((Turn_1_4 >= 1) and (TestTurn_1_1 >= 1)) or (((TestTurn_0_1 >= 1) and (Turn_1_4 >= 1)) or (((Turn_1_3 >= 1) and (TestTurn_6_1 >= 1)) or (((Turn_1_3 >= 1) and (TestTurn_5_1 >= 1)) or (((Turn_1_3 >= 1) and (TestTurn_4_1 >= 1)) or (((TestTurn_4_1 >= 1) and (Turn_1_0 >= 1)) or (((TestTurn_5_1 >= 1) and (Turn_1_0 >= 1)) or (((TestTurn_2_1 >= 1) and (Turn_1_0 >= 1)) or (((Turn_1_0 >= 1) and (TestTurn_3_1 >= 1)) or (((TestTurn_5_0 >= 1) and (Turn_0_6 >= 1)) or (((TestTurn_1_1 >= 1) and (Turn_1_0 >= 1)) or (((TestTurn_3_0 >= 1) and (Turn_0_6 >= 1)) or (((Turn_0_6 >= 1) and (TestTurn_4_0 >= 1)) or (((TestTurn_6_1 >= 1) and (Turn_1_1 >= 1)) or (((TestTurn_0_1 >= 1) and (Turn_1_2 >= 1)) or (((TestTurn_4_1 >= 1) and (Turn_1_1 >= 1)) or (((Turn_1_1 >= 1) and (TestTurn_5_1 >= 1)) or (((TestTurn_2_1 >= 1) and (Turn_1_1 >= 1)) or (((TestTurn_3_1 >= 1) and (Turn_1_1 >= 1)) or (((TestTurn_6_1 >= 1) and (Turn_1_0 >= 1)) or (((Turn_1_1 >= 1) and (TestTurn_0_1 >= 1)) or (((TestTurn_5_0 >= 1) and (Turn_0_4 >= 1)) or (((Turn_0_4 >= 1) and (TestTurn_3_0 >= 1)) or (((TestTurn_0_0 >= 1) and (Turn_0_5 >= 1)) or (((Turn_0_4 >= 1) and (TestTurn_6_0 >= 1)) or (((TestTurn_0_0 >= 1) and (Turn_0_4 >= 1)) or (((Turn_0_3 >= 1) and (TestTurn_6_0 >= 1)) or (((TestTurn_2_0 >= 1) and (Turn_0_4 >= 1)) or (((Turn_0_4 >= 1) and (TestTurn_1_0 >= 1)) or (((TestTurn_0_0 >= 1) and (Turn_0_6 >= 1)) or (((Turn_0_5 >= 1) and (TestTurn_6_0 >= 1)) or (((TestTurn_2_0 >= 1) and (Turn_0_6 >= 1)) or (((Turn_0_6 >= 1) and (TestTurn_1_0 >= 1)) or (((TestTurn_2_0 >= 1) and (Turn_0_5 >= 1)) or (((Turn_0_5 >= 1) and (TestTurn_1_0 >= 1)) or (((TestTurn_4_0 >= 1) and (Turn_0_5 >= 1)) or (((Turn_0_5 >= 1) and (TestTurn_3_0 >= 1)) or (((TestTurn_6_0 >= 1) and (Turn_0_1 >= 1)) or (((TestTurn_0_0 >= 1) and (Turn_0_2 >= 1)) or (((TestTurn_1_0 >= 1) and (Turn_0_2 >= 1)) or (((TestTurn_3_0 >= 1) and (Turn_0_2 >= 1)) or (((TestTurn_2_0 >= 1) and (Turn_0_1 >= 1)) or (((TestTurn_3_0 >= 1) and (Turn_0_1 >= 1)) or (((TestTurn_4_0 >= 1) and (Turn_0_1 >= 1)) or (((TestTurn_5_0 >= 1) and (Turn_0_1 >= 1)) or (((TestTurn_1_0 >= 1) and (Turn_0_3 >= 1)) or (((TestTurn_2_0 >= 1) and (Turn_0_3 >= 1)) or (((TestTurn_4_0 >= 1) and (Turn_0_3 >= 1)) or (((TestTurn_5_0 >= 1) and (Turn_0_3 >= 1)) or (((TestTurn_4_0 >= 1) and (Turn_0_2 >= 1)) or (((TestTurn_5_0 >= 1) and (Turn_0_2 >= 1)) or (((TestTurn_6_0 >= 1) and (Turn_0_2 >= 1)) or ((TestTurn_0_0 >= 1) and (Turn_0_3 >= 1)))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))) or (not (((WantSection_6_T >= 1) and (CS_6 >= 1)) or (((CS_5 >= 1) and (WantSection_5_T >= 1)) or (((CS_4 >= 1) and (WantSection_4_T >= 1)) or (((WantSection_0_T >= 1) and (CS_0 >= 1)) or (((CS_1 >= 1) and (WantSection_1_T >= 1)) or (((WantSection_2_T >= 1) and (CS_2 >= 1)) or ((CS_3 >= 1) and (WantSection_3_T >= 1))))))))))
Query size reduced from 8456 to 8456 nodes (0.00 percent reduction).
Query reduction reached timeout.
Size of net before structural reductions: 1330 places, 2030 transitions
Size of net after structural reductions: 700 places, 1400 transitions
Structural reduction finished after 0.016243 seconds

Net reduction is enabled.
Removed transitions: 630
Removed places: 630
Applications of rule A: 630
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0
No solution found
------------------- QUERY 9 ----------------------
Running query 9 for 1102 seconds. Remaining: 2 queries and 2201 seconds

Parameters: -s DFS -d 100 -q 100 ./model.pnml ./ReachabilityFireability.xml -x 9

Search=DFS,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=100,Stubborn_Reduction=ENABLED,Query_Simplication=ENABLED,QSTimeout=100,Siphon_Trap=DISABLED,LPSolve_Timeout=10

Query before reduction: AG (((not (((BeginLoop_6_5_6 >= 1) or ((BeginLoop_0_5_6 >= 1) or ((BeginLoop_1_5_6 >= 1) or ((BeginLoop_5_5_5 >= 1) or ((BeginLoop_6_5_5 >= 1) or ((BeginLoop_4_5_6 >= 1) or ((BeginLoop_5_5_6 >= 1) or ((BeginLoop_2_5_6 >= 1) or ((BeginLoop_3_5_6 >= 1) or ((BeginLoop_0_5_5 >= 1) or ((BeginLoop_6_5_4 >= 1) or ((BeginLoop_5_5_4 >= 1) or ((BeginLoop_4_5_4 >= 1) or ((BeginLoop_4_5_5 >= 1) or ((BeginLoop_3_5_5 >= 1) or ((BeginLoop_2_5_5 >= 1) or ((BeginLoop_1_5_5 >= 1) or ((BeginLoop_6_5_3 >= 1) or ((BeginLoop_5_5_3 >= 1) or ((BeginLoop_4_5_3 >= 1) or ((BeginLoop_3_5_3 >= 1) or ((BeginLoop_3_5_4 >= 1) or ((BeginLoop_2_5_4 >= 1) or ((BeginLoop_1_5_4 >= 1) or ((BeginLoop_0_5_4 >= 1) or ((BeginLoop_2_5_2 >= 1) or ((BeginLoop_3_5_2 >= 1) or ((BeginLoop_4_5_2 >= 1) or ((BeginLoop_5_5_2 >= 1) or ((BeginLoop_6_5_2 >= 1) or ((BeginLoop_0_5_3 >= 1) or ((BeginLoop_1_5_3 >= 1) or ((BeginLoop_2_5_3 >= 1) or ((BeginLoop_1_5_1 >= 1) or ((BeginLoop_2_5_1 >= 1) or ((BeginLoop_3_5_1 >= 1) or ((BeginLoop_4_5_1 >= 1) or ((BeginLoop_5_5_1 >= 1) or ((BeginLoop_6_5_1 >= 1) or ((BeginLoop_0_5_2 >= 1) or ((BeginLoop_1_5_2 >= 1) or ((BeginLoop_1_5_0 >= 1) or ((BeginLoop_0_5_0 >= 1) or ((BeginLoop_3_5_0 >= 1) or ((BeginLoop_2_5_0 >= 1) or ((BeginLoop_5_5_0 >= 1) or ((BeginLoop_4_5_0 >= 1) or ((BeginLoop_0_5_1 >= 1) or ((BeginLoop_6_5_0 >= 1) or ((BeginLoop_0_4_6 >= 1) or ((BeginLoop_6_4_5 >= 1) or ((BeginLoop_2_4_6 >= 1) or ((BeginLoop_1_4_6 >= 1) or ((BeginLoop_4_4_6 >= 1) or ((BeginLoop_3_4_6 >= 1) or ((BeginLoop_6_4_6 >= 1) or ((BeginLoop_5_4_6 >= 1) or ((BeginLoop_4_4_5 >= 1) or ((BeginLoop_5_4_5 >= 1) or ((BeginLoop_2_4_5 >= 1) or ((BeginLoop_3_4_5 >= 1) or ((BeginLoop_0_4_5 >= 1) or ((BeginLoop_1_4_5 >= 1) or ((BeginLoop_5_4_4 >= 1) or ((BeginLoop_6_4_4 >= 1) or ((BeginLoop_3_4_4 >= 1) or ((BeginLoop_4_4_4 >= 1) or ((BeginLoop_1_4_4 >= 1) or ((BeginLoop_2_4_4 >= 1) or ((BeginLoop_6_4_3 >= 1) or ((BeginLoop_0_4_4 >= 1) or ((BeginLoop_4_4_3 >= 1) or ((BeginLoop_5_4_3 >= 1) or ((BeginLoop_3_4_3 >= 1) or ((BeginLoop_2_4_3 >= 1) or ((BeginLoop_1_4_3 >= 1) or ((BeginLoop_0_4_3 >= 1) or ((BeginLoop_6_4_2 >= 1) or ((BeginLoop_5_4_2 >= 1) or ((BeginLoop_4_4_2 >= 1) or ((BeginLoop_3_4_2 >= 1) or ((BeginLoop_2_4_2 >= 1) or ((BeginLoop_1_4_2 >= 1) or ((BeginLoop_0_4_2 >= 1) or ((BeginLoop_6_4_1 >= 1) or ((BeginLoop_5_4_1 >= 1) or ((BeginLoop_4_4_1 >= 1) or ((BeginLoop_3_4_1 >= 1) or ((BeginLoop_2_4_1 >= 1) or ((BeginLoop_5_4_0 >= 1) or ((BeginLoop_6_4_0 >= 1) or ((BeginLoop_0_4_1 >= 1) or ((BeginLoop_1_4_1 >= 1) or ((BeginLoop_1_4_0 >= 1) or ((BeginLoop_2_4_0 >= 1) or ((BeginLoop_3_4_0 >= 1) or ((BeginLoop_4_4_0 >= 1) or ((BeginLoop_4_3_6 >= 1) or ((BeginLoop_5_3_6 >= 1) or ((BeginLoop_6_3_6 >= 1) or ((BeginLoop_0_4_0 >= 1) or ((BeginLoop_0_3_6 >= 1) or ((BeginLoop_1_3_6 >= 1) or ((BeginLoop_2_3_6 >= 1) or ((BeginLoop_3_3_6 >= 1) or ((BeginLoop_4_3_5 >= 1) or ((BeginLoop_3_3_5 >= 1) or ((BeginLoop_6_3_5 >= 1) or ((BeginLoop_5_3_5 >= 1) or ((BeginLoop_0_3_5 >= 1) or ((BeginLoop_6_3_4 >= 1) or ((BeginLoop_2_3_5 >= 1) or ((BeginLoop_1_3_5 >= 1) or ((BeginLoop_3_3_4 >= 1) or ((BeginLoop_2_3_4 >= 1) or ((BeginLoop_5_3_4 >= 1) or ((BeginLoop_4_3_4 >= 1) or ((BeginLoop_6_3_3 >= 1) or ((BeginLoop_5_3_3 >= 1) or ((BeginLoop_1_3_4 >= 1) or ((BeginLoop_0_3_4 >= 1) or ((BeginLoop_1_0_3 >= 1) or ((BeginLoop_0_0_3 >= 1) or ((BeginLoop_3_0_3 >= 1) or ((BeginLoop_2_0_3 >= 1) or ((BeginLoop_5_0_3 >= 1) or ((BeginLoop_4_0_3 >= 1) or ((BeginLoop_0_0_4 >= 1) or ((BeginLoop_6_0_3 >= 1) or ((BeginLoop_0_0_2 >= 1) or ((BeginLoop_6_0_1 >= 1) or ((BeginLoop_2_0_2 >= 1) or ((BeginLoop_1_0_2 >= 1) or ((BeginLoop_4_0_2 >= 1) or ((BeginLoop_3_0_2 >= 1) or ((BeginLoop_6_0_2 >= 1) or ((BeginLoop_5_0_2 >= 1) or ((BeginLoop_2_0_5 >= 1) or ((BeginLoop_3_0_5 >= 1) or ((BeginLoop_4_0_5 >= 1) or ((BeginLoop_5_0_5 >= 1) or ((BeginLoop_6_0_5 >= 1) or ((BeginLoop_0_0_6 >= 1) or ((BeginLoop_1_0_6 >= 1) or ((BeginLoop_2_0_6 >= 1) or ((BeginLoop_1_0_4 >= 1) or ((BeginLoop_2_0_4 >= 1) or ((BeginLoop_3_0_4 >= 1) or ((BeginLoop_4_0_4 >= 1) or ((BeginLoop_5_0_4 >= 1) or ((BeginLoop_6_0_4 >= 1) or ((BeginLoop_0_0_5 >= 1) or ((BeginLoop_1_0_5 >= 1) or ((BeginLoop_0_0_1 >= 1) or ((BeginLoop_1_0_1 >= 1) or ((BeginLoop_5_0_0 >= 1) or ((BeginLoop_6_0_0 >= 1) or ((BeginLoop_4_0_1 >= 1) or ((BeginLoop_5_0_1 >= 1) or ((BeginLoop_2_0_1 >= 1) or ((BeginLoop_3_0_1 >= 1) or ((BeginLoop_0_0_0 >= 1) or ((BeginLoop_3_0_0 >= 1) or ((BeginLoop_4_0_0 >= 1) or ((BeginLoop_1_0_0 >= 1) or ((BeginLoop_2_0_0 >= 1) or ((BeginLoop_1_2_6 >= 1) or ((BeginLoop_2_2_6 >= 1) or ((BeginLoop_3_2_6 >= 1) or ((BeginLoop_4_2_6 >= 1) or ((BeginLoop_5_2_6 >= 1) or ((BeginLoop_6_2_6 >= 1) or ((BeginLoop_0_3_0 >= 1) or ((BeginLoop_1_3_0 >= 1) or ((BeginLoop_2_3_0 >= 1) or ((BeginLoop_3_3_0 >= 1) or ((BeginLoop_4_3_0 >= 1) or ((BeginLoop_5_3_0 >= 1) or ((BeginLoop_6_3_0 >= 1) or ((BeginLoop_0_3_1 >= 1) or ((BeginLoop_1_3_1 >= 1) or ((BeginLoop_2_3_1 >= 1) or ((BeginLoop_4_3_1 >= 1) or ((BeginLoop_3_3_1 >= 1) or ((BeginLoop_6_3_1 >= 1) or ((BeginLoop_5_3_1 >= 1) or ((BeginLoop_1_3_2 >= 1) or ((BeginLoop_0_3_2 >= 1) or ((BeginLoop_3_3_2 >= 1) or ((BeginLoop_2_3_2 >= 1) or ((BeginLoop_5_3_2 >= 1) or ((BeginLoop_4_3_2 >= 1) or ((BeginLoop_0_3_3 >= 1) or ((BeginLoop_6_3_2 >= 1) or ((BeginLoop_2_3_3 >= 1) or ((BeginLoop_1_3_3 >= 1) or ((BeginLoop_4_3_3 >= 1) or ((BeginLoop_3_3_3 >= 1) or ((BeginLoop_6_2_1 >= 1) or ((BeginLoop_0_2_2 >= 1) or ((BeginLoop_4_2_1 >= 1) or ((BeginLoop_5_2_1 >= 1) or ((BeginLoop_3_2_2 >= 1) or ((BeginLoop_4_2_2 >= 1) or ((BeginLoop_1_2_2 >= 1) or ((BeginLoop_2_2_2 >= 1) or ((BeginLoop_0_2_3 >= 1) or ((BeginLoop_1_2_3 >= 1) or ((BeginLoop_5_2_2 >= 1) or ((BeginLoop_6_2_2 >= 1) or ((BeginLoop_4_2_3 >= 1) or ((BeginLoop_5_2_3 >= 1) or ((BeginLoop_2_2_3 >= 1) or ((BeginLoop_3_2_3 >= 1) or ((BeginLoop_2_2_4 >= 1) or ((BeginLoop_1_2_4 >= 1) or ((BeginLoop_0_2_4 >= 1) or ((BeginLoop_6_2_3 >= 1) or ((BeginLoop_6_2_4 >= 1) or ((BeginLoop_5_2_4 >= 1) or ((BeginLoop_4_2_4 >= 1) or ((BeginLoop_3_2_4 >= 1) or ((BeginLoop_3_2_5 >= 1) or ((BeginLoop_2_2_5 >= 1) or ((BeginLoop_1_2_5 >= 1) or ((BeginLoop_0_2_5 >= 1) or ((BeginLoop_0_2_6 >= 1) or ((BeginLoop_6_2_5 >= 1) or ((BeginLoop_5_2_5 >= 1) or ((BeginLoop_4_2_5 >= 1) or ((BeginLoop_4_1_4 >= 1) or ((BeginLoop_5_1_4 >= 1) or ((BeginLoop_6_1_4 >= 1) or ((BeginLoop_0_1_5 >= 1) or ((BeginLoop_0_1_4 >= 1) or ((BeginLoop_1_1_4 >= 1) or ((BeginLoop_2_1_4 >= 1) or ((BeginLoop_3_1_4 >= 1) or ((BeginLoop_5_1_5 >= 1) or ((BeginLoop_6_1_5 >= 1) or ((BeginLoop_0_1_6 >= 1) or ((BeginLoop_1_1_6 >= 1) or ((BeginLoop_1_1_5 >= 1) or ((BeginLoop_2_1_5 >= 1) or ((BeginLoop_3_1_5 >= 1) or ((BeginLoop_4_1_5 >= 1) or ((BeginLoop_0_2_0 >= 1) or ((BeginLoop_6_1_6 >= 1) or ((BeginLoop_2_2_0 >= 1) or ((BeginLoop_1_2_0 >= 1) or ((BeginLoop_3_1_6 >= 1) or ((BeginLoop_2_1_6 >= 1) or ((BeginLoop_5_1_6 >= 1) or ((BeginLoop_4_1_6 >= 1) or ((BeginLoop_1_2_1 >= 1) or ((BeginLoop_0_2_1 >= 1) or ((BeginLoop_3_2_1 >= 1) or ((BeginLoop_2_2_1 >= 1) or ((BeginLoop_4_2_0 >= 1) or ((BeginLoop_3_2_0 >= 1) or ((BeginLoop_6_2_0 >= 1) or ((BeginLoop_5_2_0 >= 1) or ((BeginLoop_2_1_0 >= 1) or ((BeginLoop_3_1_0 >= 1) or ((BeginLoop_0_1_0 >= 1) or ((BeginLoop_1_1_0 >= 1) or ((BeginLoop_5_0_6 >= 1) or ((BeginLoop_6_0_6 >= 1) or ((BeginLoop_3_0_6 >= 1) or ((BeginLoop_4_0_6 >= 1) or ((BeginLoop_3_1_1 >= 1) or ((BeginLoop_4_1_1 >= 1) or ((BeginLoop_1_1_1 >= 1) or ((BeginLoop_2_1_1 >= 1) or ((BeginLoop_6_1_0 >= 1) or ((BeginLoop_0_1_1 >= 1) or ((BeginLoop_4_1_0 >= 1) or ((BeginLoop_5_1_0 >= 1) or ((BeginLoop_5_1_2 >= 1) or ((BeginLoop_4_1_2 >= 1) or ((BeginLoop_3_1_2 >= 1) or ((BeginLoop_2_1_2 >= 1) or ((BeginLoop_1_1_2 >= 1) or ((BeginLoop_0_1_2 >= 1) or ((BeginLoop_6_1_1 >= 1) or ((BeginLoop_5_1_1 >= 1) or ((BeginLoop_6_1_3 >= 1) or ((BeginLoop_5_1_3 >= 1) or ((BeginLoop_4_1_3 >= 1) or ((BeginLoop_3_1_3 >= 1) or ((BeginLoop_2_1_3 >= 1) or ((BeginLoop_1_1_3 >= 1) or ((BeginLoop_0_1_3 >= 1) or (BeginLoop_6_1_2 >= 1)))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))) or (((Turn_5_0 >= 1) and (TestTurn_5_5 >= 1)) or (((Turn_5_0 >= 1) and (TestTurn_4_5 >= 1)) or (((Turn_5_0 >= 1) and (TestTurn_3_5 >= 1)) or (((Turn_5_0 >= 1) and (TestTurn_2_5 >= 1)) or (((TestTurn_1_5 >= 1) and (Turn_5_0 >= 1)) or (((Turn_4_6 >= 1) and (TestTurn_5_4 >= 1)) or (((Turn_4_6 >= 1) and (TestTurn_4_4 >= 1)) or (((Turn_4_6 >= 1) and (TestTurn_3_4 >= 1)) or (((TestTurn_2_4 >= 1) and (Turn_4_6 >= 1)) or (((Turn_4_6 >= 1) and (TestTurn_1_4 >= 1)) or (((Turn_4_6 >= 1) and (TestTurn_0_4 >= 1)) or (((Turn_4_5 >= 1) and (TestTurn_6_4 >= 1)) or (((TestTurn_4_4 >= 1) and (Turn_4_5 >= 1)) or (((Turn_4_5 >= 1) and (TestTurn_3_4 >= 1)) or (((Turn_4_5 >= 1) and (TestTurn_2_4 >= 1)) or (((Turn_4_5 >= 1) and (TestTurn_1_4 >= 1)) or (((TestTurn_1_5 >= 1) and (Turn_5_3 >= 1)) or (((Turn_5_3 >= 1) and (TestTurn_2_5 >= 1)) or (((TestTurn_6_5 >= 1) and (Turn_5_2 >= 1)) or (((Turn_5_3 >= 1) and (TestTurn_0_5 >= 1)) or (((TestTurn_4_5 >= 1) and (Turn_5_2 >= 1)) or (((TestTurn_5_5 >= 1) and (Turn_5_2 >= 1)) or (((TestTurn_1_5 >= 1) and (Turn_5_2 >= 1)) or (((Turn_5_2 >= 1) and (TestTurn_3_5 >= 1)) or (((TestTurn_6_5 >= 1) and (Turn_5_1 >= 1)) or (((TestTurn_0_5 >= 1) and (Turn_5_2 >= 1)) or (((TestTurn_4_5 >= 1) and (Turn_5_1 >= 1)) or (((Turn_5_1 >= 1) and (TestTurn_5_5 >= 1)) or (((TestTurn_2_5 >= 1) and (Turn_5_1 >= 1)) or (((TestTurn_3_5 >= 1) and (Turn_5_1 >= 1)) or (((TestTurn_6_5 >= 1) and (Turn_5_0 >= 1)) or (((Turn_5_1 >= 1) and (TestTurn_0_5 >= 1)) or (((TestTurn_4_5 >= 1) and (Turn_5_5 >= 1)) or (((Turn_5_5 >= 1) and (TestTurn_3_5 >= 1)) or (((Turn_5_6 >= 1) and (TestTurn_0_5 >= 1)) or (((Turn_5_5 >= 1) and (TestTurn_6_5 >= 1)) or (((TestTurn_0_5 >= 1) and (Turn_5_5 >= 1)) or (((Turn_5_4 >= 1) and (TestTurn_6_5 >= 1)) or (((TestTurn_2_5 >= 1) and (Turn_5_5 >= 1)) or (((Turn_5_5 >= 1) and (TestTurn_1_5 >= 1)) or (((TestTurn_2_5 >= 1) and (Turn_5_4 >= 1)) or (((Turn_5_4 >= 1) and (TestTurn_1_5 >= 1)) or (((TestTurn_5_5 >= 1) and (Turn_5_4 >= 1)) or (((Turn_5_4 >= 1) and (TestTurn_3_5 >= 1)) or (((TestTurn_5_5 >= 1) and (Turn_5_3 >= 1)) or (((Turn_5_3 >= 1) and (TestTurn_4_5 >= 1)) or (((TestTurn_0_5 >= 1) and (Turn_5_4 >= 1)) or (((Turn_5_3 >= 1) and (TestTurn_6_5 >= 1)) or (((TestTurn_5_5 >= 1) and (Turn_5_6 >= 1)) or (((TestTurn_1_5 >= 1) and (Turn_5_6 >= 1)) or (((TestTurn_2_5 >= 1) and (Turn_5_6 >= 1)) or (((TestTurn_3_5 >= 1) and (Turn_5_6 >= 1)) or (((TestTurn_4_5 >= 1) and (Turn_5_6 >= 1)) or (((Turn_3_3 >= 1) and (TestTurn_2_3 >= 1)) or (((Turn_3_3 >= 1) and (TestTurn_1_3 >= 1)) or (((Turn_3_3 >= 1) and (TestTurn_0_3 >= 1)) or (((Turn_3_2 >= 1) and (TestTurn_6_3 >= 1)) or (((Turn_3_4 >= 1) and (TestTurn_0_3 >= 1)) or (((Turn_3_3 >= 1) and (TestTurn_6_3 >= 1)) or (((Turn_3_3 >= 1) and (TestTurn_5_3 >= 1)) or (((Turn_3_3 >= 1) and (TestTurn_4_3 >= 1)) or (((Turn_3_2 >= 1) and (TestTurn_0_3 >= 1)) or (((Turn_3_1 >= 1) and (TestTurn_6_3 >= 1)) or (((Turn_3_1 >= 1) and (TestTurn_5_3 >= 1)) or (((Turn_3_1 >= 1) and (TestTurn_4_3 >= 1)) or (((TestTurn_5_3 >= 1) and (Turn_3_2 >= 1)) or (((Turn_3_2 >= 1) and (TestTurn_4_3 >= 1)) or (((Turn_3_2 >= 1) and (TestTurn_3_3 >= 1)) or (((Turn_3_2 >= 1) and (TestTurn_1_3 >= 1)) or (((TestTurn_6_3 >= 1) and (Turn_3_5 >= 1)) or (((Turn_3_6 >= 1) and (TestTurn_0_3 >= 1)) or (((TestTurn_3_3 >= 1) and (Turn_3_5 >= 1)) or (((Turn_3_5 >= 1) and (TestTurn_4_3 >= 1)) or (((TestTurn_3_3 >= 1) and (Turn_3_6 >= 1)) or (((Turn_3_6 >= 1) and (TestTurn_4_3 >= 1)) or (((TestTurn_1_3 >= 1) and (Turn_3_6 >= 1)) or (((Turn_3_6 >= 1) and (TestTurn_2_3 >= 1)) or (((TestTurn_3_3 >= 1) and (Turn_3_4 >= 1)) or (((Turn_3_4 >= 1) and (TestTurn_5_3 >= 1)) or (((TestTurn_1_3 >= 1) and (Turn_3_4 >= 1)) or (((Turn_3_4 >= 1) and (TestTurn_2_3 >= 1)) or (((TestTurn_1_3 >= 1) and (Turn_3_5 >= 1)) or (((TestTurn_2_3 >= 1) and (Turn_3_5 >= 1)) or (((TestTurn_6_3 >= 1) and (Turn_3_4 >= 1)) or (((Turn_3_5 >= 1) and (TestTurn_0_3 >= 1)) or (((TestTurn_3_4 >= 1) and (Turn_4_1 >= 1)) or (((Turn_4_1 >= 1) and (TestTurn_2_4 >= 1)) or (((Turn_4_1 >= 1) and (TestTurn_5_4 >= 1)) or (((Turn_4_1 >= 1) and (TestTurn_4_4 >= 1)) or (((TestTurn_0_4 >= 1) and (Turn_4_2 >= 1)) or (((Turn_4_1 >= 1) and (TestTurn_6_4 >= 1)) or (((Turn_4_2 >= 1) and (TestTurn_3_4 >= 1)) or (((Turn_4_2 >= 1) and (TestTurn_1_4 >= 1)) or (((TestTurn_1_4 >= 1) and (Turn_4_0 >= 1)) or (((Turn_3_6 >= 1) and (TestTurn_5_3 >= 1)) or (((Turn_4_0 >= 1) and (TestTurn_3_4 >= 1)) or (((Turn_4_0 >= 1) and (TestTurn_2_4 >= 1)) or (((TestTurn_5_4 >= 1) and (Turn_4_0 >= 1)) or (((Turn_4_0 >= 1) and (TestTurn_4_4 >= 1)) or (((TestTurn_0_4 >= 1) and (Turn_4_1 >= 1)) or (((Turn_4_0 >= 1) and (TestTurn_6_4 >= 1)) or (((TestTurn_6_4 >= 1) and (Turn_4_3 >= 1)) or (((TestTurn_0_4 >= 1) and (Turn_4_4 >= 1)) or (((TestTurn_1_4 >= 1) and (Turn_4_4 >= 1)) or (((Turn_4_4 >= 1) and (TestTurn_2_4 >= 1)) or (((TestTurn_3_4 >= 1) and (Turn_4_4 >= 1)) or (((TestTurn_5_4 >= 1) and (Turn_4_4 >= 1)) or (((TestTurn_6_4 >= 1) and (Turn_4_4 >= 1)) or (((TestTurn_0_4 >= 1) and (Turn_4_5 >= 1)) or (((TestTurn_4_4 >= 1) and (Turn_4_2 >= 1)) or (((TestTurn_5_4 >= 1) and (Turn_4_2 >= 1)) or (((TestTurn_6_4 >= 1) and (Turn_4_2 >= 1)) or (((Turn_4_3 >= 1) and (TestTurn_0_4 >= 1)) or (((TestTurn_1_4 >= 1) and (Turn_4_3 >= 1)) or (((TestTurn_2_4 >= 1) and (Turn_4_3 >= 1)) or (((TestTurn_4_4 >= 1) and (Turn_4_3 >= 1)) or (((TestTurn_5_4 >= 1) and (Turn_4_3 >= 1)) or (((TestTurn_2_0 >= 1) and (Turn_0_0 >= 1)) or (((Turn_0_0 >= 1) and (TestTurn_3_0 >= 1)) or (((Turn_0_0 >= 1) and (TestTurn_1_0 >= 1)) or (((TestTurn_6_0 >= 1) and (Turn_0_0 >= 1)) or (((Turn_0_1 >= 1) and (TestTurn_0_0 >= 1)) or (((TestTurn_4_0 >= 1) and (Turn_0_0 >= 1)) or (((Turn_0_0 >= 1) and (TestTurn_5_0 >= 1)) or (((Turn_2_6 >= 1) and (TestTurn_2_2 >= 1)) or (((Turn_2_6 >= 1) and (TestTurn_1_2 >= 1)) or (((Turn_2_6 >= 1) and (TestTurn_0_2 >= 1)) or (((Turn_2_5 >= 1) and (TestTurn_6_2 >= 1)) or (((TestTurn_1_3 >= 1) and (Turn_3_0 >= 1)) or (((Turn_2_6 >= 1) and (TestTurn_5_2 >= 1)) or (((Turn_2_6 >= 1) and (TestTurn_4_2 >= 1)) or (((Turn_2_6 >= 1) and (TestTurn_3_2 >= 1)) or (((Turn_3_0 >= 1) and (TestTurn_5_3 >= 1)) or (((Turn_3_0 >= 1) and (TestTurn_4_3 >= 1)) or (((Turn_3_0 >= 1) and (TestTurn_3_3 >= 1)) or (((Turn_3_0 >= 1) and (TestTurn_2_3 >= 1)) or (((Turn_3_1 >= 1) and (TestTurn_3_3 >= 1)) or (((Turn_3_1 >= 1) and (TestTurn_2_3 >= 1)) or (((Turn_3_1 >= 1) and (TestTurn_0_3 >= 1)) or (((Turn_3_0 >= 1) and (TestTurn_6_3 >= 1)) or (((TestTurn_4_2 >= 1) and (Turn_2_3 >= 1)) or (((Turn_2_3 >= 1) and (TestTurn_5_2 >= 1)) or (((TestTurn_1_2 >= 1) and (Turn_2_3 >= 1)) or (((Turn_2_3 >= 1) and (TestTurn_2_2 >= 1)) or (((TestTurn_1_2 >= 1) and (Turn_2_4 >= 1)) or (((TestTurn_2_2 >= 1) and (Turn_2_4 >= 1)) or (((TestTurn_6_2 >= 1) and (Turn_2_3 >= 1)) or (((Turn_2_4 >= 1) and (TestTurn_0_2 >= 1)) or (((TestTurn_6_2 >= 1) and (Turn_2_4 >= 1)) or (((Turn_2_5 >= 1) and (TestTurn_0_2 >= 1)) or (((TestTurn_3_2 >= 1) and (Turn_2_4 >= 1)) or (((Turn_2_4 >= 1) and (TestTurn_5_2 >= 1)) or (((TestTurn_3_2 >= 1) and (Turn_2_5 >= 1)) or (((TestTurn_4_2 >= 1) and (Turn_2_5 >= 1)) or (((TestTurn_1_2 >= 1) and (Turn_2_5 >= 1)) or (((Turn_2_5 >= 1) and (TestTurn_2_2 >= 1)) or (((TestTurn_5_2 >= 1) and (Turn_2_0 >= 1)) or (((Turn_2_0 >= 1) and (TestTurn_4_2 >= 1)) or (((Turn_2_1 >= 1) and (TestTurn_0_2 >= 1)) or (((Turn_2_0 >= 1) and (TestTurn_6_2 >= 1)) or (((TestTurn_3_2 >= 1) and (Turn_2_1 >= 1)) or (((Turn_2_1 >= 1) and (TestTurn_2_2 >= 1)) or (((TestTurn_5_2 >= 1) and (Turn_2_1 >= 1)) or (((Turn_2_1 >= 1) and (TestTurn_4_2 >= 1)) or (((TestTurn_0_2 >= 1) and (Turn_2_2 >= 1)) or (((Turn_2_1 >= 1) and (TestTurn_6_2 >= 1)) or (((Turn_2_2 >= 1) and (TestTurn_3_2 >= 1)) or (((Turn_2_2 >= 1) and (TestTurn_1_2 >= 1)) or (((TestTurn_5_2 >= 1) and (Turn_2_2 >= 1)) or (((Turn_2_2 >= 1) and (TestTurn_4_2 >= 1)) or (((TestTurn_0_2 >= 1) and (Turn_2_3 >= 1)) or (((Turn_2_2 >= 1) and (TestTurn_6_2 >= 1)) or (((TestTurn_6_1 >= 1) and (Turn_1_4 >= 1)) or (((TestTurn_0_1 >= 1) and (Turn_1_5 >= 1)) or (((TestTurn_1_1 >= 1) and (Turn_1_5 >= 1)) or (((Turn_1_5 >= 1) and (TestTurn_2_1 >= 1)) or (((TestTurn_3_1 >= 1) and (Turn_1_5 >= 1)) or (((TestTurn_4_1 >= 1) and (Turn_1_5 >= 1)) or (((TestTurn_6_1 >= 1) and (Turn_1_5 >= 1)) or (((TestTurn_0_1 >= 1) and (Turn_1_6 >= 1)) or (((TestTurn_1_1 >= 1) and (Turn_1_6 >= 1)) or (((TestTurn_2_1 >= 1) and (Turn_1_6 >= 1)) or (((TestTurn_3_1 >= 1) and (Turn_1_6 >= 1)) or (((Turn_1_6 >= 1) and (TestTurn_4_1 >= 1)) or (((TestTurn_5_1 >= 1) and (Turn_1_6 >= 1)) or (((TestTurn_1_2 >= 1) and (Turn_2_0 >= 1)) or (((TestTurn_2_2 >= 1) and (Turn_2_0 >= 1)) or (((TestTurn_3_2 >= 1) and (Turn_2_0 >= 1)) or (((TestTurn_2_1 >= 1) and (Turn_1_3 >= 1)) or (((Turn_1_3 >= 1) and (TestTurn_1_1 >= 1)) or (((Turn_1_3 >= 1) and (TestTurn_0_1 >= 1)) or (((Turn_1_2 >= 1) and (TestTurn_6_1 >= 1)) or (((TestTurn_5_1 >= 1) and (Turn_1_2 >= 1)) or (((Turn_1_2 >= 1) and (TestTurn_4_1 >= 1)) or (((Turn_1_2 >= 1) and (TestTurn_3_1 >= 1)) or (((Turn_1_2 >= 1) and (TestTurn_1_1 >= 1)) or (((Turn_1_4 >= 1) and (TestTurn_5_1 >= 1)) or (((Turn_1_4 >= 1) and (TestTurn_3_1 >= 1)) or (((Turn_1_4 >= 1) and (TestTurn_2_1 >= 1)) or (((Turn_1_4 >= 1) and (TestTurn_1_1 >= 1)) or (((TestTurn_0_1 >= 1) and (Turn_1_4 >= 1)) or (((Turn_1_3 >= 1) and (TestTurn_6_1 >= 1)) or (((Turn_1_3 >= 1) and (TestTurn_5_1 >= 1)) or (((Turn_1_3 >= 1) and (TestTurn_4_1 >= 1)) or (((TestTurn_4_1 >= 1) and (Turn_1_0 >= 1)) or (((TestTurn_5_1 >= 1) and (Turn_1_0 >= 1)) or (((TestTurn_2_1 >= 1) and (Turn_1_0 >= 1)) or (((Turn_1_0 >= 1) and (TestTurn_3_1 >= 1)) or (((TestTurn_5_0 >= 1) and (Turn_0_6 >= 1)) or (((TestTurn_1_1 >= 1) and (Turn_1_0 >= 1)) or (((TestTurn_3_0 >= 1) and (Turn_0_6 >= 1)) or (((Turn_0_6 >= 1) and (TestTurn_4_0 >= 1)) or (((TestTurn_6_1 >= 1) and (Turn_1_1 >= 1)) or (((TestTurn_0_1 >= 1) and (Turn_1_2 >= 1)) or (((TestTurn_4_1 >= 1) and (Turn_1_1 >= 1)) or (((Turn_1_1 >= 1) and (TestTurn_5_1 >= 1)) or (((TestTurn_2_1 >= 1) and (Turn_1_1 >= 1)) or (((TestTurn_3_1 >= 1) and (Turn_1_1 >= 1)) or (((TestTurn_6_1 >= 1) and (Turn_1_0 >= 1)) or (((Turn_1_1 >= 1) and (TestTurn_0_1 >= 1)) or (((TestTurn_5_0 >= 1) and (Turn_0_4 >= 1)) or (((Turn_0_4 >= 1) and (TestTurn_3_0 >= 1)) or (((TestTurn_0_0 >= 1) and (Turn_0_5 >= 1)) or (((Turn_0_4 >= 1) and (TestTurn_6_0 >= 1)) or (((TestTurn_0_0 >= 1) and (Turn_0_4 >= 1)) or (((Turn_0_3 >= 1) and (TestTurn_6_0 >= 1)) or (((TestTurn_2_0 >= 1) and (Turn_0_4 >= 1)) or (((Turn_0_4 >= 1) and (TestTurn_1_0 >= 1)) or (((TestTurn_0_0 >= 1) and (Turn_0_6 >= 1)) or (((Turn_0_5 >= 1) and (TestTurn_6_0 >= 1)) or (((TestTurn_2_0 >= 1) and (Turn_0_6 >= 1)) or (((Turn_0_6 >= 1) and (TestTurn_1_0 >= 1)) or (((TestTurn_2_0 >= 1) and (Turn_0_5 >= 1)) or (((Turn_0_5 >= 1) and (TestTurn_1_0 >= 1)) or (((TestTurn_4_0 >= 1) and (Turn_0_5 >= 1)) or (((Turn_0_5 >= 1) and (TestTurn_3_0 >= 1)) or (((TestTurn_6_0 >= 1) and (Turn_0_1 >= 1)) or (((TestTurn_0_0 >= 1) and (Turn_0_2 >= 1)) or (((TestTurn_1_0 >= 1) and (Turn_0_2 >= 1)) or (((TestTurn_3_0 >= 1) and (Turn_0_2 >= 1)) or (((TestTurn_2_0 >= 1) and (Turn_0_1 >= 1)) or (((TestTurn_3_0 >= 1) and (Turn_0_1 >= 1)) or (((TestTurn_4_0 >= 1) and (Turn_0_1 >= 1)) or (((TestTurn_5_0 >= 1) and (Turn_0_1 >= 1)) or (((TestTurn_1_0 >= 1) and (Turn_0_3 >= 1)) or (((TestTurn_2_0 >= 1) and (Turn_0_3 >= 1)) or (((TestTurn_4_0 >= 1) and (Turn_0_3 >= 1)) or (((TestTurn_5_0 >= 1) and (Turn_0_3 >= 1)) or (((TestTurn_4_0 >= 1) and (Turn_0_2 >= 1)) or (((TestTurn_5_0 >= 1) and (Turn_0_2 >= 1)) or (((TestTurn_6_0 >= 1) and (Turn_0_2 >= 1)) or ((TestTurn_0_0 >= 1) and (Turn_0_3 >= 1))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))) and ((IsEndLoop_1_0_6 >= 1) or ((IsEndLoop_2_0_6 >= 1) or ((IsEndLoop_0_0_6 >= 1) or ((IsEndLoop_2_1_6 >= 1) or ((IsEndLoop_3_1_6 >= 1) or ((IsEndLoop_0_1_6 >= 1) or ((IsEndLoop_1_1_6 >= 1) or ((IsEndLoop_5_0_6 >= 1) or ((IsEndLoop_6_0_6 >= 1) or ((IsEndLoop_3_0_6 >= 1) or ((IsEndLoop_4_0_6 >= 1) or ((IsEndLoop_5_1_6 >= 1) or ((IsEndLoop_4_1_6 >= 1) or ((IsEndLoop_0_2_6 >= 1) or ((IsEndLoop_6_1_6 >= 1) or ((IsEndLoop_2_2_6 >= 1) or ((IsEndLoop_1_2_6 >= 1) or ((IsEndLoop_4_2_6 >= 1) or ((IsEndLoop_3_2_6 >= 1) or ((IsEndLoop_6_2_6 >= 1) or ((IsEndLoop_5_2_6 >= 1) or ((IsEndLoop_1_3_6 >= 1) or ((IsEndLoop_0_3_6 >= 1) or ((IsEndLoop_3_3_6 >= 1) or ((IsEndLoop_2_3_6 >= 1) or ((IsEndLoop_5_3_6 >= 1) or ((IsEndLoop_4_3_6 >= 1) or ((IsEndLoop_6_3_6 >= 1) or ((IsEndLoop_0_4_6 >= 1) or ((IsEndLoop_1_4_6 >= 1) or ((IsEndLoop_2_4_6 >= 1) or ((IsEndLoop_3_4_6 >= 1) or ((IsEndLoop_4_4_6 >= 1) or ((IsEndLoop_5_4_6 >= 1) or ((IsEndLoop_6_4_6 >= 1) or ((IsEndLoop_0_5_6 >= 1) or ((IsEndLoop_1_5_6 >= 1) or ((IsEndLoop_2_5_6 >= 1) or ((IsEndLoop_3_5_6 >= 1) or ((IsEndLoop_4_5_6 >= 1) or ((IsEndLoop_5_5_6 >= 1) or (IsEndLoop_6_5_6 >= 1))))))))))))))))))))))))))))))))))))))))))) or (not (((((AskForSection_0_3 >= 1) and (Turn_3_5 >= 1)) or (((Turn_3_5 >= 1) and (AskForSection_1_3 >= 1)) or (((AskForSection_2_3 >= 1) and (Turn_3_5 >= 1)) or (((Turn_3_5 >= 1) and (AskForSection_3_3 >= 1)) or (((AskForSection_3_3 >= 1) and (Turn_3_4 >= 1)) or (((Turn_3_4 >= 1) and (AskForSection_4_3 >= 1)) or (((AskForSection_5_3 >= 1) and (Turn_3_4 >= 1)) or (((Turn_3_4 >= 1) and (AskForSection_6_3 >= 1)) or (((AskForSection_6_3 >= 1) and (Turn_3_3 >= 1)) or (((Turn_3_4 >= 1) and (AskForSection_0_3 >= 1)) or (((AskForSection_1_3 >= 1) and (Turn_3_4 >= 1)) or (((Turn_3_4 >= 1) and (AskForSection_2_3 >= 1)) or (((Turn_3_3 >= 1) and (AskForSection_2_3 >= 1)) or (((Turn_3_3 >= 1) and (AskForSection_3_3 >= 1)) or (((Turn_3_3 >= 1) and (AskForSection_4_3 >= 1)) or (((Turn_3_3 >= 1) and (AskForSection_5_3 >= 1)) or (((Turn_3_2 >= 1) and (AskForSection_6_3 >= 1)) or (((Turn_3_2 >= 1) and (AskForSection_5_3 >= 1)) or (((Turn_3_3 >= 1) and (AskForSection_1_3 >= 1)) or (((Turn_3_3 >= 1) and (AskForSection_0_3 >= 1)) or (((Turn_3_2 >= 1) and (AskForSection_2_3 >= 1)) or (((Turn_3_2 >= 1) and (AskForSection_1_3 >= 1)) or (((Turn_3_2 >= 1) and (AskForSection_4_3 >= 1)) or (((Turn_3_2 >= 1) and (AskForSection_3_3 >= 1)) or (((Turn_3_1 >= 1) and (AskForSection_5_3 >= 1)) or (((Turn_3_1 >= 1) and (AskForSection_4_3 >= 1)) or (((Turn_3_2 >= 1) and (AskForSection_0_3 >= 1)) or (((Turn_3_1 >= 1) and (AskForSection_6_3 >= 1)) or (((AskForSection_1_3 >= 1) and (Turn_3_1 >= 1)) or (((AskForSection_0_3 >= 1) and (Turn_3_1 >= 1)) or (((AskForSection_3_3 >= 1) and (Turn_3_1 >= 1)) or (((AskForSection_2_3 >= 1) and (Turn_3_1 >= 1)) or (((Turn_4_2 >= 1) and (AskForSection_6_4 >= 1)) or (((AskForSection_0_4 >= 1) and (Turn_4_3 >= 1)) or (((Turn_4_2 >= 1) and (AskForSection_4_4 >= 1)) or (((Turn_4_2 >= 1) and (AskForSection_5_4 >= 1)) or (((Turn_4_2 >= 1) and (AskForSection_2_4 >= 1)) or (((AskForSection_3_4 >= 1) and (Turn_4_2 >= 1)) or (((Turn_4_2 >= 1) and (AskForSection_0_4 >= 1)) or (((Turn_4_2 >= 1) and (AskForSection_1_4 >= 1)) or (((Turn_4_1 >= 1) and (AskForSection_5_4 >= 1)) or (((AskForSection_6_4 >= 1) and (Turn_4_1 >= 1)) or (((Turn_4_1 >= 1) and (AskForSection_3_4 >= 1)) or (((Turn_4_1 >= 1) and (AskForSection_4_4 >= 1)) or (((AskForSection_1_4 >= 1) and (Turn_4_1 >= 1)) or (((AskForSection_2_4 >= 1) and (Turn_4_1 >= 1)) or (((AskForSection_6_4 >= 1) and (Turn_4_0 >= 1)) or (((Turn_4_1 >= 1) and (AskForSection_0_4 >= 1)) or (((AskForSection_5_4 >= 1) and (Turn_4_0 >= 1)) or (((AskForSection_4_4 >= 1) and (Turn_4_0 >= 1)) or (((Turn_4_0 >= 1) and (AskForSection_3_4 >= 1)) or (((AskForSection_2_4 >= 1) and (Turn_4_0 >= 1)) or (((AskForSection_1_4 >= 1) and (Turn_4_0 >= 1)) or (((AskForSection_0_4 >= 1) and (Turn_4_0 >= 1)) or (((Turn_3_6 >= 1) and (AskForSection_6_3 >= 1)) or (((AskForSection_5_3 >= 1) and (Turn_3_6 >= 1)) or (((AskForSection_4_3 >= 1) and (Turn_3_6 >= 1)) or (((AskForSection_3_3 >= 1) and (Turn_3_6 >= 1)) or (((Turn_3_6 >= 1) and (AskForSection_2_3 >= 1)) or (((AskForSection_1_3 >= 1) and (Turn_3_6 >= 1)) or (((AskForSection_0_3 >= 1) and (Turn_3_6 >= 1)) or (((AskForSection_6_3 >= 1) and (Turn_3_5 >= 1)) or (((Turn_3_5 >= 1) and (AskForSection_5_3 >= 1)) or (((AskForSection_4_3 >= 1) and (Turn_3_5 >= 1)) or (((AskForSection_2_2 >= 1) and (Turn_2_2 >= 1)) or (((Turn_2_2 >= 1) and (AskForSection_3_2 >= 1)) or (((AskForSection_4_2 >= 1) and (Turn_2_2 >= 1)) or (((Turn_2_2 >= 1) and (AskForSection_5_2 >= 1)) or (((AskForSection_6_2 >= 1) and (Turn_2_2 >= 1)) or (((Turn_2_3 >= 1) and (AskForSection_0_2 >= 1)) or (((AskForSection_1_2 >= 1) and (Turn_2_3 >= 1)) or (((AskForSection_2_2 >= 1) and (Turn_2_3 >= 1)) or (((Turn_2_1 >= 1) and (AskForSection_1_2 >= 1)) or (((Turn_2_1 >= 1) and (AskForSection_2_2 >= 1)) or (((Turn_2_1 >= 1) and (AskForSection_3_2 >= 1)) or (((Turn_2_1 >= 1) and (AskForSection_4_2 >= 1)) or (((AskForSection_5_2 >= 1) and (Turn_2_1 >= 1)) or (((Turn_2_1 >= 1) and (AskForSection_6_2 >= 1)) or (((AskForSection_0_2 >= 1) and (Turn_2_2 >= 1)) or (((AskForSection_1_2 >= 1) and (Turn_2_2 >= 1)) or (((Turn_2_0 >= 1) and (AskForSection_1_2 >= 1)) or (((Turn_2_0 >= 1) and (AskForSection_0_2 >= 1)) or (((Turn_2_0 >= 1) and (AskForSection_3_2 >= 1)) or (((Turn_2_0 >= 1) and (AskForSection_2_2 >= 1)) or (((Turn_2_0 >= 1) and (AskForSection_5_2 >= 1)) or (((Turn_2_0 >= 1) and (AskForSection_4_2 >= 1)) or (((AskForSection_0_2 >= 1) and (Turn_2_1 >= 1)) or (((Turn_2_0 >= 1) and (AskForSection_6_2 >= 1)) or (((AskForSection_0_1 >= 1) and (Turn_1_6 >= 1)) or (((AskForSection_6_1 >= 1) and (Turn_1_5 >= 1)) or (((AskForSection_2_1 >= 1) and (Turn_1_6 >= 1)) or (((AskForSection_1_1 >= 1) and (Turn_1_6 >= 1)) or (((Turn_1_6 >= 1) and (AskForSection_4_1 >= 1)) or (((Turn_1_6 >= 1) and (AskForSection_3_1 >= 1)) or (((AskForSection_6_1 >= 1) and (Turn_1_6 >= 1)) or (((Turn_1_6 >= 1) and (AskForSection_5_1 >= 1)) or (((AskForSection_1_3 >= 1) and (Turn_3_0 >= 1)) or (((Turn_3_0 >= 1) and (AskForSection_2_3 >= 1)) or (((AskForSection_6_2 >= 1) and (Turn_2_6 >= 1)) or (((AskForSection_0_3 >= 1) and (Turn_3_0 >= 1)) or (((AskForSection_5_3 >= 1) and (Turn_3_0 >= 1)) or (((Turn_3_0 >= 1) and (AskForSection_6_3 >= 1)) or (((AskForSection_3_3 >= 1) and (Turn_3_0 >= 1)) or (((AskForSection_4_3 >= 1) and (Turn_3_0 >= 1)) or (((Turn_2_6 >= 1) and (AskForSection_0_2 >= 1)) or (((Turn_2_6 >= 1) and (AskForSection_1_2 >= 1)) or (((Turn_2_5 >= 1) and (AskForSection_5_2 >= 1)) or (((AskForSection_6_2 >= 1) and (Turn_2_5 >= 1)) or (((AskForSection_4_2 >= 1) and (Turn_2_6 >= 1)) or (((Turn_2_6 >= 1) and (AskForSection_5_2 >= 1)) or (((AskForSection_2_2 >= 1) and (Turn_2_6 >= 1)) or (((AskForSection_3_2 >= 1) and (Turn_2_6 >= 1)) or (((Turn_2_5 >= 1) and (AskForSection_0_2 >= 1)) or (((Turn_2_4 >= 1) and (AskForSection_6_2 >= 1)) or (((AskForSection_5_2 >= 1) and (Turn_2_4 >= 1)) or (((Turn_2_4 >= 1) and (AskForSection_4_2 >= 1)) or (((Turn_2_5 >= 1) and (AskForSection_4_2 >= 1)) or (((Turn_2_5 >= 1) and (AskForSection_3_2 >= 1)) or (((AskForSection_2_2 >= 1) and (Turn_2_5 >= 1)) or (((Turn_2_5 >= 1) and (AskForSection_1_2 >= 1)) or (((AskForSection_6_2 >= 1) and (Turn_2_3 >= 1)) or (((AskForSection_5_2 >= 1) and (Turn_2_3 >= 1)) or (((Turn_2_3 >= 1) and (AskForSection_4_2 >= 1)) or (((AskForSection_3_2 >= 1) and (Turn_2_3 >= 1)) or (((Turn_2_4 >= 1) and (AskForSection_3_2 >= 1)) or (((Turn_2_4 >= 1) and (AskForSection_2_2 >= 1)) or (((AskForSection_1_2 >= 1) and (Turn_2_4 >= 1)) or (((Turn_2_4 >= 1) and (AskForSection_0_2 >= 1)) or (((AskForSection_6_5 >= 1) and (Turn_5_5 >= 1)) or (((AskForSection_0_5 >= 1) and (Turn_5_6 >= 1)) or (((AskForSection_1_5 >= 1) and (Turn_5_6 >= 1)) or (((Turn_5_6 >= 1) and (AskForSection_2_5 >= 1)) or (((Turn_5_5 >= 1) and (AskForSection_2_5 >= 1)) or (((Turn_5_5 >= 1) and (AskForSection_3_5 >= 1)) or (((Turn_5_5 >= 1) and (AskForSection_4_5 >= 1)) or (((AskForSection_5_5 >= 1) and (Turn_5_5 >= 1)) or (((AskForSection_3_5 >= 1) and (Turn_5_6 >= 1)) or (((AskForSection_4_5 >= 1) and (Turn_5_6 >= 1)) or (((AskForSection_5_5 >= 1) and (Turn_5_6 >= 1)) or (((AskForSection_6_5 >= 1) and (Turn_5_6 >= 1)) or (((AskForSection_4_4 >= 1) and (Turn_4_5 >= 1)) or (((AskForSection_3_4 >= 1) and (Turn_4_5 >= 1)) or (((AskForSection_6_4 >= 1) and (Turn_4_5 >= 1)) or (((AskForSection_5_4 >= 1) and (Turn_4_5 >= 1)) or (((AskForSection_1_4 >= 1) and (Turn_4_6 >= 1)) or (((Turn_4_6 >= 1) and (AskForSection_0_4 >= 1)) or (((AskForSection_3_4 >= 1) and (Turn_4_6 >= 1)) or (((Turn_4_6 >= 1) and (AskForSection_2_4 >= 1)) or (((AskForSection_5_4 >= 1) and (Turn_4_6 >= 1)) or (((Turn_4_6 >= 1) and (AskForSection_4_4 >= 1)) or (((AskForSection_0_5 >= 1) and (Turn_5_0 >= 1)) or (((Turn_4_6 >= 1) and (AskForSection_6_4 >= 1)) or (((AskForSection_2_5 >= 1) and (Turn_5_0 >= 1)) or (((Turn_5_0 >= 1) and (AskForSection_1_5 >= 1)) or (((AskForSection_4_5 >= 1) and (Turn_5_0 >= 1)) or (((Turn_5_0 >= 1) and (AskForSection_3_5 >= 1)) or (((Turn_4_3 >= 1) and (AskForSection_1_4 >= 1)) or (((Turn_4_3 >= 1) and (AskForSection_2_4 >= 1)) or (((Turn_4_3 >= 1) and (AskForSection_3_4 >= 1)) or (((AskForSection_4_4 >= 1) and (Turn_4_3 >= 1)) or (((AskForSection_5_4 >= 1) and (Turn_4_3 >= 1)) or (((AskForSection_6_4 >= 1) and (Turn_4_3 >= 1)) or (((AskForSection_0_4 >= 1) and (Turn_4_4 >= 1)) or (((AskForSection_1_4 >= 1) and (Turn_4_4 >= 1)) or (((AskForSection_2_4 >= 1) and (Turn_4_4 >= 1)) or (((AskForSection_3_4 >= 1) and (Turn_4_4 >= 1)) or (((AskForSection_4_4 >= 1) and (Turn_4_4 >= 1)) or (((AskForSection_5_4 >= 1) and (Turn_4_4 >= 1)) or (((AskForSection_6_4 >= 1) and (Turn_4_4 >= 1)) or (((AskForSection_0_4 >= 1) and (Turn_4_5 >= 1)) or (((AskForSection_1_4 >= 1) and (Turn_4_5 >= 1)) or (((AskForSection_2_4 >= 1) and (Turn_4_5 >= 1)) or (((AskForSection_3_5 >= 1) and (Turn_5_3 >= 1)) or (((AskForSection_2_5 >= 1) and (Turn_5_3 >= 1)) or (((Turn_5_3 >= 1) and (AskForSection_1_5 >= 1)) or (((AskForSection_0_5 >= 1) and (Turn_5_3 >= 1)) or (((Turn_5_4 >= 1) and (AskForSection_0_5 >= 1)) or (((Turn_5_3 >= 1) and (AskForSection_6_5 >= 1)) or (((Turn_5_3 >= 1) and (AskForSection_5_5 >= 1)) or (((Turn_5_3 >= 1) and (AskForSection_4_5 >= 1)) or (((AskForSection_4_5 >= 1) and (Turn_5_4 >= 1)) or (((Turn_5_4 >= 1) and (AskForSection_3_5 >= 1)) or (((Turn_5_4 >= 1) and (AskForSection_2_5 >= 1)) or (((Turn_5_4 >= 1) and (AskForSection_1_5 >= 1)) or (((Turn_5_5 >= 1) and (AskForSection_1_5 >= 1)) or (((Turn_5_5 >= 1) and (AskForSection_0_5 >= 1)) or (((Turn_5_4 >= 1) and (AskForSection_6_5 >= 1)) or (((Turn_5_4 >= 1) and (AskForSection_5_5 >= 1)) or (((Turn_5_1 >= 1) and (AskForSection_0_5 >= 1)) or (((AskForSection_1_5 >= 1) and (Turn_5_1 >= 1)) or (((Turn_5_0 >= 1) and (AskForSection_5_5 >= 1)) or (((AskForSection_6_5 >= 1) and (Turn_5_0 >= 1)) or (((AskForSection_4_5 >= 1) and (Turn_5_1 >= 1)) or (((Turn_5_1 >= 1) and (AskForSection_5_5 >= 1)) or (((AskForSection_2_5 >= 1) and (Turn_5_1 >= 1)) or (((Turn_5_1 >= 1) and (AskForSection_3_5 >= 1)) or (((AskForSection_1_5 >= 1) and (Turn_5_2 >= 1)) or (((AskForSection_2_5 >= 1) and (Turn_5_2 >= 1)) or (((AskForSection_6_5 >= 1) and (Turn_5_1 >= 1)) or (((Turn_5_2 >= 1) and (AskForSection_0_5 >= 1)) or (((AskForSection_5_5 >= 1) and (Turn_5_2 >= 1)) or (((Turn_5_2 >= 1) and (AskForSection_6_5 >= 1)) or (((AskForSection_3_5 >= 1) and (Turn_5_2 >= 1)) or (((Turn_5_2 >= 1) and (AskForSection_4_5 >= 1)) or (((AskForSection_4_0 >= 1) and (Turn_0_4 >= 1)) or (((Turn_0_4 >= 1) and (AskForSection_5_0 >= 1)) or (((AskForSection_2_0 >= 1) and (Turn_0_4 >= 1)) or (((Turn_0_4 >= 1) and (AskForSection_3_0 >= 1)) or (((Turn_0_4 >= 1) and (AskForSection_0_0 >= 1)) or (((AskForSection_1_0 >= 1) and (Turn_0_4 >= 1)) or (((Turn_0_3 >= 1) and (AskForSection_5_0 >= 1)) or (((AskForSection_6_0 >= 1) and (Turn_0_3 >= 1)) or (((AskForSection_5_0 >= 1) and (Turn_0_5 >= 1)) or (((Turn_0_5 >= 1) and (AskForSection_6_0 >= 1)) or (((AskForSection_3_0 >= 1) and (Turn_0_5 >= 1)) or (((Turn_0_5 >= 1) and (AskForSection_4_0 >= 1)) or (((AskForSection_1_0 >= 1) and (Turn_0_5 >= 1)) or (((AskForSection_2_0 >= 1) and (Turn_0_5 >= 1)) or (((AskForSection_6_0 >= 1) and (Turn_0_4 >= 1)) or (((Turn_0_5 >= 1) and (AskForSection_0_0 >= 1)) or (((Turn_1_0 >= 1) and (AskForSection_0_1 >= 1)) or (((Turn_0_6 >= 1) and (AskForSection_6_0 >= 1)) or (((Turn_0_6 >= 1) and (AskForSection_5_0 >= 1)) or (((Turn_0_6 >= 1) and (AskForSection_4_0 >= 1)) or (((Turn_0_6 >= 1) and (AskForSection_3_0 >= 1)) or (((AskForSection_2_0 >= 1) and (Turn_0_6 >= 1)) or (((Turn_0_6 >= 1) and (AskForSection_1_0 >= 1)) or (((AskForSection_0_0 >= 1) and (Turn_0_6 >= 1)) or (((Turn_1_1 >= 1) and (AskForSection_1_1 >= 1)) or (((Turn_1_1 >= 1) and (AskForSection_0_1 >= 1)) or (((Turn_1_0 >= 1) and (AskForSection_6_1 >= 1)) or (((Turn_1_0 >= 1) and (AskForSection_5_1 >= 1)) or (((AskForSection_4_1 >= 1) and (Turn_1_0 >= 1)) or (((Turn_1_0 >= 1) and (AskForSection_3_1 >= 1)) or (((Turn_1_0 >= 1) and (AskForSection_2_1 >= 1)) or (((Turn_1_0 >= 1) and (AskForSection_1_1 >= 1)) or (((Turn_1_1 >= 1) and (AskForSection_6_1 >= 1)) or (((AskForSection_0_1 >= 1) and (Turn_1_2 >= 1)) or (((Turn_1_2 >= 1) and (AskForSection_1_1 >= 1)) or (((AskForSection_2_1 >= 1) and (Turn_1_2 >= 1)) or (((Turn_1_1 >= 1) and (AskForSection_2_1 >= 1)) or (((Turn_1_1 >= 1) and (AskForSection_3_1 >= 1)) or (((Turn_1_1 >= 1) and (AskForSection_4_1 >= 1)) or (((Turn_1_1 >= 1) and (AskForSection_5_1 >= 1)) or (((Turn_1_3 >= 1) and (AskForSection_0_1 >= 1)) or (((AskForSection_1_1 >= 1) and (Turn_1_3 >= 1)) or (((Turn_1_3 >= 1) and (AskForSection_2_1 >= 1)) or (((AskForSection_3_1 >= 1) and (Turn_1_3 >= 1)) or (((Turn_1_2 >= 1) and (AskForSection_3_1 >= 1)) or (((AskForSection_4_1 >= 1) and (Turn_1_2 >= 1)) or (((Turn_1_2 >= 1) and (AskForSection_5_1 >= 1)) or (((Turn_1_2 >= 1) and (AskForSection_6_1 >= 1)) or (((AskForSection_2_1 >= 1) and (Turn_1_4 >= 1)) or (((AskForSection_1_1 >= 1) and (Turn_1_4 >= 1)) or (((AskForSection_4_1 >= 1) and (Turn_1_4 >= 1)) or (((AskForSection_3_1 >= 1) and (Turn_1_4 >= 1)) or (((AskForSection_5_1 >= 1) and (Turn_1_3 >= 1)) or (((Turn_1_3 >= 1) and (AskForSection_4_1 >= 1)) or (((Turn_1_4 >= 1) and (AskForSection_0_1 >= 1)) or (((Turn_1_3 >= 1) and (AskForSection_6_1 >= 1)) or (((AskForSection_3_1 >= 1) and (Turn_1_5 >= 1)) or (((AskForSection_2_1 >= 1) and (Turn_1_5 >= 1)) or (((AskForSection_5_1 >= 1) and (Turn_1_5 >= 1)) or (((AskForSection_4_1 >= 1) and (Turn_1_5 >= 1)) or (((AskForSection_6_1 >= 1) and (Turn_1_4 >= 1)) or (((AskForSection_5_1 >= 1) and (Turn_1_4 >= 1)) or (((Turn_1_5 >= 1) and (AskForSection_1_1 >= 1)) or (((AskForSection_0_1 >= 1) and (Turn_1_5 >= 1)) or (((AskForSection_0_0 >= 1) and (Turn_0_0 >= 1)) or (((Turn_0_0 >= 1) and (AskForSection_1_0 >= 1)) or (((AskForSection_2_0 >= 1) and (Turn_0_0 >= 1)) or (((AskForSection_3_0 >= 1) and (Turn_0_0 >= 1)) or (((AskForSection_4_0 >= 1) and (Turn_0_0 >= 1)) or (((AskForSection_5_0 >= 1) and (Turn_0_0 >= 1)) or (((AskForSection_6_0 >= 1) and (Turn_0_0 >= 1)) or (((AskForSection_0_0 >= 1) and (Turn_0_1 >= 1)) or (((AskForSection_1_0 >= 1) and (Turn_0_1 >= 1)) or (((Turn_0_1 >= 1) and (AskForSection_2_0 >= 1)) or (((AskForSection_4_0 >= 1) and (Turn_0_1 >= 1)) or (((AskForSection_3_0 >= 1) and (Turn_0_1 >= 1)) or (((Turn_0_1 >= 1) and (AskForSection_6_0 >= 1)) or (((AskForSection_5_0 >= 1) and (Turn_0_1 >= 1)) or (((AskForSection_1_0 >= 1) and (Turn_0_2 >= 1)) or (((Turn_0_2 >= 1) and (AskForSection_0_0 >= 1)) or (((Turn_0_2 >= 1) and (AskForSection_3_0 >= 1)) or (((Turn_0_2 >= 1) and (AskForSection_2_0 >= 1)) or (((AskForSection_5_0 >= 1) and (Turn_0_2 >= 1)) or (((Turn_0_2 >= 1) and (AskForSection_4_0 >= 1)) or (((AskForSection_0_0 >= 1) and (Turn_0_3 >= 1)) or (((Turn_0_2 >= 1) and (AskForSection_6_0 >= 1)) or (((AskForSection_2_0 >= 1) and (Turn_0_3 >= 1)) or (((Turn_0_3 >= 1) and (AskForSection_1_0 >= 1)) or (((Turn_0_3 >= 1) and (AskForSection_4_0 >= 1)) or ((Turn_0_3 >= 1) and (AskForSection_3_0 >= 1))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))) and ((TestIdentity_4_4_4 >= 1) or ((TestIdentity_5_4_5 >= 1) or ((TestIdentity_6_4_6 >= 1) or ((TestIdentity_0_5_0 >= 1) or ((TestIdentity_1_5_1 >= 1) or ((TestIdentity_2_5_2 >= 1) or ((TestIdentity_3_5_3 >= 1) or ((TestIdentity_4_5_4 >= 1) or ((TestIdentity_3_3_3 >= 1) or ((TestIdentity_4_3_4 >= 1) or ((TestIdentity_5_3_5 >= 1) or ((TestIdentity_6_3_6 >= 1) or ((TestIdentity_0_4_0 >= 1) or ((TestIdentity_1_4_1 >= 1) or ((TestIdentity_2_4_2 >= 1) or ((TestIdentity_3_4_3 >= 1) or ((TestIdentity_6_5_6 >= 1) or ((TestIdentity_5_5_5 >= 1) or ((TestIdentity_2_0_2 >= 1) or ((TestIdentity_3_0_3 >= 1) or ((TestIdentity_0_0_0 >= 1) or ((TestIdentity_1_0_1 >= 1) or ((TestIdentity_6_0_6 >= 1) or ((TestIdentity_0_1_0 >= 1) or ((TestIdentity_4_0_4 >= 1) or ((TestIdentity_5_0_5 >= 1) or ((TestIdentity_5_2_5 >= 1) or ((TestIdentity_4_2_4 >= 1) or ((TestIdentity_3_2_3 >= 1) or ((TestIdentity_2_2_2 >= 1) or ((TestIdentity_2_3_2 >= 1) or ((TestIdentity_1_3_1 >= 1) or ((TestIdentity_0_3_0 >= 1) or ((TestIdentity_6_2_6 >= 1) or ((TestIdentity_4_1_4 >= 1) or ((TestIdentity_3_1_3 >= 1) or ((TestIdentity_2_1_2 >= 1) or ((TestIdentity_1_1_1 >= 1) or ((TestIdentity_1_2_1 >= 1) or ((TestIdentity_0_2_0 >= 1) or ((TestIdentity_6_1_6 >= 1) or (TestIdentity_5_1_5 >= 1))))))))))))))))))))))))))))))))))))))))))) and (((TestIdentity_6_2_0 >= 1) or ((TestIdentity_5_2_0 >= 1) or ((TestIdentity_2_2_1 >= 1) or ((TestIdentity_0_2_1 >= 1) or ((TestIdentity_2_2_0 >= 1) or ((TestIdentity_1_2_0 >= 1) or ((TestIdentity_4_2_0 >= 1) or ((TestIdentity_3_2_0 >= 1) or ((TestIdentity_1_2_2 >= 1) or ((TestIdentity_0_2_2 >= 1) or ((TestIdentity_4_2_2 >= 1) or ((TestIdentity_3_2_2 >= 1) or ((TestIdentity_4_2_1 >= 1) or ((TestIdentity_3_2_1 >= 1) or ((TestIdentity_6_2_1 >= 1) or ((TestIdentity_5_2_1 >= 1) or ((TestIdentity_2_2_3 >= 1) or ((TestIdentity_4_2_3 >= 1) or ((TestIdentity_5_2_3 >= 1) or ((TestIdentity_6_2_3 >= 1) or ((TestIdentity_5_2_2 >= 1) or ((TestIdentity_6_2_2 >= 1) or ((TestIdentity_0_2_3 >= 1) or ((TestIdentity_1_2_3 >= 1) or ((TestIdentity_5_2_4 >= 1) or ((TestIdentity_6_2_4 >= 1) or ((TestIdentity_0_2_5 >= 1) or ((TestIdentity_1_2_5 >= 1) or ((TestIdentity_0_2_4 >= 1) or ((TestIdentity_1_2_4 >= 1) or ((TestIdentity_2_2_4 >= 1) or ((TestIdentity_3_2_4 >= 1) or ((TestIdentity_6_1_2 >= 1) or ((TestIdentity_5_1_2 >= 1) or ((TestIdentity_4_1_2 >= 1) or ((TestIdentity_3_1_2 >= 1) or ((TestIdentity_1_1_2 >= 1) or ((TestIdentity_0_1_2 >= 1) or ((TestIdentity_6_1_1 >= 1) or ((TestIdentity_5_1_1 >= 1) or ((TestIdentity_1_1_4 >= 1) or ((TestIdentity_0_1_4 >= 1) or ((TestIdentity_6_1_3 >= 1) or ((TestIdentity_5_1_3 >= 1) or ((TestIdentity_4_1_3 >= 1) or ((TestIdentity_2_1_3 >= 1) or ((TestIdentity_1_1_3 >= 1) or ((TestIdentity_0_1_3 >= 1) or ((TestIdentity_2_1_5 >= 1) or ((TestIdentity_3_1_5 >= 1) or ((TestIdentity_0_1_5 >= 1) or ((TestIdentity_1_1_5 >= 1) or ((TestIdentity_5_1_4 >= 1) or ((TestIdentity_6_1_4 >= 1) or ((TestIdentity_2_1_4 >= 1) or ((TestIdentity_3_1_4 >= 1) or ((TestIdentity_4_1_6 >= 1) or ((TestIdentity_5_1_6 >= 1) or ((TestIdentity_2_1_6 >= 1) or ((TestIdentity_3_1_6 >= 1) or ((TestIdentity_0_1_6 >= 1) or ((TestIdentity_1_1_6 >= 1) or ((TestIdentity_4_1_5 >= 1) or ((TestIdentity_6_1_5 >= 1) or ((TestIdentity_6_3_3 >= 1) or ((TestIdentity_5_3_3 >= 1) or ((TestIdentity_1_3_4 >= 1) or ((TestIdentity_0_3_4 >= 1) or ((TestIdentity_3_3_4 >= 1) or ((TestIdentity_2_3_4 >= 1) or ((TestIdentity_6_3_4 >= 1) or ((TestIdentity_5_3_4 >= 1) or ((TestIdentity_1_3_5 >= 1) or ((TestIdentity_0_3_5 >= 1) or ((TestIdentity_3_3_5 >= 1) or ((TestIdentity_2_3_5 >= 1) or ((TestIdentity_6_3_5 >= 1) or ((TestIdentity_4_3_5 >= 1) or ((TestIdentity_1_3_6 >= 1) or ((TestIdentity_0_3_6 >= 1) or ((TestIdentity_2_3_6 >= 1) or ((TestIdentity_3_3_6 >= 1) or ((TestIdentity_4_3_6 >= 1) or ((TestIdentity_5_3_6 >= 1) or ((TestIdentity_1_4_0 >= 1) or ((TestIdentity_2_4_0 >= 1) or ((TestIdentity_3_4_0 >= 1) or ((TestIdentity_4_4_0 >= 1) or ((TestIdentity_5_4_0 >= 1) or ((TestIdentity_6_4_0 >= 1) or ((TestIdentity_0_4_1 >= 1) or ((TestIdentity_2_4_1 >= 1) or ((TestIdentity_3_4_1 >= 1) or ((TestIdentity_4_4_1 >= 1) or ((TestIdentity_5_4_1 >= 1) or ((TestIdentity_6_4_1 >= 1) or ((TestIdentity_6_2_5 >= 1) or ((TestIdentity_4_2_5 >= 1) or ((TestIdentity_3_2_5 >= 1) or ((TestIdentity_2_2_5 >= 1) or ((TestIdentity_3_2_6 >= 1) or ((TestIdentity_2_2_6 >= 1) or ((TestIdentity_1_2_6 >= 1) or ((TestIdentity_0_2_6 >= 1) or ((TestIdentity_2_3_0 >= 1) or ((TestIdentity_1_3_0 >= 1) or ((TestIdentity_5_2_6 >= 1) or ((TestIdentity_4_2_6 >= 1) or ((TestIdentity_6_3_0 >= 1) or ((TestIdentity_5_3_0 >= 1) or ((TestIdentity_4_3_0 >= 1) or ((TestIdentity_3_3_0 >= 1) or ((TestIdentity_3_3_1 >= 1) or ((TestIdentity_4_3_1 >= 1) or ((TestIdentity_0_3_1 >= 1) or ((TestIdentity_2_3_1 >= 1) or ((TestIdentity_0_3_2 >= 1) or ((TestIdentity_1_3_2 >= 1) or ((TestIdentity_5_3_1 >= 1) or ((TestIdentity_6_3_1 >= 1) or ((TestIdentity_5_3_2 >= 1) or ((TestIdentity_6_3_2 >= 1) or ((TestIdentity_3_3_2 >= 1) or ((TestIdentity_4_3_2 >= 1) or ((TestIdentity_2_3_3 >= 1) or ((TestIdentity_4_3_3 >= 1) or ((TestIdentity_0_3_3 >= 1) or ((TestIdentity_1_3_3 >= 1) or ((TestIdentity_3_5_2 >= 1) or ((TestIdentity_4_5_2 >= 1) or ((TestIdentity_5_5_2 >= 1) or ((TestIdentity_6_5_2 >= 1) or ((TestIdentity_5_5_1 >= 1) or ((TestIdentity_6_5_1 >= 1) or ((TestIdentity_0_5_2 >= 1) or ((TestIdentity_1_5_2 >= 1) or ((TestIdentity_0_5_1 >= 1) or ((TestIdentity_2_5_1 >= 1) or ((TestIdentity_3_5_1 >= 1) or ((TestIdentity_4_5_1 >= 1) or ((TestIdentity_3_5_0 >= 1) or ((TestIdentity_4_5_0 >= 1) or ((TestIdentity_5_5_0 >= 1) or ((TestIdentity_6_5_0 >= 1) or ((TestIdentity_1_5_5 >= 1) or ((TestIdentity_0_5_5 >= 1) or ((TestIdentity_3_5_5 >= 1) or ((TestIdentity_2_5_5 >= 1) or ((TestIdentity_3_5_4 >= 1) or ((TestIdentity_2_5_4 >= 1) or ((TestIdentity_6_5_4 >= 1) or ((TestIdentity_5_5_4 >= 1) or ((TestIdentity_6_5_3 >= 1) or ((TestIdentity_5_5_3 >= 1) or ((TestIdentity_1_5_4 >= 1) or ((TestIdentity_0_5_4 >= 1) or ((TestIdentity_1_5_3 >= 1) or ((TestIdentity_0_5_3 >= 1) or ((TestIdentity_4_5_3 >= 1) or ((TestIdentity_2_5_3 >= 1) or ((TestIdentity_2_4_4 >= 1) or ((TestIdentity_3_4_4 >= 1) or ((TestIdentity_0_4_4 >= 1) or ((TestIdentity_1_4_4 >= 1) or ((TestIdentity_5_4_3 >= 1) or ((TestIdentity_6_4_3 >= 1) or ((TestIdentity_2_4_3 >= 1) or ((TestIdentity_4_4_3 >= 1) or ((TestIdentity_0_4_3 >= 1) or ((TestIdentity_1_4_3 >= 1) or ((TestIdentity_5_4_2 >= 1) or ((TestIdentity_6_4_2 >= 1) or ((TestIdentity_3_4_2 >= 1) or ((TestIdentity_4_4_2 >= 1) or ((TestIdentity_0_4_2 >= 1) or ((TestIdentity_1_4_2 >= 1) or ((TestIdentity_2_5_0 >= 1) or ((TestIdentity_1_5_0 >= 1) or ((TestIdentity_5_4_6 >= 1) or ((TestIdentity_4_4_6 >= 1) or ((TestIdentity_3_4_6 >= 1) or ((TestIdentity_2_4_6 >= 1) or ((TestIdentity_1_4_6 >= 1) or ((TestIdentity_0_4_6 >= 1) or ((TestIdentity_6_4_5 >= 1) or ((TestIdentity_4_4_5 >= 1) or ((TestIdentity_3_4_5 >= 1) or ((TestIdentity_2_4_5 >= 1) or ((TestIdentity_1_4_5 >= 1) or ((TestIdentity_0_4_5 >= 1) or ((TestIdentity_6_4_4 >= 1) or ((TestIdentity_5_4_4 >= 1) or ((TestIdentity_0_5_6 >= 1) or ((TestIdentity_1_5_6 >= 1) or ((TestIdentity_4_5_5 >= 1) or ((TestIdentity_6_5_5 >= 1) or ((TestIdentity_4_5_6 >= 1) or ((TestIdentity_5_5_6 >= 1) or ((TestIdentity_2_5_6 >= 1) or ((TestIdentity_3_5_6 >= 1) or ((TestIdentity_4_0_1 >= 1) or ((TestIdentity_3_0_1 >= 1) or ((TestIdentity_6_0_1 >= 1) or ((TestIdentity_5_0_1 >= 1) or ((TestIdentity_6_0_0 >= 1) or ((TestIdentity_5_0_0 >= 1) or ((TestIdentity_2_0_1 >= 1) or ((TestIdentity_0_0_1 >= 1) or ((TestIdentity_6_0_2 >= 1) or ((TestIdentity_5_0_2 >= 1) or ((TestIdentity_1_0_3 >= 1) or ((TestIdentity_0_0_3 >= 1) or ((TestIdentity_1_0_2 >= 1) or ((TestIdentity_0_0_2 >= 1) or ((TestIdentity_4_0_2 >= 1) or ((TestIdentity_3_0_2 >= 1) or ((TestIdentity_1_0_0 >= 1) or ((TestIdentity_2_0_0 >= 1) or ((TestIdentity_3_0_0 >= 1) or ((TestIdentity_4_0_0 >= 1) or ((TestIdentity_2_1_0 >= 1) or ((TestIdentity_1_1_0 >= 1) or ((TestIdentity_5_0_6 >= 1) or ((TestIdentity_4_0_6 >= 1) or ((TestIdentity_3_0_6 >= 1) or ((TestIdentity_2_0_6 >= 1) or ((TestIdentity_1_0_6 >= 1) or ((TestIdentity_0_0_6 >= 1) or ((TestIdentity_4_1_1 >= 1) or ((TestIdentity_3_1_1 >= 1) or ((TestIdentity_2_1_1 >= 1) or ((TestIdentity_0_1_1 >= 1) or ((TestIdentity_6_1_0 >= 1) or ((TestIdentity_5_1_0 >= 1) or ((TestIdentity_4_1_0 >= 1) or ((TestIdentity_3_1_0 >= 1) or ((TestIdentity_2_0_4 >= 1) or ((TestIdentity_3_0_4 >= 1) or ((TestIdentity_0_0_4 >= 1) or ((TestIdentity_1_0_4 >= 1) or ((TestIdentity_5_0_3 >= 1) or ((TestIdentity_6_0_3 >= 1) or ((TestIdentity_2_0_3 >= 1) or ((TestIdentity_4_0_3 >= 1) or ((TestIdentity_4_0_5 >= 1) or ((TestIdentity_6_0_5 >= 1) or ((TestIdentity_2_0_5 >= 1) or ((TestIdentity_3_0_5 >= 1) or ((TestIdentity_0_0_5 >= 1) or ((TestIdentity_1_0_5 >= 1) or ((TestIdentity_5_0_4 >= 1) or (TestIdentity_6_0_4 >= 1)))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))) and ((EndTurn_0_5 >= 1) or ((EndTurn_1_5 >= 1) or ((EndTurn_2_5 >= 1) or ((EndTurn_3_5 >= 1) or ((EndTurn_4_5 >= 1) or ((EndTurn_5_5 >= 1) or (EndTurn_6_5 >= 1)))))))))))
Query after reduction: AG (((((BeginLoop_6_5_6 < 1) and ((BeginLoop_0_5_6 < 1) and ((BeginLoop_1_5_6 < 1) and ((BeginLoop_5_5_5 < 1) and ((BeginLoop_6_5_5 < 1) and ((BeginLoop_4_5_6 < 1) and ((BeginLoop_5_5_6 < 1) and ((BeginLoop_2_5_6 < 1) and ((BeginLoop_3_5_6 < 1) and ((BeginLoop_0_5_5 < 1) and ((BeginLoop_6_5_4 < 1) and ((BeginLoop_5_5_4 < 1) and ((BeginLoop_4_5_4 < 1) and ((BeginLoop_4_5_5 < 1) and ((BeginLoop_3_5_5 < 1) and ((BeginLoop_2_5_5 < 1) and ((BeginLoop_1_5_5 < 1) and ((BeginLoop_6_5_3 < 1) and ((BeginLoop_5_5_3 < 1) and ((BeginLoop_4_5_3 < 1) and ((BeginLoop_3_5_3 < 1) and ((BeginLoop_3_5_4 < 1) and ((BeginLoop_2_5_4 < 1) and ((BeginLoop_1_5_4 < 1) and ((BeginLoop_0_5_4 < 1) and ((BeginLoop_2_5_2 < 1) and ((BeginLoop_3_5_2 < 1) and ((BeginLoop_4_5_2 < 1) and ((BeginLoop_5_5_2 < 1) and ((BeginLoop_6_5_2 < 1) and ((BeginLoop_0_5_3 < 1) and ((BeginLoop_1_5_3 < 1) and ((BeginLoop_2_5_3 < 1) and ((BeginLoop_1_5_1 < 1) and ((BeginLoop_2_5_1 < 1) and ((BeginLoop_3_5_1 < 1) and ((BeginLoop_4_5_1 < 1) and ((BeginLoop_5_5_1 < 1) and ((BeginLoop_6_5_1 < 1) and ((BeginLoop_0_5_2 < 1) and ((BeginLoop_1_5_2 < 1) and ((BeginLoop_1_5_0 < 1) and ((BeginLoop_0_5_0 < 1) and ((BeginLoop_3_5_0 < 1) and ((BeginLoop_2_5_0 < 1) and ((BeginLoop_5_5_0 < 1) and ((BeginLoop_4_5_0 < 1) and ((BeginLoop_0_5_1 < 1) and ((BeginLoop_6_5_0 < 1) and ((BeginLoop_0_4_6 < 1) and ((BeginLoop_6_4_5 < 1) and ((BeginLoop_2_4_6 < 1) and ((BeginLoop_1_4_6 < 1) and ((BeginLoop_4_4_6 < 1) and ((BeginLoop_3_4_6 < 1) and ((BeginLoop_6_4_6 < 1) and ((BeginLoop_5_4_6 < 1) and ((BeginLoop_4_4_5 < 1) and ((BeginLoop_5_4_5 < 1) and ((BeginLoop_2_4_5 < 1) and ((BeginLoop_3_4_5 < 1) and ((BeginLoop_0_4_5 < 1) and ((BeginLoop_1_4_5 < 1) and ((BeginLoop_5_4_4 < 1) and ((BeginLoop_6_4_4 < 1) and ((BeginLoop_3_4_4 < 1) and ((BeginLoop_4_4_4 < 1) and ((BeginLoop_1_4_4 < 1) and ((BeginLoop_2_4_4 < 1) and ((BeginLoop_6_4_3 < 1) and ((BeginLoop_0_4_4 < 1) and ((BeginLoop_4_4_3 < 1) and ((BeginLoop_5_4_3 < 1) and ((BeginLoop_3_4_3 < 1) and ((BeginLoop_2_4_3 < 1) and ((BeginLoop_1_4_3 < 1) and ((BeginLoop_0_4_3 < 1) and ((BeginLoop_6_4_2 < 1) and ((BeginLoop_5_4_2 < 1) and ((BeginLoop_4_4_2 < 1) and ((BeginLoop_3_4_2 < 1) and ((BeginLoop_2_4_2 < 1) and ((BeginLoop_1_4_2 < 1) and ((BeginLoop_0_4_2 < 1) and ((BeginLoop_6_4_1 < 1) and ((BeginLoop_5_4_1 < 1) and ((BeginLoop_4_4_1 < 1) and ((BeginLoop_3_4_1 < 1) and ((BeginLoop_2_4_1 < 1) and ((BeginLoop_5_4_0 < 1) and ((BeginLoop_6_4_0 < 1) and ((BeginLoop_0_4_1 < 1) and ((BeginLoop_1_4_1 < 1) and ((BeginLoop_1_4_0 < 1) and ((BeginLoop_2_4_0 < 1) and ((BeginLoop_3_4_0 < 1) and ((BeginLoop_4_4_0 < 1) and ((BeginLoop_4_3_6 < 1) and ((BeginLoop_5_3_6 < 1) and ((BeginLoop_6_3_6 < 1) and ((BeginLoop_0_4_0 < 1) and ((BeginLoop_0_3_6 < 1) and ((BeginLoop_1_3_6 < 1) and ((BeginLoop_2_3_6 < 1) and ((BeginLoop_3_3_6 < 1) and ((BeginLoop_4_3_5 < 1) and ((BeginLoop_3_3_5 < 1) and ((BeginLoop_6_3_5 < 1) and ((BeginLoop_5_3_5 < 1) and ((BeginLoop_0_3_5 < 1) and ((BeginLoop_6_3_4 < 1) and ((BeginLoop_2_3_5 < 1) and ((BeginLoop_1_3_5 < 1) and ((BeginLoop_3_3_4 < 1) and ((BeginLoop_2_3_4 < 1) and ((BeginLoop_5_3_4 < 1) and ((BeginLoop_4_3_4 < 1) and ((BeginLoop_6_3_3 < 1) and ((BeginLoop_5_3_3 < 1) and ((BeginLoop_1_3_4 < 1) and ((BeginLoop_0_3_4 < 1) and ((BeginLoop_1_0_3 < 1) and ((BeginLoop_0_0_3 < 1) and ((BeginLoop_3_0_3 < 1) and ((BeginLoop_2_0_3 < 1) and ((BeginLoop_5_0_3 < 1) and ((BeginLoop_4_0_3 < 1) and ((BeginLoop_0_0_4 < 1) and ((BeginLoop_6_0_3 < 1) and ((BeginLoop_0_0_2 < 1) and ((BeginLoop_6_0_1 < 1) and ((BeginLoop_2_0_2 < 1) and ((BeginLoop_1_0_2 < 1) and ((BeginLoop_4_0_2 < 1) and ((BeginLoop_3_0_2 < 1) and ((BeginLoop_6_0_2 < 1) and ((BeginLoop_5_0_2 < 1) and ((BeginLoop_2_0_5 < 1) and ((BeginLoop_3_0_5 < 1) and ((BeginLoop_4_0_5 < 1) and ((BeginLoop_5_0_5 < 1) and ((BeginLoop_6_0_5 < 1) and ((BeginLoop_0_0_6 < 1) and ((BeginLoop_1_0_6 < 1) and ((BeginLoop_2_0_6 < 1) and ((BeginLoop_1_0_4 < 1) and ((BeginLoop_2_0_4 < 1) and ((BeginLoop_3_0_4 < 1) and ((BeginLoop_4_0_4 < 1) and ((BeginLoop_5_0_4 < 1) and ((BeginLoop_6_0_4 < 1) and ((BeginLoop_0_0_5 < 1) and ((BeginLoop_1_0_5 < 1) and ((BeginLoop_0_0_1 < 1) and ((BeginLoop_1_0_1 < 1) and ((BeginLoop_5_0_0 < 1) and ((BeginLoop_6_0_0 < 1) and ((BeginLoop_4_0_1 < 1) and ((BeginLoop_5_0_1 < 1) and ((BeginLoop_2_0_1 < 1) and ((BeginLoop_3_0_1 < 1) and ((BeginLoop_0_0_0 < 1) and ((BeginLoop_3_0_0 < 1) and ((BeginLoop_4_0_0 < 1) and ((BeginLoop_1_0_0 < 1) and ((BeginLoop_2_0_0 < 1) and ((BeginLoop_1_2_6 < 1) and ((BeginLoop_2_2_6 < 1) and ((BeginLoop_3_2_6 < 1) and ((BeginLoop_4_2_6 < 1) and ((BeginLoop_5_2_6 < 1) and ((BeginLoop_6_2_6 < 1) and ((BeginLoop_0_3_0 < 1) and ((BeginLoop_1_3_0 < 1) and ((BeginLoop_2_3_0 < 1) and ((BeginLoop_3_3_0 < 1) and ((BeginLoop_4_3_0 < 1) and ((BeginLoop_5_3_0 < 1) and ((BeginLoop_6_3_0 < 1) and ((BeginLoop_0_3_1 < 1) and ((BeginLoop_1_3_1 < 1) and ((BeginLoop_2_3_1 < 1) and ((BeginLoop_4_3_1 < 1) and ((BeginLoop_3_3_1 < 1) and ((BeginLoop_6_3_1 < 1) and ((BeginLoop_5_3_1 < 1) and ((BeginLoop_1_3_2 < 1) and ((BeginLoop_0_3_2 < 1) and ((BeginLoop_3_3_2 < 1) and ((BeginLoop_2_3_2 < 1) and ((BeginLoop_5_3_2 < 1) and ((BeginLoop_4_3_2 < 1) and ((BeginLoop_0_3_3 < 1) and ((BeginLoop_6_3_2 < 1) and ((BeginLoop_2_3_3 < 1) and ((BeginLoop_1_3_3 < 1) and ((BeginLoop_4_3_3 < 1) and ((BeginLoop_3_3_3 < 1) and ((BeginLoop_6_2_1 < 1) and ((BeginLoop_0_2_2 < 1) and ((BeginLoop_4_2_1 < 1) and ((BeginLoop_5_2_1 < 1) and ((BeginLoop_3_2_2 < 1) and ((BeginLoop_4_2_2 < 1) and ((BeginLoop_1_2_2 < 1) and ((BeginLoop_2_2_2 < 1) and ((BeginLoop_0_2_3 < 1) and ((BeginLoop_1_2_3 < 1) and ((BeginLoop_5_2_2 < 1) and ((BeginLoop_6_2_2 < 1) and ((BeginLoop_4_2_3 < 1) and ((BeginLoop_5_2_3 < 1) and ((BeginLoop_2_2_3 < 1) and ((BeginLoop_3_2_3 < 1) and ((BeginLoop_2_2_4 < 1) and ((BeginLoop_1_2_4 < 1) and ((BeginLoop_0_2_4 < 1) and ((BeginLoop_6_2_3 < 1) and ((BeginLoop_6_2_4 < 1) and ((BeginLoop_5_2_4 < 1) and ((BeginLoop_4_2_4 < 1) and ((BeginLoop_3_2_4 < 1) and ((BeginLoop_3_2_5 < 1) and ((BeginLoop_2_2_5 < 1) and ((BeginLoop_1_2_5 < 1) and ((BeginLoop_0_2_5 < 1) and ((BeginLoop_0_2_6 < 1) and ((BeginLoop_6_2_5 < 1) and ((BeginLoop_5_2_5 < 1) and ((BeginLoop_4_2_5 < 1) and ((BeginLoop_4_1_4 < 1) and ((BeginLoop_5_1_4 < 1) and ((BeginLoop_6_1_4 < 1) and ((BeginLoop_0_1_5 < 1) and ((BeginLoop_0_1_4 < 1) and ((BeginLoop_1_1_4 < 1) and ((BeginLoop_2_1_4 < 1) and ((BeginLoop_3_1_4 < 1) and ((BeginLoop_5_1_5 < 1) and ((BeginLoop_6_1_5 < 1) and ((BeginLoop_0_1_6 < 1) and ((BeginLoop_1_1_6 < 1) and ((BeginLoop_1_1_5 < 1) and ((BeginLoop_2_1_5 < 1) and ((BeginLoop_3_1_5 < 1) and ((BeginLoop_4_1_5 < 1) and ((BeginLoop_0_2_0 < 1) and ((BeginLoop_6_1_6 < 1) and ((BeginLoop_2_2_0 < 1) and ((BeginLoop_1_2_0 < 1) and ((BeginLoop_3_1_6 < 1) and ((BeginLoop_2_1_6 < 1) and ((BeginLoop_5_1_6 < 1) and ((BeginLoop_4_1_6 < 1) and ((BeginLoop_1_2_1 < 1) and ((BeginLoop_0_2_1 < 1) and ((BeginLoop_3_2_1 < 1) and ((BeginLoop_2_2_1 < 1) and ((BeginLoop_4_2_0 < 1) and ((BeginLoop_3_2_0 < 1) and ((BeginLoop_6_2_0 < 1) and ((BeginLoop_5_2_0 < 1) and ((BeginLoop_2_1_0 < 1) and ((BeginLoop_3_1_0 < 1) and ((BeginLoop_0_1_0 < 1) and ((BeginLoop_1_1_0 < 1) and ((BeginLoop_5_0_6 < 1) and ((BeginLoop_6_0_6 < 1) and ((BeginLoop_3_0_6 < 1) and ((BeginLoop_4_0_6 < 1) and ((BeginLoop_3_1_1 < 1) and ((BeginLoop_4_1_1 < 1) and ((BeginLoop_1_1_1 < 1) and ((BeginLoop_2_1_1 < 1) and ((BeginLoop_6_1_0 < 1) and ((BeginLoop_0_1_1 < 1) and ((BeginLoop_4_1_0 < 1) and ((BeginLoop_5_1_0 < 1) and ((BeginLoop_5_1_2 < 1) and ((BeginLoop_4_1_2 < 1) and ((BeginLoop_3_1_2 < 1) and ((BeginLoop_2_1_2 < 1) and ((BeginLoop_1_1_2 < 1) and ((BeginLoop_0_1_2 < 1) and ((BeginLoop_6_1_1 < 1) and ((BeginLoop_5_1_1 < 1) and ((BeginLoop_6_1_3 < 1) and ((BeginLoop_5_1_3 < 1) and ((BeginLoop_4_1_3 < 1) and ((BeginLoop_3_1_3 < 1) and ((BeginLoop_2_1_3 < 1) and ((BeginLoop_1_1_3 < 1) and ((BeginLoop_0_1_3 < 1) and (BeginLoop_6_1_2 < 1)))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))) and (((Turn_5_0 < 1) or (TestTurn_5_5 < 1)) and (((Turn_5_0 < 1) or (TestTurn_4_5 < 1)) and (((Turn_5_0 < 1) or (TestTurn_3_5 < 1)) and (((Turn_5_0 < 1) or (TestTurn_2_5 < 1)) and (((TestTurn_1_5 < 1) or (Turn_5_0 < 1)) and (((Turn_4_6 < 1) or (TestTurn_5_4 < 1)) and (((Turn_4_6 < 1) or (TestTurn_4_4 < 1)) and (((Turn_4_6 < 1) or (TestTurn_3_4 < 1)) and (((TestTurn_2_4 < 1) or (Turn_4_6 < 1)) and (((Turn_4_6 < 1) or (TestTurn_1_4 < 1)) and (((Turn_4_6 < 1) or (TestTurn_0_4 < 1)) and (((Turn_4_5 < 1) or (TestTurn_6_4 < 1)) and (((TestTurn_4_4 < 1) or (Turn_4_5 < 1)) and (((Turn_4_5 < 1) or (TestTurn_3_4 < 1)) and (((Turn_4_5 < 1) or (TestTurn_2_4 < 1)) and (((Turn_4_5 < 1) or (TestTurn_1_4 < 1)) and (((TestTurn_1_5 < 1) or (Turn_5_3 < 1)) and (((Turn_5_3 < 1) or (TestTurn_2_5 < 1)) and (((TestTurn_6_5 < 1) or (Turn_5_2 < 1)) and (((Turn_5_3 < 1) or (TestTurn_0_5 < 1)) and (((TestTurn_4_5 < 1) or (Turn_5_2 < 1)) and (((TestTurn_5_5 < 1) or (Turn_5_2 < 1)) and (((TestTurn_1_5 < 1) or (Turn_5_2 < 1)) and (((Turn_5_2 < 1) or (TestTurn_3_5 < 1)) and (((TestTurn_6_5 < 1) or (Turn_5_1 < 1)) and (((TestTurn_0_5 < 1) or (Turn_5_2 < 1)) and (((TestTurn_4_5 < 1) or (Turn_5_1 < 1)) and (((Turn_5_1 < 1) or (TestTurn_5_5 < 1)) and (((TestTurn_2_5 < 1) or (Turn_5_1 < 1)) and (((TestTurn_3_5 < 1) or (Turn_5_1 < 1)) and (((TestTurn_6_5 < 1) or (Turn_5_0 < 1)) and (((Turn_5_1 < 1) or (TestTurn_0_5 < 1)) and (((TestTurn_4_5 < 1) or (Turn_5_5 < 1)) and (((Turn_5_5 < 1) or (TestTurn_3_5 < 1)) and (((Turn_5_6 < 1) or (TestTurn_0_5 < 1)) and (((Turn_5_5 < 1) or (TestTurn_6_5 < 1)) and (((TestTurn_0_5 < 1) or (Turn_5_5 < 1)) and (((Turn_5_4 < 1) or (TestTurn_6_5 < 1)) and (((TestTurn_2_5 < 1) or (Turn_5_5 < 1)) and (((Turn_5_5 < 1) or (TestTurn_1_5 < 1)) and (((TestTurn_2_5 < 1) or (Turn_5_4 < 1)) and (((Turn_5_4 < 1) or (TestTurn_1_5 < 1)) and (((TestTurn_5_5 < 1) or (Turn_5_4 < 1)) and (((Turn_5_4 < 1) or (TestTurn_3_5 < 1)) and (((TestTurn_5_5 < 1) or (Turn_5_3 < 1)) and (((Turn_5_3 < 1) or (TestTurn_4_5 < 1)) and (((TestTurn_0_5 < 1) or (Turn_5_4 < 1)) and (((Turn_5_3 < 1) or (TestTurn_6_5 < 1)) and (((TestTurn_5_5 < 1) or (Turn_5_6 < 1)) and (((TestTurn_1_5 < 1) or (Turn_5_6 < 1)) and (((TestTurn_2_5 < 1) or (Turn_5_6 < 1)) and (((TestTurn_3_5 < 1) or (Turn_5_6 < 1)) and (((TestTurn_4_5 < 1) or (Turn_5_6 < 1)) and (((Turn_3_3 < 1) or (TestTurn_2_3 < 1)) and (((Turn_3_3 < 1) or (TestTurn_1_3 < 1)) and (((Turn_3_3 < 1) or (TestTurn_0_3 < 1)) and (((Turn_3_2 < 1) or (TestTurn_6_3 < 1)) and (((Turn_3_4 < 1) or (TestTurn_0_3 < 1)) and (((Turn_3_3 < 1) or (TestTurn_6_3 < 1)) and (((Turn_3_3 < 1) or (TestTurn_5_3 < 1)) and (((Turn_3_3 < 1) or (TestTurn_4_3 < 1)) and (((Turn_3_2 < 1) or (TestTurn_0_3 < 1)) and (((Turn_3_1 < 1) or (TestTurn_6_3 < 1)) and (((Turn_3_1 < 1) or (TestTurn_5_3 < 1)) and (((Turn_3_1 < 1) or (TestTurn_4_3 < 1)) and (((TestTurn_5_3 < 1) or (Turn_3_2 < 1)) and (((Turn_3_2 < 1) or (TestTurn_4_3 < 1)) and (((Turn_3_2 < 1) or (TestTurn_3_3 < 1)) and (((Turn_3_2 < 1) or (TestTurn_1_3 < 1)) and (((TestTurn_6_3 < 1) or (Turn_3_5 < 1)) and (((Turn_3_6 < 1) or (TestTurn_0_3 < 1)) and (((TestTurn_3_3 < 1) or (Turn_3_5 < 1)) and (((Turn_3_5 < 1) or (TestTurn_4_3 < 1)) and (((TestTurn_3_3 < 1) or (Turn_3_6 < 1)) and (((Turn_3_6 < 1) or (TestTurn_4_3 < 1)) and (((TestTurn_1_3 < 1) or (Turn_3_6 < 1)) and (((Turn_3_6 < 1) or (TestTurn_2_3 < 1)) and (((TestTurn_3_3 < 1) or (Turn_3_4 < 1)) and (((Turn_3_4 < 1) or (TestTurn_5_3 < 1)) and (((TestTurn_1_3 < 1) or (Turn_3_4 < 1)) and (((Turn_3_4 < 1) or (TestTurn_2_3 < 1)) and (((TestTurn_1_3 < 1) or (Turn_3_5 < 1)) and (((TestTurn_2_3 < 1) or (Turn_3_5 < 1)) and (((TestTurn_6_3 < 1) or (Turn_3_4 < 1)) and (((Turn_3_5 < 1) or (TestTurn_0_3 < 1)) and (((TestTurn_3_4 < 1) or (Turn_4_1 < 1)) and (((Turn_4_1 < 1) or (TestTurn_2_4 < 1)) and (((Turn_4_1 < 1) or (TestTurn_5_4 < 1)) and (((Turn_4_1 < 1) or (TestTurn_4_4 < 1)) and (((TestTurn_0_4 < 1) or (Turn_4_2 < 1)) and (((Turn_4_1 < 1) or (TestTurn_6_4 < 1)) and (((Turn_4_2 < 1) or (TestTurn_3_4 < 1)) and (((Turn_4_2 < 1) or (TestTurn_1_4 < 1)) and (((TestTurn_1_4 < 1) or (Turn_4_0 < 1)) and (((Turn_3_6 < 1) or (TestTurn_5_3 < 1)) and (((Turn_4_0 < 1) or (TestTurn_3_4 < 1)) and (((Turn_4_0 < 1) or (TestTurn_2_4 < 1)) and (((TestTurn_5_4 < 1) or (Turn_4_0 < 1)) and (((Turn_4_0 < 1) or (TestTurn_4_4 < 1)) and (((TestTurn_0_4 < 1) or (Turn_4_1 < 1)) and (((Turn_4_0 < 1) or (TestTurn_6_4 < 1)) and (((TestTurn_6_4 < 1) or (Turn_4_3 < 1)) and (((TestTurn_0_4 < 1) or (Turn_4_4 < 1)) and (((TestTurn_1_4 < 1) or (Turn_4_4 < 1)) and (((Turn_4_4 < 1) or (TestTurn_2_4 < 1)) and (((TestTurn_3_4 < 1) or (Turn_4_4 < 1)) and (((TestTurn_5_4 < 1) or (Turn_4_4 < 1)) and (((TestTurn_6_4 < 1) or (Turn_4_4 < 1)) and (((TestTurn_0_4 < 1) or (Turn_4_5 < 1)) and (((TestTurn_4_4 < 1) or (Turn_4_2 < 1)) and (((TestTurn_5_4 < 1) or (Turn_4_2 < 1)) and (((TestTurn_6_4 < 1) or (Turn_4_2 < 1)) and (((Turn_4_3 < 1) or (TestTurn_0_4 < 1)) and (((TestTurn_1_4 < 1) or (Turn_4_3 < 1)) and (((TestTurn_2_4 < 1) or (Turn_4_3 < 1)) and (((TestTurn_4_4 < 1) or (Turn_4_3 < 1)) and (((TestTurn_5_4 < 1) or (Turn_4_3 < 1)) and (((TestTurn_2_0 < 1) or (Turn_0_0 < 1)) and (((Turn_0_0 < 1) or (TestTurn_3_0 < 1)) and (((Turn_0_0 < 1) or (TestTurn_1_0 < 1)) and (((TestTurn_6_0 < 1) or (Turn_0_0 < 1)) and (((Turn_0_1 < 1) or (TestTurn_0_0 < 1)) and (((TestTurn_4_0 < 1) or (Turn_0_0 < 1)) and (((Turn_0_0 < 1) or (TestTurn_5_0 < 1)) and (((Turn_2_6 < 1) or (TestTurn_2_2 < 1)) and (((Turn_2_6 < 1) or (TestTurn_1_2 < 1)) and (((Turn_2_6 < 1) or (TestTurn_0_2 < 1)) and (((Turn_2_5 < 1) or (TestTurn_6_2 < 1)) and (((TestTurn_1_3 < 1) or (Turn_3_0 < 1)) and (((Turn_2_6 < 1) or (TestTurn_5_2 < 1)) and (((Turn_2_6 < 1) or (TestTurn_4_2 < 1)) and (((Turn_2_6 < 1) or (TestTurn_3_2 < 1)) and (((Turn_3_0 < 1) or (TestTurn_5_3 < 1)) and (((Turn_3_0 < 1) or (TestTurn_4_3 < 1)) and (((Turn_3_0 < 1) or (TestTurn_3_3 < 1)) and (((Turn_3_0 < 1) or (TestTurn_2_3 < 1)) and (((Turn_3_1 < 1) or (TestTurn_3_3 < 1)) and (((Turn_3_1 < 1) or (TestTurn_2_3 < 1)) and (((Turn_3_1 < 1) or (TestTurn_0_3 < 1)) and (((Turn_3_0 < 1) or (TestTurn_6_3 < 1)) and (((TestTurn_4_2 < 1) or (Turn_2_3 < 1)) and (((Turn_2_3 < 1) or (TestTurn_5_2 < 1)) and (((TestTurn_1_2 < 1) or (Turn_2_3 < 1)) and (((Turn_2_3 < 1) or (TestTurn_2_2 < 1)) and (((TestTurn_1_2 < 1) or (Turn_2_4 < 1)) and (((TestTurn_2_2 < 1) or (Turn_2_4 < 1)) and (((TestTurn_6_2 < 1) or (Turn_2_3 < 1)) and (((Turn_2_4 < 1) or (TestTurn_0_2 < 1)) and (((TestTurn_6_2 < 1) or (Turn_2_4 < 1)) and (((Turn_2_5 < 1) or (TestTurn_0_2 < 1)) and (((TestTurn_3_2 < 1) or (Turn_2_4 < 1)) and (((Turn_2_4 < 1) or (TestTurn_5_2 < 1)) and (((TestTurn_3_2 < 1) or (Turn_2_5 < 1)) and (((TestTurn_4_2 < 1) or (Turn_2_5 < 1)) and (((TestTurn_1_2 < 1) or (Turn_2_5 < 1)) and (((Turn_2_5 < 1) or (TestTurn_2_2 < 1)) and (((TestTurn_5_2 < 1) or (Turn_2_0 < 1)) and (((Turn_2_0 < 1) or (TestTurn_4_2 < 1)) and (((Turn_2_1 < 1) or (TestTurn_0_2 < 1)) and (((Turn_2_0 < 1) or (TestTurn_6_2 < 1)) and (((TestTurn_3_2 < 1) or (Turn_2_1 < 1)) and (((Turn_2_1 < 1) or (TestTurn_2_2 < 1)) and (((TestTurn_5_2 < 1) or (Turn_2_1 < 1)) and (((Turn_2_1 < 1) or (TestTurn_4_2 < 1)) and (((TestTurn_0_2 < 1) or (Turn_2_2 < 1)) and (((Turn_2_1 < 1) or (TestTurn_6_2 < 1)) and (((Turn_2_2 < 1) or (TestTurn_3_2 < 1)) and (((Turn_2_2 < 1) or (TestTurn_1_2 < 1)) and (((TestTurn_5_2 < 1) or (Turn_2_2 < 1)) and (((Turn_2_2 < 1) or (TestTurn_4_2 < 1)) and (((TestTurn_0_2 < 1) or (Turn_2_3 < 1)) and (((Turn_2_2 < 1) or (TestTurn_6_2 < 1)) and (((TestTurn_6_1 < 1) or (Turn_1_4 < 1)) and (((TestTurn_0_1 < 1) or (Turn_1_5 < 1)) and (((TestTurn_1_1 < 1) or (Turn_1_5 < 1)) and (((Turn_1_5 < 1) or (TestTurn_2_1 < 1)) and (((TestTurn_3_1 < 1) or (Turn_1_5 < 1)) and (((TestTurn_4_1 < 1) or (Turn_1_5 < 1)) and (((TestTurn_6_1 < 1) or (Turn_1_5 < 1)) and (((TestTurn_0_1 < 1) or (Turn_1_6 < 1)) and (((TestTurn_1_1 < 1) or (Turn_1_6 < 1)) and (((TestTurn_2_1 < 1) or (Turn_1_6 < 1)) and (((TestTurn_3_1 < 1) or (Turn_1_6 < 1)) and (((Turn_1_6 < 1) or (TestTurn_4_1 < 1)) and (((TestTurn_5_1 < 1) or (Turn_1_6 < 1)) and (((TestTurn_1_2 < 1) or (Turn_2_0 < 1)) and (((TestTurn_2_2 < 1) or (Turn_2_0 < 1)) and (((TestTurn_3_2 < 1) or (Turn_2_0 < 1)) and (((TestTurn_2_1 < 1) or (Turn_1_3 < 1)) and (((Turn_1_3 < 1) or (TestTurn_1_1 < 1)) and (((Turn_1_3 < 1) or (TestTurn_0_1 < 1)) and (((Turn_1_2 < 1) or (TestTurn_6_1 < 1)) and (((TestTurn_5_1 < 1) or (Turn_1_2 < 1)) and (((Turn_1_2 < 1) or (TestTurn_4_1 < 1)) and (((Turn_1_2 < 1) or (TestTurn_3_1 < 1)) and (((Turn_1_2 < 1) or (TestTurn_1_1 < 1)) and (((Turn_1_4 < 1) or (TestTurn_5_1 < 1)) and (((Turn_1_4 < 1) or (TestTurn_3_1 < 1)) and (((Turn_1_4 < 1) or (TestTurn_2_1 < 1)) and (((Turn_1_4 < 1) or (TestTurn_1_1 < 1)) and (((TestTurn_0_1 < 1) or (Turn_1_4 < 1)) and (((Turn_1_3 < 1) or (TestTurn_6_1 < 1)) and (((Turn_1_3 < 1) or (TestTurn_5_1 < 1)) and (((Turn_1_3 < 1) or (TestTurn_4_1 < 1)) and (((TestTurn_4_1 < 1) or (Turn_1_0 < 1)) and (((TestTurn_5_1 < 1) or (Turn_1_0 < 1)) and (((TestTurn_2_1 < 1) or (Turn_1_0 < 1)) and (((Turn_1_0 < 1) or (TestTurn_3_1 < 1)) and (((TestTurn_5_0 < 1) or (Turn_0_6 < 1)) and (((TestTurn_1_1 < 1) or (Turn_1_0 < 1)) and (((TestTurn_3_0 < 1) or (Turn_0_6 < 1)) and (((Turn_0_6 < 1) or (TestTurn_4_0 < 1)) and (((TestTurn_6_1 < 1) or (Turn_1_1 < 1)) and (((TestTurn_0_1 < 1) or (Turn_1_2 < 1)) and (((TestTurn_4_1 < 1) or (Turn_1_1 < 1)) and (((Turn_1_1 < 1) or (TestTurn_5_1 < 1)) and (((TestTurn_2_1 < 1) or (Turn_1_1 < 1)) and (((TestTurn_3_1 < 1) or (Turn_1_1 < 1)) and (((TestTurn_6_1 < 1) or (Turn_1_0 < 1)) and (((Turn_1_1 < 1) or (TestTurn_0_1 < 1)) and (((TestTurn_5_0 < 1) or (Turn_0_4 < 1)) and (((Turn_0_4 < 1) or (TestTurn_3_0 < 1)) and (((TestTurn_0_0 < 1) or (Turn_0_5 < 1)) and (((Turn_0_4 < 1) or (TestTurn_6_0 < 1)) and (((TestTurn_0_0 < 1) or (Turn_0_4 < 1)) and (((Turn_0_3 < 1) or (TestTurn_6_0 < 1)) and (((TestTurn_2_0 < 1) or (Turn_0_4 < 1)) and (((Turn_0_4 < 1) or (TestTurn_1_0 < 1)) and (((TestTurn_0_0 < 1) or (Turn_0_6 < 1)) and (((Turn_0_5 < 1) or (TestTurn_6_0 < 1)) and (((TestTurn_2_0 < 1) or (Turn_0_6 < 1)) and (((Turn_0_6 < 1) or (TestTurn_1_0 < 1)) and (((TestTurn_2_0 < 1) or (Turn_0_5 < 1)) and (((Turn_0_5 < 1) or (TestTurn_1_0 < 1)) and (((TestTurn_4_0 < 1) or (Turn_0_5 < 1)) and (((Turn_0_5 < 1) or (TestTurn_3_0 < 1)) and (((TestTurn_6_0 < 1) or (Turn_0_1 < 1)) and (((TestTurn_0_0 < 1) or (Turn_0_2 < 1)) and (((TestTurn_1_0 < 1) or (Turn_0_2 < 1)) and (((TestTurn_3_0 < 1) or (Turn_0_2 < 1)) and (((TestTurn_2_0 < 1) or (Turn_0_1 < 1)) and (((TestTurn_3_0 < 1) or (Turn_0_1 < 1)) and (((TestTurn_4_0 < 1) or (Turn_0_1 < 1)) and (((TestTurn_5_0 < 1) or (Turn_0_1 < 1)) and (((TestTurn_1_0 < 1) or (Turn_0_3 < 1)) and (((TestTurn_2_0 < 1) or (Turn_0_3 < 1)) and (((TestTurn_4_0 < 1) or (Turn_0_3 < 1)) and (((TestTurn_5_0 < 1) or (Turn_0_3 < 1)) and (((TestTurn_4_0 < 1) or (Turn_0_2 < 1)) and (((TestTurn_5_0 < 1) or (Turn_0_2 < 1)) and (((TestTurn_6_0 < 1) or (Turn_0_2 < 1)) and ((TestTurn_0_0 < 1) or (Turn_0_3 < 1)))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))) and ((IsEndLoop_1_0_6 >= 1) or ((IsEndLoop_2_0_6 >= 1) or ((IsEndLoop_0_0_6 >= 1) or ((IsEndLoop_2_1_6 >= 1) or ((IsEndLoop_3_1_6 >= 1) or ((IsEndLoop_0_1_6 >= 1) or ((IsEndLoop_1_1_6 >= 1) or ((IsEndLoop_5_0_6 >= 1) or ((IsEndLoop_6_0_6 >= 1) or ((IsEndLoop_3_0_6 >= 1) or ((IsEndLoop_4_0_6 >= 1) or ((IsEndLoop_5_1_6 >= 1) or ((IsEndLoop_4_1_6 >= 1) or ((IsEndLoop_0_2_6 >= 1) or ((IsEndLoop_6_1_6 >= 1) or ((IsEndLoop_2_2_6 >= 1) or ((IsEndLoop_1_2_6 >= 1) or ((IsEndLoop_4_2_6 >= 1) or ((IsEndLoop_3_2_6 >= 1) or ((IsEndLoop_6_2_6 >= 1) or ((IsEndLoop_5_2_6 >= 1) or ((IsEndLoop_1_3_6 >= 1) or ((IsEndLoop_0_3_6 >= 1) or ((IsEndLoop_3_3_6 >= 1) or ((IsEndLoop_2_3_6 >= 1) or ((IsEndLoop_5_3_6 >= 1) or ((IsEndLoop_4_3_6 >= 1) or ((IsEndLoop_6_3_6 >= 1) or ((IsEndLoop_0_4_6 >= 1) or ((IsEndLoop_1_4_6 >= 1) or ((IsEndLoop_2_4_6 >= 1) or ((IsEndLoop_3_4_6 >= 1) or ((IsEndLoop_4_4_6 >= 1) or ((IsEndLoop_5_4_6 >= 1) or ((IsEndLoop_6_4_6 >= 1) or ((IsEndLoop_0_5_6 >= 1) or ((IsEndLoop_1_5_6 >= 1) or ((IsEndLoop_2_5_6 >= 1) or ((IsEndLoop_3_5_6 >= 1) or ((IsEndLoop_4_5_6 >= 1) or ((IsEndLoop_5_5_6 >= 1) or (IsEndLoop_6_5_6 >= 1))))))))))))))))))))))))))))))))))))))))))) or (not (((((AskForSection_0_3 >= 1) and (Turn_3_5 >= 1)) or (((Turn_3_5 >= 1) and (AskForSection_1_3 >= 1)) or (((AskForSection_2_3 >= 1) and (Turn_3_5 >= 1)) or (((Turn_3_5 >= 1) and (AskForSection_3_3 >= 1)) or (((AskForSection_3_3 >= 1) and (Turn_3_4 >= 1)) or (((Turn_3_4 >= 1) and (AskForSection_4_3 >= 1)) or (((AskForSection_5_3 >= 1) and (Turn_3_4 >= 1)) or (((Turn_3_4 >= 1) and (AskForSection_6_3 >= 1)) or (((AskForSection_6_3 >= 1) and (Turn_3_3 >= 1)) or (((Turn_3_4 >= 1) and (AskForSection_0_3 >= 1)) or (((AskForSection_1_3 >= 1) and (Turn_3_4 >= 1)) or (((Turn_3_4 >= 1) and (AskForSection_2_3 >= 1)) or (((Turn_3_3 >= 1) and (AskForSection_2_3 >= 1)) or (((Turn_3_3 >= 1) and (AskForSection_3_3 >= 1)) or (((Turn_3_3 >= 1) and (AskForSection_4_3 >= 1)) or (((Turn_3_3 >= 1) and (AskForSection_5_3 >= 1)) or (((Turn_3_2 >= 1) and (AskForSection_6_3 >= 1)) or (((Turn_3_2 >= 1) and (AskForSection_5_3 >= 1)) or (((Turn_3_3 >= 1) and (AskForSection_1_3 >= 1)) or (((Turn_3_3 >= 1) and (AskForSection_0_3 >= 1)) or (((Turn_3_2 >= 1) and (AskForSection_2_3 >= 1)) or (((Turn_3_2 >= 1) and (AskForSection_1_3 >= 1)) or (((Turn_3_2 >= 1) and (AskForSection_4_3 >= 1)) or (((Turn_3_2 >= 1) and (AskForSection_3_3 >= 1)) or (((Turn_3_1 >= 1) and (AskForSection_5_3 >= 1)) or (((Turn_3_1 >= 1) and (AskForSection_4_3 >= 1)) or (((Turn_3_2 >= 1) and (AskForSection_0_3 >= 1)) or (((Turn_3_1 >= 1) and (AskForSection_6_3 >= 1)) or (((AskForSection_1_3 >= 1) and (Turn_3_1 >= 1)) or (((AskForSection_0_3 >= 1) and (Turn_3_1 >= 1)) or (((AskForSection_3_3 >= 1) and (Turn_3_1 >= 1)) or (((AskForSection_2_3 >= 1) and (Turn_3_1 >= 1)) or (((Turn_4_2 >= 1) and (AskForSection_6_4 >= 1)) or (((AskForSection_0_4 >= 1) and (Turn_4_3 >= 1)) or (((Turn_4_2 >= 1) and (AskForSection_4_4 >= 1)) or (((Turn_4_2 >= 1) and (AskForSection_5_4 >= 1)) or (((Turn_4_2 >= 1) and (AskForSection_2_4 >= 1)) or (((AskForSection_3_4 >= 1) and (Turn_4_2 >= 1)) or (((Turn_4_2 >= 1) and (AskForSection_0_4 >= 1)) or (((Turn_4_2 >= 1) and (AskForSection_1_4 >= 1)) or (((Turn_4_1 >= 1) and (AskForSection_5_4 >= 1)) or (((AskForSection_6_4 >= 1) and (Turn_4_1 >= 1)) or (((Turn_4_1 >= 1) and (AskForSection_3_4 >= 1)) or (((Turn_4_1 >= 1) and (AskForSection_4_4 >= 1)) or (((AskForSection_1_4 >= 1) and (Turn_4_1 >= 1)) or (((AskForSection_2_4 >= 1) and (Turn_4_1 >= 1)) or (((AskForSection_6_4 >= 1) and (Turn_4_0 >= 1)) or (((Turn_4_1 >= 1) and (AskForSection_0_4 >= 1)) or (((AskForSection_5_4 >= 1) and (Turn_4_0 >= 1)) or (((AskForSection_4_4 >= 1) and (Turn_4_0 >= 1)) or (((Turn_4_0 >= 1) and (AskForSection_3_4 >= 1)) or (((AskForSection_2_4 >= 1) and (Turn_4_0 >= 1)) or (((AskForSection_1_4 >= 1) and (Turn_4_0 >= 1)) or (((AskForSection_0_4 >= 1) and (Turn_4_0 >= 1)) or (((Turn_3_6 >= 1) and (AskForSection_6_3 >= 1)) or (((AskForSection_5_3 >= 1) and (Turn_3_6 >= 1)) or (((AskForSection_4_3 >= 1) and (Turn_3_6 >= 1)) or (((AskForSection_3_3 >= 1) and (Turn_3_6 >= 1)) or (((Turn_3_6 >= 1) and (AskForSection_2_3 >= 1)) or (((AskForSection_1_3 >= 1) and (Turn_3_6 >= 1)) or (((AskForSection_0_3 >= 1) and (Turn_3_6 >= 1)) or (((AskForSection_6_3 >= 1) and (Turn_3_5 >= 1)) or (((Turn_3_5 >= 1) and (AskForSection_5_3 >= 1)) or (((AskForSection_4_3 >= 1) and (Turn_3_5 >= 1)) or (((AskForSection_2_2 >= 1) and (Turn_2_2 >= 1)) or (((Turn_2_2 >= 1) and (AskForSection_3_2 >= 1)) or (((AskForSection_4_2 >= 1) and (Turn_2_2 >= 1)) or (((Turn_2_2 >= 1) and (AskForSection_5_2 >= 1)) or (((AskForSection_6_2 >= 1) and (Turn_2_2 >= 1)) or (((Turn_2_3 >= 1) and (AskForSection_0_2 >= 1)) or (((AskForSection_1_2 >= 1) and (Turn_2_3 >= 1)) or (((AskForSection_2_2 >= 1) and (Turn_2_3 >= 1)) or (((Turn_2_1 >= 1) and (AskForSection_1_2 >= 1)) or (((Turn_2_1 >= 1) and (AskForSection_2_2 >= 1)) or (((Turn_2_1 >= 1) and (AskForSection_3_2 >= 1)) or (((Turn_2_1 >= 1) and (AskForSection_4_2 >= 1)) or (((AskForSection_5_2 >= 1) and (Turn_2_1 >= 1)) or (((Turn_2_1 >= 1) and (AskForSection_6_2 >= 1)) or (((AskForSection_0_2 >= 1) and (Turn_2_2 >= 1)) or (((AskForSection_1_2 >= 1) and (Turn_2_2 >= 1)) or (((Turn_2_0 >= 1) and (AskForSection_1_2 >= 1)) or (((Turn_2_0 >= 1) and (AskForSection_0_2 >= 1)) or (((Turn_2_0 >= 1) and (AskForSection_3_2 >= 1)) or (((Turn_2_0 >= 1) and (AskForSection_2_2 >= 1)) or (((Turn_2_0 >= 1) and (AskForSection_5_2 >= 1)) or (((Turn_2_0 >= 1) and (AskForSection_4_2 >= 1)) or (((AskForSection_0_2 >= 1) and (Turn_2_1 >= 1)) or (((Turn_2_0 >= 1) and (AskForSection_6_2 >= 1)) or (((AskForSection_0_1 >= 1) and (Turn_1_6 >= 1)) or (((AskForSection_6_1 >= 1) and (Turn_1_5 >= 1)) or (((AskForSection_2_1 >= 1) and (Turn_1_6 >= 1)) or (((AskForSection_1_1 >= 1) and (Turn_1_6 >= 1)) or (((Turn_1_6 >= 1) and (AskForSection_4_1 >= 1)) or (((Turn_1_6 >= 1) and (AskForSection_3_1 >= 1)) or (((AskForSection_6_1 >= 1) and (Turn_1_6 >= 1)) or (((Turn_1_6 >= 1) and (AskForSection_5_1 >= 1)) or (((AskForSection_1_3 >= 1) and (Turn_3_0 >= 1)) or (((Turn_3_0 >= 1) and (AskForSection_2_3 >= 1)) or (((AskForSection_6_2 >= 1) and (Turn_2_6 >= 1)) or (((AskForSection_0_3 >= 1) and (Turn_3_0 >= 1)) or (((AskForSection_5_3 >= 1) and (Turn_3_0 >= 1)) or (((Turn_3_0 >= 1) and (AskForSection_6_3 >= 1)) or (((AskForSection_3_3 >= 1) and (Turn_3_0 >= 1)) or (((AskForSection_4_3 >= 1) and (Turn_3_0 >= 1)) or (((Turn_2_6 >= 1) and (AskForSection_0_2 >= 1)) or (((Turn_2_6 >= 1) and (AskForSection_1_2 >= 1)) or (((Turn_2_5 >= 1) and (AskForSection_5_2 >= 1)) or (((AskForSection_6_2 >= 1) and (Turn_2_5 >= 1)) or (((AskForSection_4_2 >= 1) and (Turn_2_6 >= 1)) or (((Turn_2_6 >= 1) and (AskForSection_5_2 >= 1)) or (((AskForSection_2_2 >= 1) and (Turn_2_6 >= 1)) or (((AskForSection_3_2 >= 1) and (Turn_2_6 >= 1)) or (((Turn_2_5 >= 1) and (AskForSection_0_2 >= 1)) or (((Turn_2_4 >= 1) and (AskForSection_6_2 >= 1)) or (((AskForSection_5_2 >= 1) and (Turn_2_4 >= 1)) or (((Turn_2_4 >= 1) and (AskForSection_4_2 >= 1)) or (((Turn_2_5 >= 1) and (AskForSection_4_2 >= 1)) or (((Turn_2_5 >= 1) and (AskForSection_3_2 >= 1)) or (((AskForSection_2_2 >= 1) and (Turn_2_5 >= 1)) or (((Turn_2_5 >= 1) and (AskForSection_1_2 >= 1)) or (((AskForSection_6_2 >= 1) and (Turn_2_3 >= 1)) or (((AskForSection_5_2 >= 1) and (Turn_2_3 >= 1)) or (((Turn_2_3 >= 1) and (AskForSection_4_2 >= 1)) or (((AskForSection_3_2 >= 1) and (Turn_2_3 >= 1)) or (((Turn_2_4 >= 1) and (AskForSection_3_2 >= 1)) or (((Turn_2_4 >= 1) and (AskForSection_2_2 >= 1)) or (((AskForSection_1_2 >= 1) and (Turn_2_4 >= 1)) or (((Turn_2_4 >= 1) and (AskForSection_0_2 >= 1)) or (((AskForSection_6_5 >= 1) and (Turn_5_5 >= 1)) or (((AskForSection_0_5 >= 1) and (Turn_5_6 >= 1)) or (((AskForSection_1_5 >= 1) and (Turn_5_6 >= 1)) or (((Turn_5_6 >= 1) and (AskForSection_2_5 >= 1)) or (((Turn_5_5 >= 1) and (AskForSection_2_5 >= 1)) or (((Turn_5_5 >= 1) and (AskForSection_3_5 >= 1)) or (((Turn_5_5 >= 1) and (AskForSection_4_5 >= 1)) or (((AskForSection_5_5 >= 1) and (Turn_5_5 >= 1)) or (((AskForSection_3_5 >= 1) and (Turn_5_6 >= 1)) or (((AskForSection_4_5 >= 1) and (Turn_5_6 >= 1)) or (((AskForSection_5_5 >= 1) and (Turn_5_6 >= 1)) or (((AskForSection_6_5 >= 1) and (Turn_5_6 >= 1)) or (((AskForSection_4_4 >= 1) and (Turn_4_5 >= 1)) or (((AskForSection_3_4 >= 1) and (Turn_4_5 >= 1)) or (((AskForSection_6_4 >= 1) and (Turn_4_5 >= 1)) or (((AskForSection_5_4 >= 1) and (Turn_4_5 >= 1)) or (((AskForSection_1_4 >= 1) and (Turn_4_6 >= 1)) or (((Turn_4_6 >= 1) and (AskForSection_0_4 >= 1)) or (((AskForSection_3_4 >= 1) and (Turn_4_6 >= 1)) or (((Turn_4_6 >= 1) and (AskForSection_2_4 >= 1)) or (((AskForSection_5_4 >= 1) and (Turn_4_6 >= 1)) or (((Turn_4_6 >= 1) and (AskForSection_4_4 >= 1)) or (((AskForSection_0_5 >= 1) and (Turn_5_0 >= 1)) or (((Turn_4_6 >= 1) and (AskForSection_6_4 >= 1)) or (((AskForSection_2_5 >= 1) and (Turn_5_0 >= 1)) or (((Turn_5_0 >= 1) and (AskForSection_1_5 >= 1)) or (((AskForSection_4_5 >= 1) and (Turn_5_0 >= 1)) or (((Turn_5_0 >= 1) and (AskForSection_3_5 >= 1)) or (((Turn_4_3 >= 1) and (AskForSection_1_4 >= 1)) or (((Turn_4_3 >= 1) and (AskForSection_2_4 >= 1)) or (((Turn_4_3 >= 1) and (AskForSection_3_4 >= 1)) or (((AskForSection_4_4 >= 1) and (Turn_4_3 >= 1)) or (((AskForSection_5_4 >= 1) and (Turn_4_3 >= 1)) or (((AskForSection_6_4 >= 1) and (Turn_4_3 >= 1)) or (((AskForSection_0_4 >= 1) and (Turn_4_4 >= 1)) or (((AskForSection_1_4 >= 1) and (Turn_4_4 >= 1)) or (((AskForSection_2_4 >= 1) and (Turn_4_4 >= 1)) or (((AskForSection_3_4 >= 1) and (Turn_4_4 >= 1)) or (((AskForSection_4_4 >= 1) and (Turn_4_4 >= 1)) or (((AskForSection_5_4 >= 1) and (Turn_4_4 >= 1)) or (((AskForSection_6_4 >= 1) and (Turn_4_4 >= 1)) or (((AskForSection_0_4 >= 1) and (Turn_4_5 >= 1)) or (((AskForSection_1_4 >= 1) and (Turn_4_5 >= 1)) or (((AskForSection_2_4 >= 1) and (Turn_4_5 >= 1)) or (((AskForSection_3_5 >= 1) and (Turn_5_3 >= 1)) or (((AskForSection_2_5 >= 1) and (Turn_5_3 >= 1)) or (((Turn_5_3 >= 1) and (AskForSection_1_5 >= 1)) or (((AskForSection_0_5 >= 1) and (Turn_5_3 >= 1)) or (((Turn_5_4 >= 1) and (AskForSection_0_5 >= 1)) or (((Turn_5_3 >= 1) and (AskForSection_6_5 >= 1)) or (((Turn_5_3 >= 1) and (AskForSection_5_5 >= 1)) or (((Turn_5_3 >= 1) and (AskForSection_4_5 >= 1)) or (((AskForSection_4_5 >= 1) and (Turn_5_4 >= 1)) or (((Turn_5_4 >= 1) and (AskForSection_3_5 >= 1)) or (((Turn_5_4 >= 1) and (AskForSection_2_5 >= 1)) or (((Turn_5_4 >= 1) and (AskForSection_1_5 >= 1)) or (((Turn_5_5 >= 1) and (AskForSection_1_5 >= 1)) or (((Turn_5_5 >= 1) and (AskForSection_0_5 >= 1)) or (((Turn_5_4 >= 1) and (AskForSection_6_5 >= 1)) or (((Turn_5_4 >= 1) and (AskForSection_5_5 >= 1)) or (((Turn_5_1 >= 1) and (AskForSection_0_5 >= 1)) or (((AskForSection_1_5 >= 1) and (Turn_5_1 >= 1)) or (((Turn_5_0 >= 1) and (AskForSection_5_5 >= 1)) or (((AskForSection_6_5 >= 1) and (Turn_5_0 >= 1)) or (((AskForSection_4_5 >= 1) and (Turn_5_1 >= 1)) or (((Turn_5_1 >= 1) and (AskForSection_5_5 >= 1)) or (((AskForSection_2_5 >= 1) and (Turn_5_1 >= 1)) or (((Turn_5_1 >= 1) and (AskForSection_3_5 >= 1)) or (((AskForSection_1_5 >= 1) and (Turn_5_2 >= 1)) or (((AskForSection_2_5 >= 1) and (Turn_5_2 >= 1)) or (((AskForSection_6_5 >= 1) and (Turn_5_1 >= 1)) or (((Turn_5_2 >= 1) and (AskForSection_0_5 >= 1)) or (((AskForSection_5_5 >= 1) and (Turn_5_2 >= 1)) or (((Turn_5_2 >= 1) and (AskForSection_6_5 >= 1)) or (((AskForSection_3_5 >= 1) and (Turn_5_2 >= 1)) or (((Turn_5_2 >= 1) and (AskForSection_4_5 >= 1)) or (((AskForSection_4_0 >= 1) and (Turn_0_4 >= 1)) or (((Turn_0_4 >= 1) and (AskForSection_5_0 >= 1)) or (((AskForSection_2_0 >= 1) and (Turn_0_4 >= 1)) or (((Turn_0_4 >= 1) and (AskForSection_3_0 >= 1)) or (((Turn_0_4 >= 1) and (AskForSection_0_0 >= 1)) or (((AskForSection_1_0 >= 1) and (Turn_0_4 >= 1)) or (((Turn_0_3 >= 1) and (AskForSection_5_0 >= 1)) or (((AskForSection_6_0 >= 1) and (Turn_0_3 >= 1)) or (((AskForSection_5_0 >= 1) and (Turn_0_5 >= 1)) or (((Turn_0_5 >= 1) and (AskForSection_6_0 >= 1)) or (((AskForSection_3_0 >= 1) and (Turn_0_5 >= 1)) or (((Turn_0_5 >= 1) and (AskForSection_4_0 >= 1)) or (((AskForSection_1_0 >= 1) and (Turn_0_5 >= 1)) or (((AskForSection_2_0 >= 1) and (Turn_0_5 >= 1)) or (((AskForSection_6_0 >= 1) and (Turn_0_4 >= 1)) or (((Turn_0_5 >= 1) and (AskForSection_0_0 >= 1)) or (((Turn_1_0 >= 1) and (AskForSection_0_1 >= 1)) or (((Turn_0_6 >= 1) and (AskForSection_6_0 >= 1)) or (((Turn_0_6 >= 1) and (AskForSection_5_0 >= 1)) or (((Turn_0_6 >= 1) and (AskForSection_4_0 >= 1)) or (((Turn_0_6 >= 1) and (AskForSection_3_0 >= 1)) or (((AskForSection_2_0 >= 1) and (Turn_0_6 >= 1)) or (((Turn_0_6 >= 1) and (AskForSection_1_0 >= 1)) or (((AskForSection_0_0 >= 1) and (Turn_0_6 >= 1)) or (((Turn_1_1 >= 1) and (AskForSection_1_1 >= 1)) or (((Turn_1_1 >= 1) and (AskForSection_0_1 >= 1)) or (((Turn_1_0 >= 1) and (AskForSection_6_1 >= 1)) or (((Turn_1_0 >= 1) and (AskForSection_5_1 >= 1)) or (((AskForSection_4_1 >= 1) and (Turn_1_0 >= 1)) or (((Turn_1_0 >= 1) and (AskForSection_3_1 >= 1)) or (((Turn_1_0 >= 1) and (AskForSection_2_1 >= 1)) or (((Turn_1_0 >= 1) and (AskForSection_1_1 >= 1)) or (((Turn_1_1 >= 1) and (AskForSection_6_1 >= 1)) or (((AskForSection_0_1 >= 1) and (Turn_1_2 >= 1)) or (((Turn_1_2 >= 1) and (AskForSection_1_1 >= 1)) or (((AskForSection_2_1 >= 1) and (Turn_1_2 >= 1)) or (((Turn_1_1 >= 1) and (AskForSection_2_1 >= 1)) or (((Turn_1_1 >= 1) and (AskForSection_3_1 >= 1)) or (((Turn_1_1 >= 1) and (AskForSection_4_1 >= 1)) or (((Turn_1_1 >= 1) and (AskForSection_5_1 >= 1)) or (((Turn_1_3 >= 1) and (AskForSection_0_1 >= 1)) or (((AskForSection_1_1 >= 1) and (Turn_1_3 >= 1)) or (((Turn_1_3 >= 1) and (AskForSection_2_1 >= 1)) or (((AskForSection_3_1 >= 1) and (Turn_1_3 >= 1)) or (((Turn_1_2 >= 1) and (AskForSection_3_1 >= 1)) or (((AskForSection_4_1 >= 1) and (Turn_1_2 >= 1)) or (((Turn_1_2 >= 1) and (AskForSection_5_1 >= 1)) or (((Turn_1_2 >= 1) and (AskForSection_6_1 >= 1)) or (((AskForSection_2_1 >= 1) and (Turn_1_4 >= 1)) or (((AskForSection_1_1 >= 1) and (Turn_1_4 >= 1)) or (((AskForSection_4_1 >= 1) and (Turn_1_4 >= 1)) or (((AskForSection_3_1 >= 1) and (Turn_1_4 >= 1)) or (((AskForSection_5_1 >= 1) and (Turn_1_3 >= 1)) or (((Turn_1_3 >= 1) and (AskForSection_4_1 >= 1)) or (((Turn_1_4 >= 1) and (AskForSection_0_1 >= 1)) or (((Turn_1_3 >= 1) and (AskForSection_6_1 >= 1)) or (((AskForSection_3_1 >= 1) and (Turn_1_5 >= 1)) or (((AskForSection_2_1 >= 1) and (Turn_1_5 >= 1)) or (((AskForSection_5_1 >= 1) and (Turn_1_5 >= 1)) or (((AskForSection_4_1 >= 1) and (Turn_1_5 >= 1)) or (((AskForSection_6_1 >= 1) and (Turn_1_4 >= 1)) or (((AskForSection_5_1 >= 1) and (Turn_1_4 >= 1)) or (((Turn_1_5 >= 1) and (AskForSection_1_1 >= 1)) or (((AskForSection_0_1 >= 1) and (Turn_1_5 >= 1)) or (((AskForSection_0_0 >= 1) and (Turn_0_0 >= 1)) or (((Turn_0_0 >= 1) and (AskForSection_1_0 >= 1)) or (((AskForSection_2_0 >= 1) and (Turn_0_0 >= 1)) or (((AskForSection_3_0 >= 1) and (Turn_0_0 >= 1)) or (((AskForSection_4_0 >= 1) and (Turn_0_0 >= 1)) or (((AskForSection_5_0 >= 1) and (Turn_0_0 >= 1)) or (((AskForSection_6_0 >= 1) and (Turn_0_0 >= 1)) or (((AskForSection_0_0 >= 1) and (Turn_0_1 >= 1)) or (((AskForSection_1_0 >= 1) and (Turn_0_1 >= 1)) or (((Turn_0_1 >= 1) and (AskForSection_2_0 >= 1)) or (((AskForSection_4_0 >= 1) and (Turn_0_1 >= 1)) or (((AskForSection_3_0 >= 1) and (Turn_0_1 >= 1)) or (((Turn_0_1 >= 1) and (AskForSection_6_0 >= 1)) or (((AskForSection_5_0 >= 1) and (Turn_0_1 >= 1)) or (((AskForSection_1_0 >= 1) and (Turn_0_2 >= 1)) or (((Turn_0_2 >= 1) and (AskForSection_0_0 >= 1)) or (((Turn_0_2 >= 1) and (AskForSection_3_0 >= 1)) or (((Turn_0_2 >= 1) and (AskForSection_2_0 >= 1)) or (((AskForSection_5_0 >= 1) and (Turn_0_2 >= 1)) or (((Turn_0_2 >= 1) and (AskForSection_4_0 >= 1)) or (((AskForSection_0_0 >= 1) and (Turn_0_3 >= 1)) or (((Turn_0_2 >= 1) and (AskForSection_6_0 >= 1)) or (((AskForSection_2_0 >= 1) and (Turn_0_3 >= 1)) or (((Turn_0_3 >= 1) and (AskForSection_1_0 >= 1)) or (((Turn_0_3 >= 1) and (AskForSection_4_0 >= 1)) or ((Turn_0_3 >= 1) and (AskForSection_3_0 >= 1))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))) and ((TestIdentity_4_4_4 >= 1) or ((TestIdentity_5_4_5 >= 1) or ((TestIdentity_6_4_6 >= 1) or ((TestIdentity_0_5_0 >= 1) or ((TestIdentity_1_5_1 >= 1) or ((TestIdentity_2_5_2 >= 1) or ((TestIdentity_3_5_3 >= 1) or ((TestIdentity_4_5_4 >= 1) or ((TestIdentity_3_3_3 >= 1) or ((TestIdentity_4_3_4 >= 1) or ((TestIdentity_5_3_5 >= 1) or ((TestIdentity_6_3_6 >= 1) or ((TestIdentity_0_4_0 >= 1) or ((TestIdentity_1_4_1 >= 1) or ((TestIdentity_2_4_2 >= 1) or ((TestIdentity_3_4_3 >= 1) or ((TestIdentity_6_5_6 >= 1) or ((TestIdentity_5_5_5 >= 1) or ((TestIdentity_2_0_2 >= 1) or ((TestIdentity_3_0_3 >= 1) or ((TestIdentity_0_0_0 >= 1) or ((TestIdentity_1_0_1 >= 1) or ((TestIdentity_6_0_6 >= 1) or ((TestIdentity_0_1_0 >= 1) or ((TestIdentity_4_0_4 >= 1) or ((TestIdentity_5_0_5 >= 1) or ((TestIdentity_5_2_5 >= 1) or ((TestIdentity_4_2_4 >= 1) or ((TestIdentity_3_2_3 >= 1) or ((TestIdentity_2_2_2 >= 1) or ((TestIdentity_2_3_2 >= 1) or ((TestIdentity_1_3_1 >= 1) or ((TestIdentity_0_3_0 >= 1) or ((TestIdentity_6_2_6 >= 1) or ((TestIdentity_4_1_4 >= 1) or ((TestIdentity_3_1_3 >= 1) or ((TestIdentity_2_1_2 >= 1) or ((TestIdentity_1_1_1 >= 1) or ((TestIdentity_1_2_1 >= 1) or ((TestIdentity_0_2_0 >= 1) or ((TestIdentity_6_1_6 >= 1) or (TestIdentity_5_1_5 >= 1))))))))))))))))))))))))))))))))))))))))))) and (((TestIdentity_6_2_0 >= 1) or ((TestIdentity_5_2_0 >= 1) or ((TestIdentity_2_2_1 >= 1) or ((TestIdentity_0_2_1 >= 1) or ((TestIdentity_2_2_0 >= 1) or ((TestIdentity_1_2_0 >= 1) or ((TestIdentity_4_2_0 >= 1) or ((TestIdentity_3_2_0 >= 1) or ((TestIdentity_1_2_2 >= 1) or ((TestIdentity_0_2_2 >= 1) or ((TestIdentity_4_2_2 >= 1) or ((TestIdentity_3_2_2 >= 1) or ((TestIdentity_4_2_1 >= 1) or ((TestIdentity_3_2_1 >= 1) or ((TestIdentity_6_2_1 >= 1) or ((TestIdentity_5_2_1 >= 1) or ((TestIdentity_2_2_3 >= 1) or ((TestIdentity_4_2_3 >= 1) or ((TestIdentity_5_2_3 >= 1) or ((TestIdentity_6_2_3 >= 1) or ((TestIdentity_5_2_2 >= 1) or ((TestIdentity_6_2_2 >= 1) or ((TestIdentity_0_2_3 >= 1) or ((TestIdentity_1_2_3 >= 1) or ((TestIdentity_5_2_4 >= 1) or ((TestIdentity_6_2_4 >= 1) or ((TestIdentity_0_2_5 >= 1) or ((TestIdentity_1_2_5 >= 1) or ((TestIdentity_0_2_4 >= 1) or ((TestIdentity_1_2_4 >= 1) or ((TestIdentity_2_2_4 >= 1) or ((TestIdentity_3_2_4 >= 1) or ((TestIdentity_6_1_2 >= 1) or ((TestIdentity_5_1_2 >= 1) or ((TestIdentity_4_1_2 >= 1) or ((TestIdentity_3_1_2 >= 1) or ((TestIdentity_1_1_2 >= 1) or ((TestIdentity_0_1_2 >= 1) or ((TestIdentity_6_1_1 >= 1) or ((TestIdentity_5_1_1 >= 1) or ((TestIdentity_1_1_4 >= 1) or ((TestIdentity_0_1_4 >= 1) or ((TestIdentity_6_1_3 >= 1) or ((TestIdentity_5_1_3 >= 1) or ((TestIdentity_4_1_3 >= 1) or ((TestIdentity_2_1_3 >= 1) or ((TestIdentity_1_1_3 >= 1) or ((TestIdentity_0_1_3 >= 1) or ((TestIdentity_2_1_5 >= 1) or ((TestIdentity_3_1_5 >= 1) or ((TestIdentity_0_1_5 >= 1) or ((TestIdentity_1_1_5 >= 1) or ((TestIdentity_5_1_4 >= 1) or ((TestIdentity_6_1_4 >= 1) or ((TestIdentity_2_1_4 >= 1) or ((TestIdentity_3_1_4 >= 1) or ((TestIdentity_4_1_6 >= 1) or ((TestIdentity_5_1_6 >= 1) or ((TestIdentity_2_1_6 >= 1) or ((TestIdentity_3_1_6 >= 1) or ((TestIdentity_0_1_6 >= 1) or ((TestIdentity_1_1_6 >= 1) or ((TestIdentity_4_1_5 >= 1) or ((TestIdentity_6_1_5 >= 1) or ((TestIdentity_6_3_3 >= 1) or ((TestIdentity_5_3_3 >= 1) or ((TestIdentity_1_3_4 >= 1) or ((TestIdentity_0_3_4 >= 1) or ((TestIdentity_3_3_4 >= 1) or ((TestIdentity_2_3_4 >= 1) or ((TestIdentity_6_3_4 >= 1) or ((TestIdentity_5_3_4 >= 1) or ((TestIdentity_1_3_5 >= 1) or ((TestIdentity_0_3_5 >= 1) or ((TestIdentity_3_3_5 >= 1) or ((TestIdentity_2_3_5 >= 1) or ((TestIdentity_6_3_5 >= 1) or ((TestIdentity_4_3_5 >= 1) or ((TestIdentity_1_3_6 >= 1) or ((TestIdentity_0_3_6 >= 1) or ((TestIdentity_2_3_6 >= 1) or ((TestIdentity_3_3_6 >= 1) or ((TestIdentity_4_3_6 >= 1) or ((TestIdentity_5_3_6 >= 1) or ((TestIdentity_1_4_0 >= 1) or ((TestIdentity_2_4_0 >= 1) or ((TestIdentity_3_4_0 >= 1) or ((TestIdentity_4_4_0 >= 1) or ((TestIdentity_5_4_0 >= 1) or ((TestIdentity_6_4_0 >= 1) or ((TestIdentity_0_4_1 >= 1) or ((TestIdentity_2_4_1 >= 1) or ((TestIdentity_3_4_1 >= 1) or ((TestIdentity_4_4_1 >= 1) or ((TestIdentity_5_4_1 >= 1) or ((TestIdentity_6_4_1 >= 1) or ((TestIdentity_6_2_5 >= 1) or ((TestIdentity_4_2_5 >= 1) or ((TestIdentity_3_2_5 >= 1) or ((TestIdentity_2_2_5 >= 1) or ((TestIdentity_3_2_6 >= 1) or ((TestIdentity_2_2_6 >= 1) or ((TestIdentity_1_2_6 >= 1) or ((TestIdentity_0_2_6 >= 1) or ((TestIdentity_2_3_0 >= 1) or ((TestIdentity_1_3_0 >= 1) or ((TestIdentity_5_2_6 >= 1) or ((TestIdentity_4_2_6 >= 1) or ((TestIdentity_6_3_0 >= 1) or ((TestIdentity_5_3_0 >= 1) or ((TestIdentity_4_3_0 >= 1) or ((TestIdentity_3_3_0 >= 1) or ((TestIdentity_3_3_1 >= 1) or ((TestIdentity_4_3_1 >= 1) or ((TestIdentity_0_3_1 >= 1) or ((TestIdentity_2_3_1 >= 1) or ((TestIdentity_0_3_2 >= 1) or ((TestIdentity_1_3_2 >= 1) or ((TestIdentity_5_3_1 >= 1) or ((TestIdentity_6_3_1 >= 1) or ((TestIdentity_5_3_2 >= 1) or ((TestIdentity_6_3_2 >= 1) or ((TestIdentity_3_3_2 >= 1) or ((TestIdentity_4_3_2 >= 1) or ((TestIdentity_2_3_3 >= 1) or ((TestIdentity_4_3_3 >= 1) or ((TestIdentity_0_3_3 >= 1) or ((TestIdentity_1_3_3 >= 1) or ((TestIdentity_3_5_2 >= 1) or ((TestIdentity_4_5_2 >= 1) or ((TestIdentity_5_5_2 >= 1) or ((TestIdentity_6_5_2 >= 1) or ((TestIdentity_5_5_1 >= 1) or ((TestIdentity_6_5_1 >= 1) or ((TestIdentity_0_5_2 >= 1) or ((TestIdentity_1_5_2 >= 1) or ((TestIdentity_0_5_1 >= 1) or ((TestIdentity_2_5_1 >= 1) or ((TestIdentity_3_5_1 >= 1) or ((TestIdentity_4_5_1 >= 1) or ((TestIdentity_3_5_0 >= 1) or ((TestIdentity_4_5_0 >= 1) or ((TestIdentity_5_5_0 >= 1) or ((TestIdentity_6_5_0 >= 1) or ((TestIdentity_1_5_5 >= 1) or ((TestIdentity_0_5_5 >= 1) or ((TestIdentity_3_5_5 >= 1) or ((TestIdentity_2_5_5 >= 1) or ((TestIdentity_3_5_4 >= 1) or ((TestIdentity_2_5_4 >= 1) or ((TestIdentity_6_5_4 >= 1) or ((TestIdentity_5_5_4 >= 1) or ((TestIdentity_6_5_3 >= 1) or ((TestIdentity_5_5_3 >= 1) or ((TestIdentity_1_5_4 >= 1) or ((TestIdentity_0_5_4 >= 1) or ((TestIdentity_1_5_3 >= 1) or ((TestIdentity_0_5_3 >= 1) or ((TestIdentity_4_5_3 >= 1) or ((TestIdentity_2_5_3 >= 1) or ((TestIdentity_2_4_4 >= 1) or ((TestIdentity_3_4_4 >= 1) or ((TestIdentity_0_4_4 >= 1) or ((TestIdentity_1_4_4 >= 1) or ((TestIdentity_5_4_3 >= 1) or ((TestIdentity_6_4_3 >= 1) or ((TestIdentity_2_4_3 >= 1) or ((TestIdentity_4_4_3 >= 1) or ((TestIdentity_0_4_3 >= 1) or ((TestIdentity_1_4_3 >= 1) or ((TestIdentity_5_4_2 >= 1) or ((TestIdentity_6_4_2 >= 1) or ((TestIdentity_3_4_2 >= 1) or ((TestIdentity_4_4_2 >= 1) or ((TestIdentity_0_4_2 >= 1) or ((TestIdentity_1_4_2 >= 1) or ((TestIdentity_2_5_0 >= 1) or ((TestIdentity_1_5_0 >= 1) or ((TestIdentity_5_4_6 >= 1) or ((TestIdentity_4_4_6 >= 1) or ((TestIdentity_3_4_6 >= 1) or ((TestIdentity_2_4_6 >= 1) or ((TestIdentity_1_4_6 >= 1) or ((TestIdentity_0_4_6 >= 1) or ((TestIdentity_6_4_5 >= 1) or ((TestIdentity_4_4_5 >= 1) or ((TestIdentity_3_4_5 >= 1) or ((TestIdentity_2_4_5 >= 1) or ((TestIdentity_1_4_5 >= 1) or ((TestIdentity_0_4_5 >= 1) or ((TestIdentity_6_4_4 >= 1) or ((TestIdentity_5_4_4 >= 1) or ((TestIdentity_0_5_6 >= 1) or ((TestIdentity_1_5_6 >= 1) or ((TestIdentity_4_5_5 >= 1) or ((TestIdentity_6_5_5 >= 1) or ((TestIdentity_4_5_6 >= 1) or ((TestIdentity_5_5_6 >= 1) or ((TestIdentity_2_5_6 >= 1) or ((TestIdentity_3_5_6 >= 1) or ((TestIdentity_4_0_1 >= 1) or ((TestIdentity_3_0_1 >= 1) or ((TestIdentity_6_0_1 >= 1) or ((TestIdentity_5_0_1 >= 1) or ((TestIdentity_6_0_0 >= 1) or ((TestIdentity_5_0_0 >= 1) or ((TestIdentity_2_0_1 >= 1) or ((TestIdentity_0_0_1 >= 1) or ((TestIdentity_6_0_2 >= 1) or ((TestIdentity_5_0_2 >= 1) or ((TestIdentity_1_0_3 >= 1) or ((TestIdentity_0_0_3 >= 1) or ((TestIdentity_1_0_2 >= 1) or ((TestIdentity_0_0_2 >= 1) or ((TestIdentity_4_0_2 >= 1) or ((TestIdentity_3_0_2 >= 1) or ((TestIdentity_1_0_0 >= 1) or ((TestIdentity_2_0_0 >= 1) or ((TestIdentity_3_0_0 >= 1) or ((TestIdentity_4_0_0 >= 1) or ((TestIdentity_2_1_0 >= 1) or ((TestIdentity_1_1_0 >= 1) or ((TestIdentity_5_0_6 >= 1) or ((TestIdentity_4_0_6 >= 1) or ((TestIdentity_3_0_6 >= 1) or ((TestIdentity_2_0_6 >= 1) or ((TestIdentity_1_0_6 >= 1) or ((TestIdentity_0_0_6 >= 1) or ((TestIdentity_4_1_1 >= 1) or ((TestIdentity_3_1_1 >= 1) or ((TestIdentity_2_1_1 >= 1) or ((TestIdentity_0_1_1 >= 1) or ((TestIdentity_6_1_0 >= 1) or ((TestIdentity_5_1_0 >= 1) or ((TestIdentity_4_1_0 >= 1) or ((TestIdentity_3_1_0 >= 1) or ((TestIdentity_2_0_4 >= 1) or ((TestIdentity_3_0_4 >= 1) or ((TestIdentity_0_0_4 >= 1) or ((TestIdentity_1_0_4 >= 1) or ((TestIdentity_5_0_3 >= 1) or ((TestIdentity_6_0_3 >= 1) or ((TestIdentity_2_0_3 >= 1) or ((TestIdentity_4_0_3 >= 1) or ((TestIdentity_4_0_5 >= 1) or ((TestIdentity_6_0_5 >= 1) or ((TestIdentity_2_0_5 >= 1) or ((TestIdentity_3_0_5 >= 1) or ((TestIdentity_0_0_5 >= 1) or ((TestIdentity_1_0_5 >= 1) or ((TestIdentity_5_0_4 >= 1) or (TestIdentity_6_0_4 >= 1)))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))) and ((EndTurn_0_5 >= 1) or ((EndTurn_1_5 >= 1) or ((EndTurn_2_5 >= 1) or ((EndTurn_3_5 >= 1) or ((EndTurn_4_5 >= 1) or ((EndTurn_5_5 >= 1) or (EndTurn_6_5 >= 1)))))))))))
Query size reduced from 6916 to 6916 nodes (0.00 percent reduction).
Query reduction reached timeout.
Size of net before structural reductions: 1330 places, 2030 transitions
Size of net after structural reductions: 1330 places, 2030 transitions
Structural reduction finished after 0.031132 seconds

Net reduction is enabled.
Removed transitions: 0
Removed places: 0
Applications of rule A: 0
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0
No solution found
------------------- QUERY 11 ----------------------
Running query 11 for 1101 seconds. Remaining: 1 queries and 1099 seconds

Parameters: -s DFS -d 100 -q 100 ./model.pnml ./ReachabilityFireability.xml -x 11

Search=DFS,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=100,Stubborn_Reduction=ENABLED,Query_Simplication=ENABLED,QSTimeout=100,Siphon_Trap=DISABLED,LPSolve_Timeout=10

Query before reduction: EF ((((WantSection_6_F >= 1) and (TestAlone_4_3_6 >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_3_3_6 >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_1_4_0 >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_5_3_6 >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_3_4_0 >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_2_4_0 >= 1)) or (((TestAlone_5_4_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_4_4_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_0_4_1 >= 1) and (WantSection_1_F >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_6_4_0 >= 1)) or (((TestAlone_3_4_1 >= 1) and (WantSection_1_F >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_2_4_1 >= 1)) or (((TestAlone_5_4_1 >= 1) and (WantSection_1_F >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_4_4_1 >= 1)) or (((TestAlone_0_4_2 >= 1) and (WantSection_2_F >= 1)) or (((TestAlone_6_4_1 >= 1) and (WantSection_1_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_1_4_2 >= 1)) or (((TestAlone_3_4_2 >= 1) and (WantSection_2_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_4_4_2 >= 1)) or (((TestAlone_5_4_2 >= 1) and (WantSection_2_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_6_4_2 >= 1)) or (((TestAlone_0_4_3 >= 1) and (WantSection_3_F >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_1_4_3 >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_2_4_3 >= 1)) or (((TestAlone_4_4_3 >= 1) and (WantSection_3_F >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_5_4_3 >= 1)) or (((TestAlone_6_4_3 >= 1) and (WantSection_3_F >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_0_4_4 >= 1)) or (((TestAlone_1_4_4 >= 1) and (WantSection_4_F >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_2_4_4 >= 1)) or (((TestAlone_3_4_4 >= 1) and (WantSection_4_F >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_5_4_4 >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_2_4_5 >= 1)) or (((TestAlone_1_4_5 >= 1) and (WantSection_5_F >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_0_4_5 >= 1)) or (((TestAlone_6_4_4 >= 1) and (WantSection_4_F >= 1)) or (((TestAlone_0_4_6 >= 1) and (WantSection_6_F >= 1)) or (((TestAlone_6_4_5 >= 1) and (WantSection_5_F >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_4_4_5 >= 1)) or (((TestAlone_3_4_5 >= 1) and (WantSection_5_F >= 1)) or (((TestAlone_4_4_6 >= 1) and (WantSection_6_F >= 1)) or (((TestAlone_3_4_6 >= 1) and (WantSection_6_F >= 1)) or (((TestAlone_2_4_6 >= 1) and (WantSection_6_F >= 1)) or (((TestAlone_1_4_6 >= 1) and (WantSection_6_F >= 1)) or (((TestAlone_3_5_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_2_5_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_1_5_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_5_4_6 >= 1) and (WantSection_6_F >= 1)) or (((TestAlone_6_5_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_0_5_1 >= 1) and (WantSection_1_F >= 1)) or (((TestAlone_4_5_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_5_5_0 >= 1) and (WantSection_0_F >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_4_5_1 >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_5_5_1 >= 1)) or (((TestAlone_2_5_1 >= 1) and (WantSection_1_F >= 1)) or (((TestAlone_3_5_1 >= 1) and (WantSection_1_F >= 1)) or (((TestAlone_1_5_2 >= 1) and (WantSection_2_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_3_5_2 >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_6_5_1 >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_0_5_2 >= 1)) or (((TestAlone_6_5_2 >= 1) and (WantSection_2_F >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_0_5_3 >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_4_5_2 >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_5_5_2 >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_0_5_4 >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_6_5_3 >= 1)) or (((TestAlone_2_5_4 >= 1) and (WantSection_4_F >= 1)) or (((TestAlone_1_5_4 >= 1) and (WantSection_4_F >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_2_5_3 >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_1_5_3 >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_5_5_3 >= 1)) or (((TestAlone_4_5_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_2_5_5 >= 1) and (WantSection_5_F >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_1_5_5 >= 1)) or (((TestAlone_4_5_5 >= 1) and (WantSection_5_F >= 1)) or (((TestAlone_3_5_5 >= 1) and (WantSection_5_F >= 1)) or (((TestAlone_5_5_4 >= 1) and (WantSection_4_F >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_3_5_4 >= 1)) or (((TestAlone_0_5_5 >= 1) and (WantSection_5_F >= 1)) or (((TestAlone_6_5_4 >= 1) and (WantSection_4_F >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_3_5_6 >= 1)) or (((TestAlone_4_5_6 >= 1) and (WantSection_6_F >= 1)) or (((TestAlone_5_5_6 >= 1) and (WantSection_6_F >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_6_5_5 >= 1)) or (((TestAlone_0_5_6 >= 1) and (WantSection_6_F >= 1)) or (((TestAlone_1_5_6 >= 1) and (WantSection_6_F >= 1)) or (((TestAlone_2_5_6 >= 1) and (WantSection_6_F >= 1)) or (((TestAlone_5_1_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_4_1_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_2_1_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_1_1_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_2_1_4 >= 1) and (WantSection_4_F >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_1_1_4 >= 1)) or (((TestAlone_0_1_4 >= 1) and (WantSection_4_F >= 1)) or (((TestAlone_6_1_3 >= 1) and (WantSection_3_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_3_1_2 >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_1_1_2 >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_0_1_2 >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_6_1_1 >= 1)) or (((TestAlone_0_1_3 >= 1) and (WantSection_3_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_6_1_2 >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_5_1_2 >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_4_1_2 >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_6_1_0 >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_0_1_1 >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_4_1_0 >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_5_1_0 >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_4_1_1 >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_5_1_1 >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_2_1_1 >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_3_1_1 >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_3_0_6 >= 1)) or (((TestAlone_4_0_6 >= 1) and (WantSection_6_F >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_1_0_6 >= 1)) or (((TestAlone_2_0_6 >= 1) and (WantSection_6_F >= 1)) or (((TestAlone_2_1_0 >= 1) and (WantSection_0_F >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_3_1_0 >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_5_0_6 >= 1)) or (((TestAlone_1_1_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_5_2_1 >= 1) and (WantSection_1_F >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_4_2_1 >= 1)) or (((TestAlone_0_2_2 >= 1) and (WantSection_2_F >= 1)) or (((TestAlone_6_2_1 >= 1) and (WantSection_1_F >= 1)) or (((TestAlone_3_2_2 >= 1) and (WantSection_2_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_1_2_2 >= 1)) or (((TestAlone_5_2_2 >= 1) and (WantSection_2_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_4_2_2 >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_3_2_0 >= 1)) or (((TestAlone_2_2_0 >= 1) and (WantSection_0_F >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_5_2_0 >= 1)) or (((TestAlone_4_2_0 >= 1) and (WantSection_0_F >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_0_2_1 >= 1)) or (((TestAlone_6_2_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_3_2_1 >= 1) and (WantSection_1_F >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_2_2_1 >= 1)) or (((TestAlone_6_1_5 >= 1) and (WantSection_5_F >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_0_1_6 >= 1)) or (((TestAlone_1_1_6 >= 1) and (WantSection_6_F >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_2_1_6 >= 1)) or (((TestAlone_3_1_6 >= 1) and (WantSection_6_F >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_4_1_6 >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_5_1_6 >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_1_2_0 >= 1)) or (((TestAlone_3_1_4 >= 1) and (WantSection_4_F >= 1)) or (((TestAlone_5_1_4 >= 1) and (WantSection_4_F >= 1)) or (((TestAlone_6_1_4 >= 1) and (WantSection_4_F >= 1)) or (((TestAlone_0_1_5 >= 1) and (WantSection_5_F >= 1)) or (((TestAlone_1_1_5 >= 1) and (WantSection_5_F >= 1)) or (((TestAlone_2_1_5 >= 1) and (WantSection_5_F >= 1)) or (((TestAlone_3_1_5 >= 1) and (WantSection_5_F >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_4_1_5 >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_0_3_1 >= 1)) or (((TestAlone_6_3_0 >= 1) and (WantSection_0_F >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_5_3_0 >= 1)) or (((TestAlone_4_3_0 >= 1) and (WantSection_0_F >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_3_3_0 >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_2_3_0 >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_1_3_0 >= 1)) or (((TestAlone_5_2_6 >= 1) and (WantSection_6_F >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_4_2_6 >= 1)) or (((TestAlone_3_2_6 >= 1) and (WantSection_6_F >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_2_2_6 >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_1_2_6 >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_0_2_6 >= 1)) or (((TestAlone_6_2_5 >= 1) and (WantSection_5_F >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_4_2_5 >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_3_2_5 >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_1_2_5 >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_2_2_5 >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_6_2_4 >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_0_2_5 >= 1)) or (((TestAlone_3_2_4 >= 1) and (WantSection_4_F >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_5_2_4 >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_1_2_4 >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_2_2_4 >= 1)) or (((TestAlone_6_2_3 >= 1) and (WantSection_3_F >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_0_2_4 >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_4_2_3 >= 1)) or (((TestAlone_5_2_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_1_2_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_2_2_3 >= 1) and (WantSection_3_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_6_2_2 >= 1)) or (((TestAlone_0_2_3 >= 1) and (WantSection_3_F >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_0_3_6 >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_6_3_5 >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_2_3_6 >= 1)) or (((TestAlone_1_3_6 >= 1) and (WantSection_6_F >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_2_3_5 >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_1_3_5 >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_4_3_5 >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_3_3_5 >= 1)) or (((TestAlone_5_3_4 >= 1) and (WantSection_4_F >= 1)) or (((TestAlone_3_3_4 >= 1) and (WantSection_4_F >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_0_3_5 >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_6_3_4 >= 1)) or (((TestAlone_0_3_4 >= 1) and (WantSection_4_F >= 1)) or (((TestAlone_6_3_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_2_3_4 >= 1) and (WantSection_4_F >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_1_3_4 >= 1)) or (((TestAlone_1_3_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_2_3_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_4_3_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_5_3_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_4_3_2 >= 1) and (WantSection_2_F >= 1)) or (((TestAlone_5_3_2 >= 1) and (WantSection_2_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_6_3_2 >= 1)) or (((TestAlone_0_3_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_6_3_1 >= 1) and (WantSection_1_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_0_3_2 >= 1)) or (((TestAlone_1_3_2 >= 1) and (WantSection_2_F >= 1)) or (((TestAlone_3_3_2 >= 1) and (WantSection_2_F >= 1)) or (((TestAlone_2_3_1 >= 1) and (WantSection_1_F >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_3_3_1 >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_4_3_1 >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_5_3_1 >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_4_0_0 >= 1)) or (((TestAlone_5_0_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_2_0_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_3_0_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_1_0_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_1_0_4 >= 1) and (WantSection_4_F >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_2_0_4 >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_3_0_4 >= 1)) or (((TestAlone_5_0_4 >= 1) and (WantSection_4_F >= 1)) or (((TestAlone_4_0_3 >= 1) and (WantSection_3_F >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_5_0_3 >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_6_0_3 >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_0_0_4 >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_3_0_5 >= 1)) or (((TestAlone_4_0_5 >= 1) and (WantSection_5_F >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_6_0_5 >= 1)) or (((TestAlone_0_0_6 >= 1) and (WantSection_6_F >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_6_0_4 >= 1)) or (((TestAlone_0_0_5 >= 1) and (WantSection_5_F >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_1_0_5 >= 1)) or (((TestAlone_2_0_5 >= 1) and (WantSection_5_F >= 1)) or (((TestAlone_5_0_1 >= 1) and (WantSection_1_F >= 1)) or (((TestAlone_4_0_1 >= 1) and (WantSection_1_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_0_0_2 >= 1)) or (((TestAlone_6_0_1 >= 1) and (WantSection_1_F >= 1)) or (((TestAlone_0_0_1 >= 1) and (WantSection_1_F >= 1)) or (((TestAlone_6_0_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_3_0_1 >= 1) and (WantSection_1_F >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_2_0_1 >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_0_0_3 >= 1)) or (((TestAlone_6_0_2 >= 1) and (WantSection_2_F >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_2_0_3 >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_1_0_3 >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_3_0_2 >= 1)) or (((TestAlone_1_0_2 >= 1) and (WantSection_2_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_5_0_2 >= 1)) or ((WantSection_2_F >= 1) and (TestAlone_4_0_2 >= 1))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))) and (not ((((BeginLoop_6_5_6 >= 1) or ((BeginLoop_0_5_6 >= 1) or ((BeginLoop_1_5_6 >= 1) or ((BeginLoop_5_5_5 >= 1) or ((BeginLoop_6_5_5 >= 1) or ((BeginLoop_4_5_6 >= 1) or ((BeginLoop_5_5_6 >= 1) or ((BeginLoop_2_5_6 >= 1) or ((BeginLoop_3_5_6 >= 1) or ((BeginLoop_0_5_5 >= 1) or ((BeginLoop_6_5_4 >= 1) or ((BeginLoop_5_5_4 >= 1) or ((BeginLoop_4_5_4 >= 1) or ((BeginLoop_4_5_5 >= 1) or ((BeginLoop_3_5_5 >= 1) or ((BeginLoop_2_5_5 >= 1) or ((BeginLoop_1_5_5 >= 1) or ((BeginLoop_6_5_3 >= 1) or ((BeginLoop_5_5_3 >= 1) or ((BeginLoop_4_5_3 >= 1) or ((BeginLoop_3_5_3 >= 1) or ((BeginLoop_3_5_4 >= 1) or ((BeginLoop_2_5_4 >= 1) or ((BeginLoop_1_5_4 >= 1) or ((BeginLoop_0_5_4 >= 1) or ((BeginLoop_2_5_2 >= 1) or ((BeginLoop_3_5_2 >= 1) or ((BeginLoop_4_5_2 >= 1) or ((BeginLoop_5_5_2 >= 1) or ((BeginLoop_6_5_2 >= 1) or ((BeginLoop_0_5_3 >= 1) or ((BeginLoop_1_5_3 >= 1) or ((BeginLoop_2_5_3 >= 1) or ((BeginLoop_1_5_1 >= 1) or ((BeginLoop_2_5_1 >= 1) or ((BeginLoop_3_5_1 >= 1) or ((BeginLoop_4_5_1 >= 1) or ((BeginLoop_5_5_1 >= 1) or ((BeginLoop_6_5_1 >= 1) or ((BeginLoop_0_5_2 >= 1) or ((BeginLoop_1_5_2 >= 1) or ((BeginLoop_1_5_0 >= 1) or ((BeginLoop_0_5_0 >= 1) or ((BeginLoop_3_5_0 >= 1) or ((BeginLoop_2_5_0 >= 1) or ((BeginLoop_5_5_0 >= 1) or ((BeginLoop_4_5_0 >= 1) or ((BeginLoop_0_5_1 >= 1) or ((BeginLoop_6_5_0 >= 1) or ((BeginLoop_0_4_6 >= 1) or ((BeginLoop_6_4_5 >= 1) or ((BeginLoop_2_4_6 >= 1) or ((BeginLoop_1_4_6 >= 1) or ((BeginLoop_4_4_6 >= 1) or ((BeginLoop_3_4_6 >= 1) or ((BeginLoop_6_4_6 >= 1) or ((BeginLoop_5_4_6 >= 1) or ((BeginLoop_4_4_5 >= 1) or ((BeginLoop_5_4_5 >= 1) or ((BeginLoop_2_4_5 >= 1) or ((BeginLoop_3_4_5 >= 1) or ((BeginLoop_0_4_5 >= 1) or ((BeginLoop_1_4_5 >= 1) or ((BeginLoop_5_4_4 >= 1) or ((BeginLoop_6_4_4 >= 1) or ((BeginLoop_3_4_4 >= 1) or ((BeginLoop_4_4_4 >= 1) or ((BeginLoop_1_4_4 >= 1) or ((BeginLoop_2_4_4 >= 1) or ((BeginLoop_6_4_3 >= 1) or ((BeginLoop_0_4_4 >= 1) or ((BeginLoop_4_4_3 >= 1) or ((BeginLoop_5_4_3 >= 1) or ((BeginLoop_3_4_3 >= 1) or ((BeginLoop_2_4_3 >= 1) or ((BeginLoop_1_4_3 >= 1) or ((BeginLoop_0_4_3 >= 1) or ((BeginLoop_6_4_2 >= 1) or ((BeginLoop_5_4_2 >= 1) or ((BeginLoop_4_4_2 >= 1) or ((BeginLoop_3_4_2 >= 1) or ((BeginLoop_2_4_2 >= 1) or ((BeginLoop_1_4_2 >= 1) or ((BeginLoop_0_4_2 >= 1) or ((BeginLoop_6_4_1 >= 1) or ((BeginLoop_5_4_1 >= 1) or ((BeginLoop_4_4_1 >= 1) or ((BeginLoop_3_4_1 >= 1) or ((BeginLoop_2_4_1 >= 1) or ((BeginLoop_5_4_0 >= 1) or ((BeginLoop_6_4_0 >= 1) or ((BeginLoop_0_4_1 >= 1) or ((BeginLoop_1_4_1 >= 1) or ((BeginLoop_1_4_0 >= 1) or ((BeginLoop_2_4_0 >= 1) or ((BeginLoop_3_4_0 >= 1) or ((BeginLoop_4_4_0 >= 1) or ((BeginLoop_4_3_6 >= 1) or ((BeginLoop_5_3_6 >= 1) or ((BeginLoop_6_3_6 >= 1) or ((BeginLoop_0_4_0 >= 1) or ((BeginLoop_0_3_6 >= 1) or ((BeginLoop_1_3_6 >= 1) or ((BeginLoop_2_3_6 >= 1) or ((BeginLoop_3_3_6 >= 1) or ((BeginLoop_4_3_5 >= 1) or ((BeginLoop_3_3_5 >= 1) or ((BeginLoop_6_3_5 >= 1) or ((BeginLoop_5_3_5 >= 1) or ((BeginLoop_0_3_5 >= 1) or ((BeginLoop_6_3_4 >= 1) or ((BeginLoop_2_3_5 >= 1) or ((BeginLoop_1_3_5 >= 1) or ((BeginLoop_3_3_4 >= 1) or ((BeginLoop_2_3_4 >= 1) or ((BeginLoop_5_3_4 >= 1) or ((BeginLoop_4_3_4 >= 1) or ((BeginLoop_6_3_3 >= 1) or ((BeginLoop_5_3_3 >= 1) or ((BeginLoop_1_3_4 >= 1) or ((BeginLoop_0_3_4 >= 1) or ((BeginLoop_1_0_3 >= 1) or ((BeginLoop_0_0_3 >= 1) or ((BeginLoop_3_0_3 >= 1) or ((BeginLoop_2_0_3 >= 1) or ((BeginLoop_5_0_3 >= 1) or ((BeginLoop_4_0_3 >= 1) or ((BeginLoop_0_0_4 >= 1) or ((BeginLoop_6_0_3 >= 1) or ((BeginLoop_0_0_2 >= 1) or ((BeginLoop_6_0_1 >= 1) or ((BeginLoop_2_0_2 >= 1) or ((BeginLoop_1_0_2 >= 1) or ((BeginLoop_4_0_2 >= 1) or ((BeginLoop_3_0_2 >= 1) or ((BeginLoop_6_0_2 >= 1) or ((BeginLoop_5_0_2 >= 1) or ((BeginLoop_2_0_5 >= 1) or ((BeginLoop_3_0_5 >= 1) or ((BeginLoop_4_0_5 >= 1) or ((BeginLoop_5_0_5 >= 1) or ((BeginLoop_6_0_5 >= 1) or ((BeginLoop_0_0_6 >= 1) or ((BeginLoop_1_0_6 >= 1) or ((BeginLoop_2_0_6 >= 1) or ((BeginLoop_1_0_4 >= 1) or ((BeginLoop_2_0_4 >= 1) or ((BeginLoop_3_0_4 >= 1) or ((BeginLoop_4_0_4 >= 1) or ((BeginLoop_5_0_4 >= 1) or ((BeginLoop_6_0_4 >= 1) or ((BeginLoop_0_0_5 >= 1) or ((BeginLoop_1_0_5 >= 1) or ((BeginLoop_0_0_1 >= 1) or ((BeginLoop_1_0_1 >= 1) or ((BeginLoop_5_0_0 >= 1) or ((BeginLoop_6_0_0 >= 1) or ((BeginLoop_4_0_1 >= 1) or ((BeginLoop_5_0_1 >= 1) or ((BeginLoop_2_0_1 >= 1) or ((BeginLoop_3_0_1 >= 1) or ((BeginLoop_0_0_0 >= 1) or ((BeginLoop_3_0_0 >= 1) or ((BeginLoop_4_0_0 >= 1) or ((BeginLoop_1_0_0 >= 1) or ((BeginLoop_2_0_0 >= 1) or ((BeginLoop_1_2_6 >= 1) or ((BeginLoop_2_2_6 >= 1) or ((BeginLoop_3_2_6 >= 1) or ((BeginLoop_4_2_6 >= 1) or ((BeginLoop_5_2_6 >= 1) or ((BeginLoop_6_2_6 >= 1) or ((BeginLoop_0_3_0 >= 1) or ((BeginLoop_1_3_0 >= 1) or ((BeginLoop_2_3_0 >= 1) or ((BeginLoop_3_3_0 >= 1) or ((BeginLoop_4_3_0 >= 1) or ((BeginLoop_5_3_0 >= 1) or ((BeginLoop_6_3_0 >= 1) or ((BeginLoop_0_3_1 >= 1) or ((BeginLoop_1_3_1 >= 1) or ((BeginLoop_2_3_1 >= 1) or ((BeginLoop_4_3_1 >= 1) or ((BeginLoop_3_3_1 >= 1) or ((BeginLoop_6_3_1 >= 1) or ((BeginLoop_5_3_1 >= 1) or ((BeginLoop_1_3_2 >= 1) or ((BeginLoop_0_3_2 >= 1) or ((BeginLoop_3_3_2 >= 1) or ((BeginLoop_2_3_2 >= 1) or ((BeginLoop_5_3_2 >= 1) or ((BeginLoop_4_3_2 >= 1) or ((BeginLoop_0_3_3 >= 1) or ((BeginLoop_6_3_2 >= 1) or ((BeginLoop_2_3_3 >= 1) or ((BeginLoop_1_3_3 >= 1) or ((BeginLoop_4_3_3 >= 1) or ((BeginLoop_3_3_3 >= 1) or ((BeginLoop_6_2_1 >= 1) or ((BeginLoop_0_2_2 >= 1) or ((BeginLoop_4_2_1 >= 1) or ((BeginLoop_5_2_1 >= 1) or ((BeginLoop_3_2_2 >= 1) or ((BeginLoop_4_2_2 >= 1) or ((BeginLoop_1_2_2 >= 1) or ((BeginLoop_2_2_2 >= 1) or ((BeginLoop_0_2_3 >= 1) or ((BeginLoop_1_2_3 >= 1) or ((BeginLoop_5_2_2 >= 1) or ((BeginLoop_6_2_2 >= 1) or ((BeginLoop_4_2_3 >= 1) or ((BeginLoop_5_2_3 >= 1) or ((BeginLoop_2_2_3 >= 1) or ((BeginLoop_3_2_3 >= 1) or ((BeginLoop_2_2_4 >= 1) or ((BeginLoop_1_2_4 >= 1) or ((BeginLoop_0_2_4 >= 1) or ((BeginLoop_6_2_3 >= 1) or ((BeginLoop_6_2_4 >= 1) or ((BeginLoop_5_2_4 >= 1) or ((BeginLoop_4_2_4 >= 1) or ((BeginLoop_3_2_4 >= 1) or ((BeginLoop_3_2_5 >= 1) or ((BeginLoop_2_2_5 >= 1) or ((BeginLoop_1_2_5 >= 1) or ((BeginLoop_0_2_5 >= 1) or ((BeginLoop_0_2_6 >= 1) or ((BeginLoop_6_2_5 >= 1) or ((BeginLoop_5_2_5 >= 1) or ((BeginLoop_4_2_5 >= 1) or ((BeginLoop_4_1_4 >= 1) or ((BeginLoop_5_1_4 >= 1) or ((BeginLoop_6_1_4 >= 1) or ((BeginLoop_0_1_5 >= 1) or ((BeginLoop_0_1_4 >= 1) or ((BeginLoop_1_1_4 >= 1) or ((BeginLoop_2_1_4 >= 1) or ((BeginLoop_3_1_4 >= 1) or ((BeginLoop_5_1_5 >= 1) or ((BeginLoop_6_1_5 >= 1) or ((BeginLoop_0_1_6 >= 1) or ((BeginLoop_1_1_6 >= 1) or ((BeginLoop_1_1_5 >= 1) or ((BeginLoop_2_1_5 >= 1) or ((BeginLoop_3_1_5 >= 1) or ((BeginLoop_4_1_5 >= 1) or ((BeginLoop_0_2_0 >= 1) or ((BeginLoop_6_1_6 >= 1) or ((BeginLoop_2_2_0 >= 1) or ((BeginLoop_1_2_0 >= 1) or ((BeginLoop_3_1_6 >= 1) or ((BeginLoop_2_1_6 >= 1) or ((BeginLoop_5_1_6 >= 1) or ((BeginLoop_4_1_6 >= 1) or ((BeginLoop_1_2_1 >= 1) or ((BeginLoop_0_2_1 >= 1) or ((BeginLoop_3_2_1 >= 1) or ((BeginLoop_2_2_1 >= 1) or ((BeginLoop_4_2_0 >= 1) or ((BeginLoop_3_2_0 >= 1) or ((BeginLoop_6_2_0 >= 1) or ((BeginLoop_5_2_0 >= 1) or ((BeginLoop_2_1_0 >= 1) or ((BeginLoop_3_1_0 >= 1) or ((BeginLoop_0_1_0 >= 1) or ((BeginLoop_1_1_0 >= 1) or ((BeginLoop_5_0_6 >= 1) or ((BeginLoop_6_0_6 >= 1) or ((BeginLoop_3_0_6 >= 1) or ((BeginLoop_4_0_6 >= 1) or ((BeginLoop_3_1_1 >= 1) or ((BeginLoop_4_1_1 >= 1) or ((BeginLoop_1_1_1 >= 1) or ((BeginLoop_2_1_1 >= 1) or ((BeginLoop_6_1_0 >= 1) or ((BeginLoop_0_1_1 >= 1) or ((BeginLoop_4_1_0 >= 1) or ((BeginLoop_5_1_0 >= 1) or ((BeginLoop_5_1_2 >= 1) or ((BeginLoop_4_1_2 >= 1) or ((BeginLoop_3_1_2 >= 1) or ((BeginLoop_2_1_2 >= 1) or ((BeginLoop_1_1_2 >= 1) or ((BeginLoop_0_1_2 >= 1) or ((BeginLoop_6_1_1 >= 1) or ((BeginLoop_5_1_1 >= 1) or ((BeginLoop_6_1_3 >= 1) or ((BeginLoop_5_1_3 >= 1) or ((BeginLoop_4_1_3 >= 1) or ((BeginLoop_3_1_3 >= 1) or ((BeginLoop_2_1_3 >= 1) or ((BeginLoop_1_1_3 >= 1) or ((BeginLoop_0_1_3 >= 1) or (BeginLoop_6_1_2 >= 1)))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))) and (((TestAlone_4_5_5 >= 1) and (WantSection_5_T >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_3_5_5 >= 1)) or (((TestAlone_2_5_5 >= 1) and (WantSection_5_T >= 1)) or (((TestAlone_1_5_5 >= 1) and (WantSection_5_T >= 1)) or (((TestAlone_2_5_6 >= 1) and (WantSection_6_T >= 1)) or (((TestAlone_1_5_6 >= 1) and (WantSection_6_T >= 1)) or (((TestAlone_0_5_6 >= 1) and (WantSection_6_T >= 1)) or (((TestAlone_6_5_5 >= 1) and (WantSection_5_T >= 1)) or (((TestAlone_2_5_4 >= 1) and (WantSection_4_T >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_1_5_4 >= 1)) or (((TestAlone_0_5_4 >= 1) and (WantSection_4_T >= 1)) or (((TestAlone_6_5_3 >= 1) and (WantSection_3_T >= 1)) or (((TestAlone_0_5_5 >= 1) and (WantSection_5_T >= 1)) or (((TestAlone_6_5_4 >= 1) and (WantSection_4_T >= 1)) or (((TestAlone_5_5_4 >= 1) and (WantSection_4_T >= 1)) or (((TestAlone_3_5_4 >= 1) and (WantSection_4_T >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_5_5_6 >= 1)) or (((TestAlone_3_5_6 >= 1) and (WantSection_6_T >= 1)) or (((TestAlone_4_5_6 >= 1) and (WantSection_6_T >= 1)) or (((TestAlone_5_5_0 >= 1) and (WantSection_0_T >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_4_5_0 >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_0_5_1 >= 1)) or (((TestAlone_6_5_0 >= 1) and (WantSection_0_T >= 1)) or (((TestAlone_1_5_0 >= 1) and (WantSection_0_T >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_5_4_6 >= 1)) or (((TestAlone_3_5_0 >= 1) and (WantSection_0_T >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_2_5_0 >= 1)) or (((TestAlone_2_4_6 >= 1) and (WantSection_6_T >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_1_4_6 >= 1)) or (((TestAlone_4_4_6 >= 1) and (WantSection_6_T >= 1)) or (((TestAlone_3_4_6 >= 1) and (WantSection_6_T >= 1)) or (((TestAlone_4_4_5 >= 1) and (WantSection_5_T >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_3_4_5 >= 1)) or (((TestAlone_0_4_6 >= 1) and (WantSection_6_T >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_6_4_5 >= 1)) or (((TestAlone_1_5_3 >= 1) and (WantSection_3_T >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_2_5_3 >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_4_5_3 >= 1)) or (((TestAlone_5_5_3 >= 1) and (WantSection_3_T >= 1)) or (((TestAlone_4_5_2 >= 1) and (WantSection_2_T >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_5_5_2 >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_6_5_2 >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_0_5_3 >= 1)) or (((TestAlone_6_5_1 >= 1) and (WantSection_1_T >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_0_5_2 >= 1)) or (((TestAlone_1_5_2 >= 1) and (WantSection_2_T >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_3_5_2 >= 1)) or (((TestAlone_2_5_1 >= 1) and (WantSection_1_T >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_3_5_1 >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_4_5_1 >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_5_5_1 >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_5_4_2 >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_4_4_2 >= 1)) or (((TestAlone_3_4_2 >= 1) and (WantSection_2_T >= 1)) or (((TestAlone_1_4_2 >= 1) and (WantSection_2_T >= 1)) or (((TestAlone_0_4_2 >= 1) and (WantSection_2_T >= 1)) or (((TestAlone_6_4_1 >= 1) and (WantSection_1_T >= 1)) or (((TestAlone_5_4_1 >= 1) and (WantSection_1_T >= 1)) or (((TestAlone_4_4_1 >= 1) and (WantSection_1_T >= 1)) or (((TestAlone_3_4_1 >= 1) and (WantSection_1_T >= 1)) or (((TestAlone_2_4_1 >= 1) and (WantSection_1_T >= 1)) or (((TestAlone_0_4_1 >= 1) and (WantSection_1_T >= 1)) or (((TestAlone_6_4_0 >= 1) and (WantSection_0_T >= 1)) or (((TestAlone_5_4_0 >= 1) and (WantSection_0_T >= 1)) or (((TestAlone_4_4_0 >= 1) and (WantSection_0_T >= 1)) or (((TestAlone_3_4_0 >= 1) and (WantSection_0_T >= 1)) or (((TestAlone_2_4_0 >= 1) and (WantSection_0_T >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_1_4_5 >= 1)) or (((TestAlone_2_4_5 >= 1) and (WantSection_5_T >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_6_4_4 >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_0_4_5 >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_3_4_4 >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_5_4_4 >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_1_4_4 >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_2_4_4 >= 1)) or (((TestAlone_6_4_3 >= 1) and (WantSection_3_T >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_0_4_4 >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_4_4_3 >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_5_4_3 >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_1_4_3 >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_2_4_3 >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_6_4_2 >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_0_4_3 >= 1)) or (((TestAlone_2_1_1 >= 1) and (WantSection_1_T >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_3_1_1 >= 1)) or (((TestAlone_4_1_1 >= 1) and (WantSection_1_T >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_5_1_1 >= 1)) or (((TestAlone_6_1_1 >= 1) and (WantSection_1_T >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_0_1_2 >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_1_1_2 >= 1)) or (((TestAlone_3_1_2 >= 1) and (WantSection_2_T >= 1)) or (((TestAlone_5_0_6 >= 1) and (WantSection_6_T >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_1_1_0 >= 1)) or (((TestAlone_2_1_0 >= 1) and (WantSection_0_T >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_3_1_0 >= 1)) or (((TestAlone_4_1_0 >= 1) and (WantSection_0_T >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_5_1_0 >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_6_1_0 >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_0_1_1 >= 1)) or (((TestAlone_0_1_4 >= 1) and (WantSection_4_T >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_6_1_3 >= 1)) or (((TestAlone_2_1_4 >= 1) and (WantSection_4_T >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_1_1_4 >= 1)) or (((TestAlone_5_1_4 >= 1) and (WantSection_4_T >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_3_1_4 >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_0_1_5 >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_6_1_4 >= 1)) or (((TestAlone_5_1_2 >= 1) and (WantSection_2_T >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_4_1_2 >= 1)) or (((TestAlone_0_1_3 >= 1) and (WantSection_3_T >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_6_1_2 >= 1)) or (((TestAlone_2_1_3 >= 1) and (WantSection_3_T >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_1_1_3 >= 1)) or (((TestAlone_5_1_3 >= 1) and (WantSection_3_T >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_4_1_3 >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_5_1_6 >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_1_2_0 >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_3_1_6 >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_4_1_6 >= 1)) or (((TestAlone_4_2_0 >= 1) and (WantSection_0_T >= 1)) or (((TestAlone_5_2_0 >= 1) and (WantSection_0_T >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_2_2_0 >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_3_2_0 >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_3_1_5 >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_4_1_5 >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_1_1_5 >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_2_1_5 >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_1_1_6 >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_2_1_6 >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_6_1_5 >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_0_1_6 >= 1)) or (((TestAlone_5_2_2 >= 1) and (WantSection_2_T >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_4_2_2 >= 1)) or (((TestAlone_3_2_2 >= 1) and (WantSection_2_T >= 1)) or (((TestAlone_1_2_2 >= 1) and (WantSection_2_T >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_2_2_3 >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_1_2_3 >= 1)) or (((TestAlone_0_2_3 >= 1) and (WantSection_3_T >= 1)) or (((TestAlone_6_2_2 >= 1) and (WantSection_2_T >= 1)) or (((TestAlone_3_2_1 >= 1) and (WantSection_1_T >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_2_2_1 >= 1)) or (((TestAlone_0_2_1 >= 1) and (WantSection_1_T >= 1)) or (((TestAlone_6_2_0 >= 1) and (WantSection_0_T >= 1)) or (((TestAlone_0_2_2 >= 1) and (WantSection_2_T >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_6_2_1 >= 1)) or (((TestAlone_5_2_1 >= 1) and (WantSection_1_T >= 1)) or (((TestAlone_4_2_1 >= 1) and (WantSection_1_T >= 1)) or (((TestAlone_3_2_5 >= 1) and (WantSection_5_T >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_4_2_5 >= 1)) or (((TestAlone_6_2_5 >= 1) and (WantSection_5_T >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_0_2_6 >= 1)) or (((TestAlone_6_2_4 >= 1) and (WantSection_4_T >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_0_2_5 >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_1_2_5 >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_2_2_5 >= 1)) or (((TestAlone_1_2_4 >= 1) and (WantSection_4_T >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_2_2_4 >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_3_2_4 >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_5_2_4 >= 1)) or (((TestAlone_4_2_3 >= 1) and (WantSection_3_T >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_5_2_3 >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_6_2_3 >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_0_2_4 >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_3_3_1 >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_2_3_1 >= 1)) or (((TestAlone_5_3_1 >= 1) and (WantSection_1_T >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_4_3_1 >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_5_3_0 >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_4_3_0 >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_0_3_1 >= 1)) or (((TestAlone_6_3_0 >= 1) and (WantSection_0_T >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_1_3_0 >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_5_2_6 >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_3_3_0 >= 1)) or (((TestAlone_2_3_0 >= 1) and (WantSection_0_T >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_2_2_6 >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_1_2_6 >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_4_2_6 >= 1)) or (((TestAlone_3_2_6 >= 1) and (WantSection_6_T >= 1)) or (((TestAlone_1_3_4 >= 1) and (WantSection_4_T >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_2_3_4 >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_6_3_3 >= 1)) or (((TestAlone_0_3_4 >= 1) and (WantSection_4_T >= 1)) or (((TestAlone_4_3_3 >= 1) and (WantSection_3_T >= 1)) or (((TestAlone_5_3_3 >= 1) and (WantSection_3_T >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_1_3_3 >= 1)) or (((TestAlone_2_3_3 >= 1) and (WantSection_3_T >= 1)) or (((TestAlone_6_3_2 >= 1) and (WantSection_2_T >= 1)) or (((TestAlone_0_3_3 >= 1) and (WantSection_3_T >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_4_3_2 >= 1)) or (((TestAlone_5_3_2 >= 1) and (WantSection_2_T >= 1)) or (((TestAlone_1_3_2 >= 1) and (WantSection_2_T >= 1)) or (((TestAlone_3_3_2 >= 1) and (WantSection_2_T >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_6_3_1 >= 1)) or (((TestAlone_0_3_2 >= 1) and (WantSection_2_T >= 1)) or (((TestAlone_1_4_0 >= 1) and (WantSection_0_T >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_5_3_6 >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_4_3_6 >= 1)) or (((TestAlone_3_3_6 >= 1) and (WantSection_6_T >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_2_3_6 >= 1)) or (((TestAlone_1_3_6 >= 1) and (WantSection_6_T >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_0_3_6 >= 1)) or (((TestAlone_6_3_5 >= 1) and (WantSection_5_T >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_4_3_5 >= 1)) or (((TestAlone_3_3_5 >= 1) and (WantSection_5_T >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_2_3_5 >= 1)) or (((TestAlone_1_3_5 >= 1) and (WantSection_5_T >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_0_3_5 >= 1)) or (((TestAlone_6_3_4 >= 1) and (WantSection_4_T >= 1)) or (((WantSection_4_T >= 1) and (TestAlone_5_3_4 >= 1)) or (((TestAlone_3_3_4 >= 1) and (WantSection_4_T >= 1)) or (((TestAlone_1_0_0 >= 1) and (WantSection_0_T >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_6_0_0 >= 1)) or (((TestAlone_0_0_1 >= 1) and (WantSection_1_T >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_2_0_1 >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_3_0_1 >= 1)) or (((WantSection_0_T >= 1) and (TestAlone_2_0_0 >= 1)) or (((TestAlone_3_0_0 >= 1) and (WantSection_0_T >= 1)) or (((TestAlone_4_0_0 >= 1) and (WantSection_0_T >= 1)) or (((TestAlone_5_0_0 >= 1) and (WantSection_0_T >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_5_0_2 >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_4_0_2 >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_3_0_2 >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_1_0_2 >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_0_0_2 >= 1)) or (((TestAlone_6_0_1 >= 1) and (WantSection_1_T >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_5_0_1 >= 1)) or (((WantSection_1_T >= 1) and (TestAlone_4_0_1 >= 1)) or (((TestAlone_0_0_4 >= 1) and (WantSection_4_T >= 1)) or (((TestAlone_6_0_3 >= 1) and (WantSection_3_T >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_5_0_3 >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_4_0_3 >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_2_0_3 >= 1)) or (((TestAlone_1_0_3 >= 1) and (WantSection_3_T >= 1)) or (((WantSection_3_T >= 1) and (TestAlone_0_0_3 >= 1)) or (((WantSection_2_T >= 1) and (TestAlone_6_0_2 >= 1)) or (((WantSection_5_T >= 1) and (TestAlone_1_0_5 >= 1)) or (((TestAlone_2_0_5 >= 1) and (WantSection_5_T >= 1)) or (((TestAlone_6_0_4 >= 1) and (WantSection_4_T >= 1)) or (((TestAlone_0_0_5 >= 1) and (WantSection_5_T >= 1)) or (((TestAlone_3_0_4 >= 1) and (WantSection_4_T >= 1)) or (((TestAlone_5_0_4 >= 1) and (WantSection_4_T >= 1)) or (((TestAlone_1_0_4 >= 1) and (WantSection_4_T >= 1)) or (((TestAlone_2_0_4 >= 1) and (WantSection_4_T >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_3_0_6 >= 1)) or (((WantSection_6_T >= 1) and (TestAlone_4_0_6 >= 1)) or (((TestAlone_1_0_6 >= 1) and (WantSection_6_T >= 1)) or (((TestAlone_2_0_6 >= 1) and (WantSection_6_T >= 1)) or (((TestAlone_6_0_5 >= 1) and (WantSection_5_T >= 1)) or (((TestAlone_0_0_6 >= 1) and (WantSection_6_T >= 1)) or (((TestAlone_3_0_5 >= 1) and (WantSection_5_T >= 1)) or ((TestAlone_4_0_5 >= 1) and (WantSection_5_T >= 1)))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))) or ((((TestTurn_0_1 >= 1) and (Turn_1_0 >= 1)) or (((Turn_1_1 >= 1) and (TestTurn_1_1 >= 1)) or (((TestTurn_2_1 >= 1) and (Turn_1_2 >= 1)) or (((TestTurn_3_1 >= 1) and (Turn_1_3 >= 1)) or (((TestTurn_3_0 >= 1) and (Turn_0_3 >= 1)) or (((Turn_0_4 >= 1) and (TestTurn_4_0 >= 1)) or (((TestTurn_5_0 >= 1) and (Turn_0_5 >= 1)) or (((TestTurn_6_0 >= 1) and (Turn_0_6 >= 1)) or (((TestTurn_0_0 >= 1) and (Turn_0_0 >= 1)) or (((TestTurn_1_0 >= 1) and (Turn_0_1 >= 1)) or (((TestTurn_2_0 >= 1) and (Turn_0_2 >= 1)) or (((TestTurn_6_1 >= 1) and (Turn_1_6 >= 1)) or (((TestTurn_0_2 >= 1) and (Turn_2_0 >= 1)) or (((TestTurn_4_1 >= 1) and (Turn_1_4 >= 1)) or (((Turn_1_5 >= 1) and (TestTurn_5_1 >= 1)) or (((Turn_2_3 >= 1) and (TestTurn_3_2 >= 1)) or (((Turn_2_4 >= 1) and (TestTurn_4_2 >= 1)) or (((TestTurn_1_2 >= 1) and (Turn_2_1 >= 1)) or (((TestTurn_2_2 >= 1) and (Turn_2_2 >= 1)) or (((Turn_3_0 >= 1) and (TestTurn_0_3 >= 1)) or (((Turn_3_1 >= 1) and (TestTurn_1_3 >= 1)) or (((Turn_2_5 >= 1) and (TestTurn_5_2 >= 1)) or (((Turn_2_6 >= 1) and (TestTurn_6_2 >= 1)) or (((Turn_3_4 >= 1) and (TestTurn_4_3 >= 1)) or (((Turn_3_5 >= 1) and (TestTurn_5_3 >= 1)) or (((Turn_3_2 >= 1) and (TestTurn_2_3 >= 1)) or (((Turn_3_3 >= 1) and (TestTurn_3_3 >= 1)) or (((Turn_4_2 >= 1) and (TestTurn_2_4 >= 1)) or (((Turn_4_1 >= 1) and (TestTurn_1_4 >= 1)) or (((Turn_4_0 >= 1) and (TestTurn_0_4 >= 1)) or (((Turn_3_6 >= 1) and (TestTurn_6_3 >= 1)) or (((Turn_4_6 >= 1) and (TestTurn_6_4 >= 1)) or (((TestTurn_5_4 >= 1) and (Turn_4_5 >= 1)) or (((TestTurn_4_4 >= 1) and (Turn_4_4 >= 1)) or (((Turn_4_3 >= 1) and (TestTurn_3_4 >= 1)) or (((Turn_5_3 >= 1) and (TestTurn_3_5 >= 1)) or (((TestTurn_2_5 >= 1) and (Turn_5_2 >= 1)) or (((Turn_5_1 >= 1) and (TestTurn_1_5 >= 1)) or (((TestTurn_0_5 >= 1) and (Turn_5_0 >= 1)) or (((TestTurn_6_5 >= 1) and (Turn_5_6 >= 1)) or (((Turn_5_5 >= 1) and (TestTurn_5_5 >= 1)) or ((TestTurn_4_5 >= 1) and (Turn_5_4 >= 1))))))))))))))))))))))))))))))))))))))))))) or (((Idle_0 >= 1) and (WantSection_0_F >= 1)) or (((WantSection_1_F >= 1) and (Idle_1 >= 1)) or (((Idle_2 >= 1) and (WantSection_2_F >= 1)) or (((Idle_3 >= 1) and (WantSection_3_F >= 1)) or (((Idle_4 >= 1) and (WantSection_4_F >= 1)) or (((WantSection_5_F >= 1) and (Idle_5 >= 1)) or ((Idle_6 >= 1) and (WantSection_6_F >= 1))))))))))))
Query after reduction: EF ((((WantSection_6_F >= 1) and (TestAlone_4_3_6 >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_3_3_6 >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_1_4_0 >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_5_3_6 >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_3_4_0 >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_2_4_0 >= 1)) or (((TestAlone_5_4_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_4_4_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_0_4_1 >= 1) and (WantSection_1_F >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_6_4_0 >= 1)) or (((TestAlone_3_4_1 >= 1) and (WantSection_1_F >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_2_4_1 >= 1)) or (((TestAlone_5_4_1 >= 1) and (WantSection_1_F >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_4_4_1 >= 1)) or (((TestAlone_0_4_2 >= 1) and (WantSection_2_F >= 1)) or (((TestAlone_6_4_1 >= 1) and (WantSection_1_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_1_4_2 >= 1)) or (((TestAlone_3_4_2 >= 1) and (WantSection_2_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_4_4_2 >= 1)) or (((TestAlone_5_4_2 >= 1) and (WantSection_2_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_6_4_2 >= 1)) or (((TestAlone_0_4_3 >= 1) and (WantSection_3_F >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_1_4_3 >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_2_4_3 >= 1)) or (((TestAlone_4_4_3 >= 1) and (WantSection_3_F >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_5_4_3 >= 1)) or (((TestAlone_6_4_3 >= 1) and (WantSection_3_F >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_0_4_4 >= 1)) or (((TestAlone_1_4_4 >= 1) and (WantSection_4_F >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_2_4_4 >= 1)) or (((TestAlone_3_4_4 >= 1) and (WantSection_4_F >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_5_4_4 >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_2_4_5 >= 1)) or (((TestAlone_1_4_5 >= 1) and (WantSection_5_F >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_0_4_5 >= 1)) or (((TestAlone_6_4_4 >= 1) and (WantSection_4_F >= 1)) or (((TestAlone_0_4_6 >= 1) and (WantSection_6_F >= 1)) or (((TestAlone_6_4_5 >= 1) and (WantSection_5_F >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_4_4_5 >= 1)) or (((TestAlone_3_4_5 >= 1) and (WantSection_5_F >= 1)) or (((TestAlone_4_4_6 >= 1) and (WantSection_6_F >= 1)) or (((TestAlone_3_4_6 >= 1) and (WantSection_6_F >= 1)) or (((TestAlone_2_4_6 >= 1) and (WantSection_6_F >= 1)) or (((TestAlone_1_4_6 >= 1) and (WantSection_6_F >= 1)) or (((TestAlone_3_5_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_2_5_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_1_5_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_5_4_6 >= 1) and (WantSection_6_F >= 1)) or (((TestAlone_6_5_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_0_5_1 >= 1) and (WantSection_1_F >= 1)) or (((TestAlone_4_5_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_5_5_0 >= 1) and (WantSection_0_F >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_4_5_1 >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_5_5_1 >= 1)) or (((TestAlone_2_5_1 >= 1) and (WantSection_1_F >= 1)) or (((TestAlone_3_5_1 >= 1) and (WantSection_1_F >= 1)) or (((TestAlone_1_5_2 >= 1) and (WantSection_2_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_3_5_2 >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_6_5_1 >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_0_5_2 >= 1)) or (((TestAlone_6_5_2 >= 1) and (WantSection_2_F >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_0_5_3 >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_4_5_2 >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_5_5_2 >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_0_5_4 >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_6_5_3 >= 1)) or (((TestAlone_2_5_4 >= 1) and (WantSection_4_F >= 1)) or (((TestAlone_1_5_4 >= 1) and (WantSection_4_F >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_2_5_3 >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_1_5_3 >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_5_5_3 >= 1)) or (((TestAlone_4_5_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_2_5_5 >= 1) and (WantSection_5_F >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_1_5_5 >= 1)) or (((TestAlone_4_5_5 >= 1) and (WantSection_5_F >= 1)) or (((TestAlone_3_5_5 >= 1) and (WantSection_5_F >= 1)) or (((TestAlone_5_5_4 >= 1) and (WantSection_4_F >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_3_5_4 >= 1)) or (((TestAlone_0_5_5 >= 1) and (WantSection_5_F >= 1)) or (((TestAlone_6_5_4 >= 1) and (WantSection_4_F >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_3_5_6 >= 1)) or (((TestAlone_4_5_6 >= 1) and (WantSection_6_F >= 1)) or (((TestAlone_5_5_6 >= 1) and (WantSection_6_F >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_6_5_5 >= 1)) or (((TestAlone_0_5_6 >= 1) and (WantSection_6_F >= 1)) or (((TestAlone_1_5_6 >= 1) and (WantSection_6_F >= 1)) or (((TestAlone_2_5_6 >= 1) and (WantSection_6_F >= 1)) or (((TestAlone_5_1_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_4_1_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_2_1_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_1_1_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_2_1_4 >= 1) and (WantSection_4_F >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_1_1_4 >= 1)) or (((TestAlone_0_1_4 >= 1) and (WantSection_4_F >= 1)) or (((TestAlone_6_1_3 >= 1) and (WantSection_3_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_3_1_2 >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_1_1_2 >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_0_1_2 >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_6_1_1 >= 1)) or (((TestAlone_0_1_3 >= 1) and (WantSection_3_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_6_1_2 >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_5_1_2 >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_4_1_2 >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_6_1_0 >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_0_1_1 >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_4_1_0 >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_5_1_0 >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_4_1_1 >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_5_1_1 >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_2_1_1 >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_3_1_1 >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_3_0_6 >= 1)) or (((TestAlone_4_0_6 >= 1) and (WantSection_6_F >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_1_0_6 >= 1)) or (((TestAlone_2_0_6 >= 1) and (WantSection_6_F >= 1)) or (((TestAlone_2_1_0 >= 1) and (WantSection_0_F >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_3_1_0 >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_5_0_6 >= 1)) or (((TestAlone_1_1_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_5_2_1 >= 1) and (WantSection_1_F >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_4_2_1 >= 1)) or (((TestAlone_0_2_2 >= 1) and (WantSection_2_F >= 1)) or (((TestAlone_6_2_1 >= 1) and (WantSection_1_F >= 1)) or (((TestAlone_3_2_2 >= 1) and (WantSection_2_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_1_2_2 >= 1)) or (((TestAlone_5_2_2 >= 1) and (WantSection_2_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_4_2_2 >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_3_2_0 >= 1)) or (((TestAlone_2_2_0 >= 1) and (WantSection_0_F >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_5_2_0 >= 1)) or (((TestAlone_4_2_0 >= 1) and (WantSection_0_F >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_0_2_1 >= 1)) or (((TestAlone_6_2_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_3_2_1 >= 1) and (WantSection_1_F >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_2_2_1 >= 1)) or (((TestAlone_6_1_5 >= 1) and (WantSection_5_F >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_0_1_6 >= 1)) or (((TestAlone_1_1_6 >= 1) and (WantSection_6_F >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_2_1_6 >= 1)) or (((TestAlone_3_1_6 >= 1) and (WantSection_6_F >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_4_1_6 >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_5_1_6 >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_1_2_0 >= 1)) or (((TestAlone_3_1_4 >= 1) and (WantSection_4_F >= 1)) or (((TestAlone_5_1_4 >= 1) and (WantSection_4_F >= 1)) or (((TestAlone_6_1_4 >= 1) and (WantSection_4_F >= 1)) or (((TestAlone_0_1_5 >= 1) and (WantSection_5_F >= 1)) or (((TestAlone_1_1_5 >= 1) and (WantSection_5_F >= 1)) or (((TestAlone_2_1_5 >= 1) and (WantSection_5_F >= 1)) or (((TestAlone_3_1_5 >= 1) and (WantSection_5_F >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_4_1_5 >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_0_3_1 >= 1)) or (((TestAlone_6_3_0 >= 1) and (WantSection_0_F >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_5_3_0 >= 1)) or (((TestAlone_4_3_0 >= 1) and (WantSection_0_F >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_3_3_0 >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_2_3_0 >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_1_3_0 >= 1)) or (((TestAlone_5_2_6 >= 1) and (WantSection_6_F >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_4_2_6 >= 1)) or (((TestAlone_3_2_6 >= 1) and (WantSection_6_F >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_2_2_6 >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_1_2_6 >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_0_2_6 >= 1)) or (((TestAlone_6_2_5 >= 1) and (WantSection_5_F >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_4_2_5 >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_3_2_5 >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_1_2_5 >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_2_2_5 >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_6_2_4 >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_0_2_5 >= 1)) or (((TestAlone_3_2_4 >= 1) and (WantSection_4_F >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_5_2_4 >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_1_2_4 >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_2_2_4 >= 1)) or (((TestAlone_6_2_3 >= 1) and (WantSection_3_F >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_0_2_4 >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_4_2_3 >= 1)) or (((TestAlone_5_2_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_1_2_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_2_2_3 >= 1) and (WantSection_3_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_6_2_2 >= 1)) or (((TestAlone_0_2_3 >= 1) and (WantSection_3_F >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_0_3_6 >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_6_3_5 >= 1)) or (((WantSection_6_F >= 1) and (TestAlone_2_3_6 >= 1)) or (((TestAlone_1_3_6 >= 1) and (WantSection_6_F >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_2_3_5 >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_1_3_5 >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_4_3_5 >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_3_3_5 >= 1)) or (((TestAlone_5_3_4 >= 1) and (WantSection_4_F >= 1)) or (((TestAlone_3_3_4 >= 1) and (WantSection_4_F >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_0_3_5 >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_6_3_4 >= 1)) or (((TestAlone_0_3_4 >= 1) and (WantSection_4_F >= 1)) or (((TestAlone_6_3_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_2_3_4 >= 1) and (WantSection_4_F >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_1_3_4 >= 1)) or (((TestAlone_1_3_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_2_3_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_4_3_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_5_3_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_4_3_2 >= 1) and (WantSection_2_F >= 1)) or (((TestAlone_5_3_2 >= 1) and (WantSection_2_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_6_3_2 >= 1)) or (((TestAlone_0_3_3 >= 1) and (WantSection_3_F >= 1)) or (((TestAlone_6_3_1 >= 1) and (WantSection_1_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_0_3_2 >= 1)) or (((TestAlone_1_3_2 >= 1) and (WantSection_2_F >= 1)) or (((TestAlone_3_3_2 >= 1) and (WantSection_2_F >= 1)) or (((TestAlone_2_3_1 >= 1) and (WantSection_1_F >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_3_3_1 >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_4_3_1 >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_5_3_1 >= 1)) or (((WantSection_0_F >= 1) and (TestAlone_4_0_0 >= 1)) or (((TestAlone_5_0_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_2_0_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_3_0_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_1_0_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_1_0_4 >= 1) and (WantSection_4_F >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_2_0_4 >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_3_0_4 >= 1)) or (((TestAlone_5_0_4 >= 1) and (WantSection_4_F >= 1)) or (((TestAlone_4_0_3 >= 1) and (WantSection_3_F >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_5_0_3 >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_6_0_3 >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_0_0_4 >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_3_0_5 >= 1)) or (((TestAlone_4_0_5 >= 1) and (WantSection_5_F >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_6_0_5 >= 1)) or (((TestAlone_0_0_6 >= 1) and (WantSection_6_F >= 1)) or (((WantSection_4_F >= 1) and (TestAlone_6_0_4 >= 1)) or (((TestAlone_0_0_5 >= 1) and (WantSection_5_F >= 1)) or (((WantSection_5_F >= 1) and (TestAlone_1_0_5 >= 1)) or (((TestAlone_2_0_5 >= 1) and (WantSection_5_F >= 1)) or (((TestAlone_5_0_1 >= 1) and (WantSection_1_F >= 1)) or (((TestAlone_4_0_1 >= 1) and (WantSection_1_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_0_0_2 >= 1)) or (((TestAlone_6_0_1 >= 1) and (WantSection_1_F >= 1)) or (((TestAlone_0_0_1 >= 1) and (WantSection_1_F >= 1)) or (((TestAlone_6_0_0 >= 1) and (WantSection_0_F >= 1)) or (((TestAlone_3_0_1 >= 1) and (WantSection_1_F >= 1)) or (((WantSection_1_F >= 1) and (TestAlone_2_0_1 >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_0_0_3 >= 1)) or (((TestAlone_6_0_2 >= 1) and (WantSection_2_F >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_2_0_3 >= 1)) or (((WantSection_3_F >= 1) and (TestAlone_1_0_3 >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_3_0_2 >= 1)) or (((TestAlone_1_0_2 >= 1) and (WantSection_2_F >= 1)) or (((WantSection_2_F >= 1) and (TestAlone_5_0_2 >= 1)) or ((WantSection_2_F >= 1) and (TestAlone_4_0_2 >= 1))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))) and ((((BeginLoop_6_5_6 < 1) and ((BeginLoop_0_5_6 < 1) and ((BeginLoop_1_5_6 < 1) and ((BeginLoop_5_5_5 < 1) and ((BeginLoop_6_5_5 < 1) and ((BeginLoop_4_5_6 < 1) and ((BeginLoop_5_5_6 < 1) and ((BeginLoop_2_5_6 < 1) and ((BeginLoop_3_5_6 < 1) and ((BeginLoop_0_5_5 < 1) and ((BeginLoop_6_5_4 < 1) and ((BeginLoop_5_5_4 < 1) and ((BeginLoop_4_5_4 < 1) and ((BeginLoop_4_5_5 < 1) and ((BeginLoop_3_5_5 < 1) and ((BeginLoop_2_5_5 < 1) and ((BeginLoop_1_5_5 < 1) and ((BeginLoop_6_5_3 < 1) and ((BeginLoop_5_5_3 < 1) and ((BeginLoop_4_5_3 < 1) and ((BeginLoop_3_5_3 < 1) and ((BeginLoop_3_5_4 < 1) and ((BeginLoop_2_5_4 < 1) and ((BeginLoop_1_5_4 < 1) and ((BeginLoop_0_5_4 < 1) and ((BeginLoop_2_5_2 < 1) and ((BeginLoop_3_5_2 < 1) and ((BeginLoop_4_5_2 < 1) and ((BeginLoop_5_5_2 < 1) and ((BeginLoop_6_5_2 < 1) and ((BeginLoop_0_5_3 < 1) and ((BeginLoop_1_5_3 < 1) and ((BeginLoop_2_5_3 < 1) and ((BeginLoop_1_5_1 < 1) and ((BeginLoop_2_5_1 < 1) and ((BeginLoop_3_5_1 < 1) and ((BeginLoop_4_5_1 < 1) and ((BeginLoop_5_5_1 < 1) and ((BeginLoop_6_5_1 < 1) and ((BeginLoop_0_5_2 < 1) and ((BeginLoop_1_5_2 < 1) and ((BeginLoop_1_5_0 < 1) and ((BeginLoop_0_5_0 < 1) and ((BeginLoop_3_5_0 < 1) and ((BeginLoop_2_5_0 < 1) and ((BeginLoop_5_5_0 < 1) and ((BeginLoop_4_5_0 < 1) and ((BeginLoop_0_5_1 < 1) and ((BeginLoop_6_5_0 < 1) and ((BeginLoop_0_4_6 < 1) and ((BeginLoop_6_4_5 < 1) and ((BeginLoop_2_4_6 < 1) and ((BeginLoop_1_4_6 < 1) and ((BeginLoop_4_4_6 < 1) and ((BeginLoop_3_4_6 < 1) and ((BeginLoop_6_4_6 < 1) and ((BeginLoop_5_4_6 < 1) and ((BeginLoop_4_4_5 < 1) and ((BeginLoop_5_4_5 < 1) and ((BeginLoop_2_4_5 < 1) and ((BeginLoop_3_4_5 < 1) and ((BeginLoop_0_4_5 < 1) and ((BeginLoop_1_4_5 < 1) and ((BeginLoop_5_4_4 < 1) and ((BeginLoop_6_4_4 < 1) and ((BeginLoop_3_4_4 < 1) and ((BeginLoop_4_4_4 < 1) and ((BeginLoop_1_4_4 < 1) and ((BeginLoop_2_4_4 < 1) and ((BeginLoop_6_4_3 < 1) and ((BeginLoop_0_4_4 < 1) and ((BeginLoop_4_4_3 < 1) and ((BeginLoop_5_4_3 < 1) and ((BeginLoop_3_4_3 < 1) and ((BeginLoop_2_4_3 < 1) and ((BeginLoop_1_4_3 < 1) and ((BeginLoop_0_4_3 < 1) and ((BeginLoop_6_4_2 < 1) and ((BeginLoop_5_4_2 < 1) and ((BeginLoop_4_4_2 < 1) and ((BeginLoop_3_4_2 < 1) and ((BeginLoop_2_4_2 < 1) and ((BeginLoop_1_4_2 < 1) and ((BeginLoop_0_4_2 < 1) and ((BeginLoop_6_4_1 < 1) and ((BeginLoop_5_4_1 < 1) and ((BeginLoop_4_4_1 < 1) and ((BeginLoop_3_4_1 < 1) and ((BeginLoop_2_4_1 < 1) and ((BeginLoop_5_4_0 < 1) and ((BeginLoop_6_4_0 < 1) and ((BeginLoop_0_4_1 < 1) and ((BeginLoop_1_4_1 < 1) and ((BeginLoop_1_4_0 < 1) and ((BeginLoop_2_4_0 < 1) and ((BeginLoop_3_4_0 < 1) and ((BeginLoop_4_4_0 < 1) and ((BeginLoop_4_3_6 < 1) and ((BeginLoop_5_3_6 < 1) and ((BeginLoop_6_3_6 < 1) and ((BeginLoop_0_4_0 < 1) and ((BeginLoop_0_3_6 < 1) and ((BeginLoop_1_3_6 < 1) and ((BeginLoop_2_3_6 < 1) and ((BeginLoop_3_3_6 < 1) and ((BeginLoop_4_3_5 < 1) and ((BeginLoop_3_3_5 < 1) and ((BeginLoop_6_3_5 < 1) and ((BeginLoop_5_3_5 < 1) and ((BeginLoop_0_3_5 < 1) and ((BeginLoop_6_3_4 < 1) and ((BeginLoop_2_3_5 < 1) and ((BeginLoop_1_3_5 < 1) and ((BeginLoop_3_3_4 < 1) and ((BeginLoop_2_3_4 < 1) and ((BeginLoop_5_3_4 < 1) and ((BeginLoop_4_3_4 < 1) and ((BeginLoop_6_3_3 < 1) and ((BeginLoop_5_3_3 < 1) and ((BeginLoop_1_3_4 < 1) and ((BeginLoop_0_3_4 < 1) and ((BeginLoop_1_0_3 < 1) and ((BeginLoop_0_0_3 < 1) and ((BeginLoop_3_0_3 < 1) and ((BeginLoop_2_0_3 < 1) and ((BeginLoop_5_0_3 < 1) and ((BeginLoop_4_0_3 < 1) and ((BeginLoop_0_0_4 < 1) and ((BeginLoop_6_0_3 < 1) and ((BeginLoop_0_0_2 < 1) and ((BeginLoop_6_0_1 < 1) and ((BeginLoop_2_0_2 < 1) and ((BeginLoop_1_0_2 < 1) and ((BeginLoop_4_0_2 < 1) and ((BeginLoop_3_0_2 < 1) and ((BeginLoop_6_0_2 < 1) and ((BeginLoop_5_0_2 < 1) and ((BeginLoop_2_0_5 < 1) and ((BeginLoop_3_0_5 < 1) and ((BeginLoop_4_0_5 < 1) and ((BeginLoop_5_0_5 < 1) and ((BeginLoop_6_0_5 < 1) and ((BeginLoop_0_0_6 < 1) and ((BeginLoop_1_0_6 < 1) and ((BeginLoop_2_0_6 < 1) and ((BeginLoop_1_0_4 < 1) and ((BeginLoop_2_0_4 < 1) and ((BeginLoop_3_0_4 < 1) and ((BeginLoop_4_0_4 < 1) and ((BeginLoop_5_0_4 < 1) and ((BeginLoop_6_0_4 < 1) and ((BeginLoop_0_0_5 < 1) and ((BeginLoop_1_0_5 < 1) and ((BeginLoop_0_0_1 < 1) and ((BeginLoop_1_0_1 < 1) and ((BeginLoop_5_0_0 < 1) and ((BeginLoop_6_0_0 < 1) and ((BeginLoop_4_0_1 < 1) and ((BeginLoop_5_0_1 < 1) and ((BeginLoop_2_0_1 < 1) and ((BeginLoop_3_0_1 < 1) and ((BeginLoop_0_0_0 < 1) and ((BeginLoop_3_0_0 < 1) and ((BeginLoop_4_0_0 < 1) and ((BeginLoop_1_0_0 < 1) and ((BeginLoop_2_0_0 < 1) and ((BeginLoop_1_2_6 < 1) and ((BeginLoop_2_2_6 < 1) and ((BeginLoop_3_2_6 < 1) and ((BeginLoop_4_2_6 < 1) and ((BeginLoop_5_2_6 < 1) and ((BeginLoop_6_2_6 < 1) and ((BeginLoop_0_3_0 < 1) and ((BeginLoop_1_3_0 < 1) and ((BeginLoop_2_3_0 < 1) and ((BeginLoop_3_3_0 < 1) and ((BeginLoop_4_3_0 < 1) and ((BeginLoop_5_3_0 < 1) and ((BeginLoop_6_3_0 < 1) and ((BeginLoop_0_3_1 < 1) and ((BeginLoop_1_3_1 < 1) and ((BeginLoop_2_3_1 < 1) and ((BeginLoop_4_3_1 < 1) and ((BeginLoop_3_3_1 < 1) and ((BeginLoop_6_3_1 < 1) and ((BeginLoop_5_3_1 < 1) and ((BeginLoop_1_3_2 < 1) and ((BeginLoop_0_3_2 < 1) and ((BeginLoop_3_3_2 < 1) and ((BeginLoop_2_3_2 < 1) and ((BeginLoop_5_3_2 < 1) and ((BeginLoop_4_3_2 < 1) and ((BeginLoop_0_3_3 < 1) and ((BeginLoop_6_3_2 < 1) and ((BeginLoop_2_3_3 < 1) and ((BeginLoop_1_3_3 < 1) and ((BeginLoop_4_3_3 < 1) and ((BeginLoop_3_3_3 < 1) and ((BeginLoop_6_2_1 < 1) and ((BeginLoop_0_2_2 < 1) and ((BeginLoop_4_2_1 < 1) and ((BeginLoop_5_2_1 < 1) and ((BeginLoop_3_2_2 < 1) and ((BeginLoop_4_2_2 < 1) and ((BeginLoop_1_2_2 < 1) and ((BeginLoop_2_2_2 < 1) and ((BeginLoop_0_2_3 < 1) and ((BeginLoop_1_2_3 < 1) and ((BeginLoop_5_2_2 < 1) and ((BeginLoop_6_2_2 < 1) and ((BeginLoop_4_2_3 < 1) and ((BeginLoop_5_2_3 < 1) and ((BeginLoop_2_2_3 < 1) and ((BeginLoop_3_2_3 < 1) and ((BeginLoop_2_2_4 < 1) and ((BeginLoop_1_2_4 < 1) and ((BeginLoop_0_2_4 < 1) and ((BeginLoop_6_2_3 < 1) and ((BeginLoop_6_2_4 < 1) and ((BeginLoop_5_2_4 < 1) and ((BeginLoop_4_2_4 < 1) and ((BeginLoop_3_2_4 < 1) and ((BeginLoop_3_2_5 < 1) and ((BeginLoop_2_2_5 < 1) and ((BeginLoop_1_2_5 < 1) and ((BeginLoop_0_2_5 < 1) and ((BeginLoop_0_2_6 < 1) and ((BeginLoop_6_2_5 < 1) and ((BeginLoop_5_2_5 < 1) and ((BeginLoop_4_2_5 < 1) and ((BeginLoop_4_1_4 < 1) and ((BeginLoop_5_1_4 < 1) and ((BeginLoop_6_1_4 < 1) and ((BeginLoop_0_1_5 < 1) and ((BeginLoop_0_1_4 < 1) and ((BeginLoop_1_1_4 < 1) and ((BeginLoop_2_1_4 < 1) and ((BeginLoop_3_1_4 < 1) and ((BeginLoop_5_1_5 < 1) and ((BeginLoop_6_1_5 < 1) and ((BeginLoop_0_1_6 < 1) and ((BeginLoop_1_1_6 < 1) and ((BeginLoop_1_1_5 < 1) and ((BeginLoop_2_1_5 < 1) and ((BeginLoop_3_1_5 < 1) and ((BeginLoop_4_1_5 < 1) and ((BeginLoop_0_2_0 < 1) and ((BeginLoop_6_1_6 < 1) and ((BeginLoop_2_2_0 < 1) and ((BeginLoop_1_2_0 < 1) and ((BeginLoop_3_1_6 < 1) and ((BeginLoop_2_1_6 < 1) and ((BeginLoop_5_1_6 < 1) and ((BeginLoop_4_1_6 < 1) and ((BeginLoop_1_2_1 < 1) and ((BeginLoop_0_2_1 < 1) and ((BeginLoop_3_2_1 < 1) and ((BeginLoop_2_2_1 < 1) and ((BeginLoop_4_2_0 < 1) and ((BeginLoop_3_2_0 < 1) and ((BeginLoop_6_2_0 < 1) and ((BeginLoop_5_2_0 < 1) and ((BeginLoop_2_1_0 < 1) and ((BeginLoop_3_1_0 < 1) and ((BeginLoop_0_1_0 < 1) and ((BeginLoop_1_1_0 < 1) and ((BeginLoop_5_0_6 < 1) and ((BeginLoop_6_0_6 < 1) and ((BeginLoop_3_0_6 < 1) and ((BeginLoop_4_0_6 < 1) and ((BeginLoop_3_1_1 < 1) and ((BeginLoop_4_1_1 < 1) and ((BeginLoop_1_1_1 < 1) and ((BeginLoop_2_1_1 < 1) and ((BeginLoop_6_1_0 < 1) and ((BeginLoop_0_1_1 < 1) and ((BeginLoop_4_1_0 < 1) and ((BeginLoop_5_1_0 < 1) and ((BeginLoop_5_1_2 < 1) and ((BeginLoop_4_1_2 < 1) and ((BeginLoop_3_1_2 < 1) and ((BeginLoop_2_1_2 < 1) and ((BeginLoop_1_1_2 < 1) and ((BeginLoop_0_1_2 < 1) and ((BeginLoop_6_1_1 < 1) and ((BeginLoop_5_1_1 < 1) and ((BeginLoop_6_1_3 < 1) and ((BeginLoop_5_1_3 < 1) and ((BeginLoop_4_1_3 < 1) and ((BeginLoop_3_1_3 < 1) and ((BeginLoop_2_1_3 < 1) and ((BeginLoop_1_1_3 < 1) and ((BeginLoop_0_1_3 < 1) and (BeginLoop_6_1_2 < 1)))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))) or (((TestAlone_4_5_5 < 1) or (WantSection_5_T < 1)) and (((WantSection_5_T < 1) or (TestAlone_3_5_5 < 1)) and (((TestAlone_2_5_5 < 1) or (WantSection_5_T < 1)) and (((TestAlone_1_5_5 < 1) or (WantSection_5_T < 1)) and (((TestAlone_2_5_6 < 1) or (WantSection_6_T < 1)) and (((TestAlone_1_5_6 < 1) or (WantSection_6_T < 1)) and (((TestAlone_0_5_6 < 1) or (WantSection_6_T < 1)) and (((TestAlone_6_5_5 < 1) or (WantSection_5_T < 1)) and (((TestAlone_2_5_4 < 1) or (WantSection_4_T < 1)) and (((WantSection_4_T < 1) or (TestAlone_1_5_4 < 1)) and (((TestAlone_0_5_4 < 1) or (WantSection_4_T < 1)) and (((TestAlone_6_5_3 < 1) or (WantSection_3_T < 1)) and (((TestAlone_0_5_5 < 1) or (WantSection_5_T < 1)) and (((TestAlone_6_5_4 < 1) or (WantSection_4_T < 1)) and (((TestAlone_5_5_4 < 1) or (WantSection_4_T < 1)) and (((TestAlone_3_5_4 < 1) or (WantSection_4_T < 1)) and (((WantSection_6_T < 1) or (TestAlone_5_5_6 < 1)) and (((TestAlone_3_5_6 < 1) or (WantSection_6_T < 1)) and (((TestAlone_4_5_6 < 1) or (WantSection_6_T < 1)) and (((TestAlone_5_5_0 < 1) or (WantSection_0_T < 1)) and (((WantSection_0_T < 1) or (TestAlone_4_5_0 < 1)) and (((WantSection_1_T < 1) or (TestAlone_0_5_1 < 1)) and (((TestAlone_6_5_0 < 1) or (WantSection_0_T < 1)) and (((TestAlone_1_5_0 < 1) or (WantSection_0_T < 1)) and (((WantSection_6_T < 1) or (TestAlone_5_4_6 < 1)) and (((TestAlone_3_5_0 < 1) or (WantSection_0_T < 1)) and (((WantSection_0_T < 1) or (TestAlone_2_5_0 < 1)) and (((TestAlone_2_4_6 < 1) or (WantSection_6_T < 1)) and (((WantSection_6_T < 1) or (TestAlone_1_4_6 < 1)) and (((TestAlone_4_4_6 < 1) or (WantSection_6_T < 1)) and (((TestAlone_3_4_6 < 1) or (WantSection_6_T < 1)) and (((TestAlone_4_4_5 < 1) or (WantSection_5_T < 1)) and (((WantSection_5_T < 1) or (TestAlone_3_4_5 < 1)) and (((TestAlone_0_4_6 < 1) or (WantSection_6_T < 1)) and (((WantSection_5_T < 1) or (TestAlone_6_4_5 < 1)) and (((TestAlone_1_5_3 < 1) or (WantSection_3_T < 1)) and (((WantSection_3_T < 1) or (TestAlone_2_5_3 < 1)) and (((WantSection_3_T < 1) or (TestAlone_4_5_3 < 1)) and (((TestAlone_5_5_3 < 1) or (WantSection_3_T < 1)) and (((TestAlone_4_5_2 < 1) or (WantSection_2_T < 1)) and (((WantSection_2_T < 1) or (TestAlone_5_5_2 < 1)) and (((WantSection_2_T < 1) or (TestAlone_6_5_2 < 1)) and (((WantSection_3_T < 1) or (TestAlone_0_5_3 < 1)) and (((TestAlone_6_5_1 < 1) or (WantSection_1_T < 1)) and (((WantSection_2_T < 1) or (TestAlone_0_5_2 < 1)) and (((TestAlone_1_5_2 < 1) or (WantSection_2_T < 1)) and (((WantSection_2_T < 1) or (TestAlone_3_5_2 < 1)) and (((TestAlone_2_5_1 < 1) or (WantSection_1_T < 1)) and (((WantSection_1_T < 1) or (TestAlone_3_5_1 < 1)) and (((WantSection_1_T < 1) or (TestAlone_4_5_1 < 1)) and (((WantSection_1_T < 1) or (TestAlone_5_5_1 < 1)) and (((WantSection_2_T < 1) or (TestAlone_5_4_2 < 1)) and (((WantSection_2_T < 1) or (TestAlone_4_4_2 < 1)) and (((TestAlone_3_4_2 < 1) or (WantSection_2_T < 1)) and (((TestAlone_1_4_2 < 1) or (WantSection_2_T < 1)) and (((TestAlone_0_4_2 < 1) or (WantSection_2_T < 1)) and (((TestAlone_6_4_1 < 1) or (WantSection_1_T < 1)) and (((TestAlone_5_4_1 < 1) or (WantSection_1_T < 1)) and (((TestAlone_4_4_1 < 1) or (WantSection_1_T < 1)) and (((TestAlone_3_4_1 < 1) or (WantSection_1_T < 1)) and (((TestAlone_2_4_1 < 1) or (WantSection_1_T < 1)) and (((TestAlone_0_4_1 < 1) or (WantSection_1_T < 1)) and (((TestAlone_6_4_0 < 1) or (WantSection_0_T < 1)) and (((TestAlone_5_4_0 < 1) or (WantSection_0_T < 1)) and (((TestAlone_4_4_0 < 1) or (WantSection_0_T < 1)) and (((TestAlone_3_4_0 < 1) or (WantSection_0_T < 1)) and (((TestAlone_2_4_0 < 1) or (WantSection_0_T < 1)) and (((WantSection_5_T < 1) or (TestAlone_1_4_5 < 1)) and (((TestAlone_2_4_5 < 1) or (WantSection_5_T < 1)) and (((WantSection_4_T < 1) or (TestAlone_6_4_4 < 1)) and (((WantSection_5_T < 1) or (TestAlone_0_4_5 < 1)) and (((WantSection_4_T < 1) or (TestAlone_3_4_4 < 1)) and (((WantSection_4_T < 1) or (TestAlone_5_4_4 < 1)) and (((WantSection_4_T < 1) or (TestAlone_1_4_4 < 1)) and (((WantSection_4_T < 1) or (TestAlone_2_4_4 < 1)) and (((TestAlone_6_4_3 < 1) or (WantSection_3_T < 1)) and (((WantSection_4_T < 1) or (TestAlone_0_4_4 < 1)) and (((WantSection_3_T < 1) or (TestAlone_4_4_3 < 1)) and (((WantSection_3_T < 1) or (TestAlone_5_4_3 < 1)) and (((WantSection_3_T < 1) or (TestAlone_1_4_3 < 1)) and (((WantSection_3_T < 1) or (TestAlone_2_4_3 < 1)) and (((WantSection_2_T < 1) or (TestAlone_6_4_2 < 1)) and (((WantSection_3_T < 1) or (TestAlone_0_4_3 < 1)) and (((TestAlone_2_1_1 < 1) or (WantSection_1_T < 1)) and (((WantSection_1_T < 1) or (TestAlone_3_1_1 < 1)) and (((TestAlone_4_1_1 < 1) or (WantSection_1_T < 1)) and (((WantSection_1_T < 1) or (TestAlone_5_1_1 < 1)) and (((TestAlone_6_1_1 < 1) or (WantSection_1_T < 1)) and (((WantSection_2_T < 1) or (TestAlone_0_1_2 < 1)) and (((WantSection_2_T < 1) or (TestAlone_1_1_2 < 1)) and (((TestAlone_3_1_2 < 1) or (WantSection_2_T < 1)) and (((TestAlone_5_0_6 < 1) or (WantSection_6_T < 1)) and (((WantSection_0_T < 1) or (TestAlone_1_1_0 < 1)) and (((TestAlone_2_1_0 < 1) or (WantSection_0_T < 1)) and (((WantSection_0_T < 1) or (TestAlone_3_1_0 < 1)) and (((TestAlone_4_1_0 < 1) or (WantSection_0_T < 1)) and (((WantSection_0_T < 1) or (TestAlone_5_1_0 < 1)) and (((WantSection_0_T < 1) or (TestAlone_6_1_0 < 1)) and (((WantSection_1_T < 1) or (TestAlone_0_1_1 < 1)) and (((TestAlone_0_1_4 < 1) or (WantSection_4_T < 1)) and (((WantSection_3_T < 1) or (TestAlone_6_1_3 < 1)) and (((TestAlone_2_1_4 < 1) or (WantSection_4_T < 1)) and (((WantSection_4_T < 1) or (TestAlone_1_1_4 < 1)) and (((TestAlone_5_1_4 < 1) or (WantSection_4_T < 1)) and (((WantSection_4_T < 1) or (TestAlone_3_1_4 < 1)) and (((WantSection_5_T < 1) or (TestAlone_0_1_5 < 1)) and (((WantSection_4_T < 1) or (TestAlone_6_1_4 < 1)) and (((TestAlone_5_1_2 < 1) or (WantSection_2_T < 1)) and (((WantSection_2_T < 1) or (TestAlone_4_1_2 < 1)) and (((TestAlone_0_1_3 < 1) or (WantSection_3_T < 1)) and (((WantSection_2_T < 1) or (TestAlone_6_1_2 < 1)) and (((TestAlone_2_1_3 < 1) or (WantSection_3_T < 1)) and (((WantSection_3_T < 1) or (TestAlone_1_1_3 < 1)) and (((TestAlone_5_1_3 < 1) or (WantSection_3_T < 1)) and (((WantSection_3_T < 1) or (TestAlone_4_1_3 < 1)) and (((WantSection_6_T < 1) or (TestAlone_5_1_6 < 1)) and (((WantSection_0_T < 1) or (TestAlone_1_2_0 < 1)) and (((WantSection_6_T < 1) or (TestAlone_3_1_6 < 1)) and (((WantSection_6_T < 1) or (TestAlone_4_1_6 < 1)) and (((TestAlone_4_2_0 < 1) or (WantSection_0_T < 1)) and (((TestAlone_5_2_0 < 1) or (WantSection_0_T < 1)) and (((WantSection_0_T < 1) or (TestAlone_2_2_0 < 1)) and (((WantSection_0_T < 1) or (TestAlone_3_2_0 < 1)) and (((WantSection_5_T < 1) or (TestAlone_3_1_5 < 1)) and (((WantSection_5_T < 1) or (TestAlone_4_1_5 < 1)) and (((WantSection_5_T < 1) or (TestAlone_1_1_5 < 1)) and (((WantSection_5_T < 1) or (TestAlone_2_1_5 < 1)) and (((WantSection_6_T < 1) or (TestAlone_1_1_6 < 1)) and (((WantSection_6_T < 1) or (TestAlone_2_1_6 < 1)) and (((WantSection_5_T < 1) or (TestAlone_6_1_5 < 1)) and (((WantSection_6_T < 1) or (TestAlone_0_1_6 < 1)) and (((TestAlone_5_2_2 < 1) or (WantSection_2_T < 1)) and (((WantSection_2_T < 1) or (TestAlone_4_2_2 < 1)) and (((TestAlone_3_2_2 < 1) or (WantSection_2_T < 1)) and (((TestAlone_1_2_2 < 1) or (WantSection_2_T < 1)) and (((WantSection_3_T < 1) or (TestAlone_2_2_3 < 1)) and (((WantSection_3_T < 1) or (TestAlone_1_2_3 < 1)) and (((TestAlone_0_2_3 < 1) or (WantSection_3_T < 1)) and (((TestAlone_6_2_2 < 1) or (WantSection_2_T < 1)) and (((TestAlone_3_2_1 < 1) or (WantSection_1_T < 1)) and (((WantSection_1_T < 1) or (TestAlone_2_2_1 < 1)) and (((TestAlone_0_2_1 < 1) or (WantSection_1_T < 1)) and (((TestAlone_6_2_0 < 1) or (WantSection_0_T < 1)) and (((TestAlone_0_2_2 < 1) or (WantSection_2_T < 1)) and (((WantSection_1_T < 1) or (TestAlone_6_2_1 < 1)) and (((TestAlone_5_2_1 < 1) or (WantSection_1_T < 1)) and (((TestAlone_4_2_1 < 1) or (WantSection_1_T < 1)) and (((TestAlone_3_2_5 < 1) or (WantSection_5_T < 1)) and (((WantSection_5_T < 1) or (TestAlone_4_2_5 < 1)) and (((TestAlone_6_2_5 < 1) or (WantSection_5_T < 1)) and (((WantSection_6_T < 1) or (TestAlone_0_2_6 < 1)) and (((TestAlone_6_2_4 < 1) or (WantSection_4_T < 1)) and (((WantSection_5_T < 1) or (TestAlone_0_2_5 < 1)) and (((WantSection_5_T < 1) or (TestAlone_1_2_5 < 1)) and (((WantSection_5_T < 1) or (TestAlone_2_2_5 < 1)) and (((TestAlone_1_2_4 < 1) or (WantSection_4_T < 1)) and (((WantSection_4_T < 1) or (TestAlone_2_2_4 < 1)) and (((WantSection_4_T < 1) or (TestAlone_3_2_4 < 1)) and (((WantSection_4_T < 1) or (TestAlone_5_2_4 < 1)) and (((TestAlone_4_2_3 < 1) or (WantSection_3_T < 1)) and (((WantSection_3_T < 1) or (TestAlone_5_2_3 < 1)) and (((WantSection_3_T < 1) or (TestAlone_6_2_3 < 1)) and (((WantSection_4_T < 1) or (TestAlone_0_2_4 < 1)) and (((WantSection_1_T < 1) or (TestAlone_3_3_1 < 1)) and (((WantSection_1_T < 1) or (TestAlone_2_3_1 < 1)) and (((TestAlone_5_3_1 < 1) or (WantSection_1_T < 1)) and (((WantSection_1_T < 1) or (TestAlone_4_3_1 < 1)) and (((WantSection_0_T < 1) or (TestAlone_5_3_0 < 1)) and (((WantSection_0_T < 1) or (TestAlone_4_3_0 < 1)) and (((WantSection_1_T < 1) or (TestAlone_0_3_1 < 1)) and (((TestAlone_6_3_0 < 1) or (WantSection_0_T < 1)) and (((WantSection_0_T < 1) or (TestAlone_1_3_0 < 1)) and (((WantSection_6_T < 1) or (TestAlone_5_2_6 < 1)) and (((WantSection_0_T < 1) or (TestAlone_3_3_0 < 1)) and (((TestAlone_2_3_0 < 1) or (WantSection_0_T < 1)) and (((WantSection_6_T < 1) or (TestAlone_2_2_6 < 1)) and (((WantSection_6_T < 1) or (TestAlone_1_2_6 < 1)) and (((WantSection_6_T < 1) or (TestAlone_4_2_6 < 1)) and (((TestAlone_3_2_6 < 1) or (WantSection_6_T < 1)) and (((TestAlone_1_3_4 < 1) or (WantSection_4_T < 1)) and (((WantSection_4_T < 1) or (TestAlone_2_3_4 < 1)) and (((WantSection_3_T < 1) or (TestAlone_6_3_3 < 1)) and (((TestAlone_0_3_4 < 1) or (WantSection_4_T < 1)) and (((TestAlone_4_3_3 < 1) or (WantSection_3_T < 1)) and (((TestAlone_5_3_3 < 1) or (WantSection_3_T < 1)) and (((WantSection_3_T < 1) or (TestAlone_1_3_3 < 1)) and (((TestAlone_2_3_3 < 1) or (WantSection_3_T < 1)) and (((TestAlone_6_3_2 < 1) or (WantSection_2_T < 1)) and (((TestAlone_0_3_3 < 1) or (WantSection_3_T < 1)) and (((WantSection_2_T < 1) or (TestAlone_4_3_2 < 1)) and (((TestAlone_5_3_2 < 1) or (WantSection_2_T < 1)) and (((TestAlone_1_3_2 < 1) or (WantSection_2_T < 1)) and (((TestAlone_3_3_2 < 1) or (WantSection_2_T < 1)) and (((WantSection_1_T < 1) or (TestAlone_6_3_1 < 1)) and (((TestAlone_0_3_2 < 1) or (WantSection_2_T < 1)) and (((TestAlone_1_4_0 < 1) or (WantSection_0_T < 1)) and (((WantSection_6_T < 1) or (TestAlone_5_3_6 < 1)) and (((WantSection_6_T < 1) or (TestAlone_4_3_6 < 1)) and (((TestAlone_3_3_6 < 1) or (WantSection_6_T < 1)) and (((WantSection_6_T < 1) or (TestAlone_2_3_6 < 1)) and (((TestAlone_1_3_6 < 1) or (WantSection_6_T < 1)) and (((WantSection_6_T < 1) or (TestAlone_0_3_6 < 1)) and (((TestAlone_6_3_5 < 1) or (WantSection_5_T < 1)) and (((WantSection_5_T < 1) or (TestAlone_4_3_5 < 1)) and (((TestAlone_3_3_5 < 1) or (WantSection_5_T < 1)) and (((WantSection_5_T < 1) or (TestAlone_2_3_5 < 1)) and (((TestAlone_1_3_5 < 1) or (WantSection_5_T < 1)) and (((WantSection_5_T < 1) or (TestAlone_0_3_5 < 1)) and (((TestAlone_6_3_4 < 1) or (WantSection_4_T < 1)) and (((WantSection_4_T < 1) or (TestAlone_5_3_4 < 1)) and (((TestAlone_3_3_4 < 1) or (WantSection_4_T < 1)) and (((TestAlone_1_0_0 < 1) or (WantSection_0_T < 1)) and (((WantSection_0_T < 1) or (TestAlone_6_0_0 < 1)) and (((TestAlone_0_0_1 < 1) or (WantSection_1_T < 1)) and (((WantSection_1_T < 1) or (TestAlone_2_0_1 < 1)) and (((WantSection_1_T < 1) or (TestAlone_3_0_1 < 1)) and (((WantSection_0_T < 1) or (TestAlone_2_0_0 < 1)) and (((TestAlone_3_0_0 < 1) or (WantSection_0_T < 1)) and (((TestAlone_4_0_0 < 1) or (WantSection_0_T < 1)) and (((TestAlone_5_0_0 < 1) or (WantSection_0_T < 1)) and (((WantSection_2_T < 1) or (TestAlone_5_0_2 < 1)) and (((WantSection_2_T < 1) or (TestAlone_4_0_2 < 1)) and (((WantSection_2_T < 1) or (TestAlone_3_0_2 < 1)) and (((WantSection_2_T < 1) or (TestAlone_1_0_2 < 1)) and (((WantSection_2_T < 1) or (TestAlone_0_0_2 < 1)) and (((TestAlone_6_0_1 < 1) or (WantSection_1_T < 1)) and (((WantSection_1_T < 1) or (TestAlone_5_0_1 < 1)) and (((WantSection_1_T < 1) or (TestAlone_4_0_1 < 1)) and (((TestAlone_0_0_4 < 1) or (WantSection_4_T < 1)) and (((TestAlone_6_0_3 < 1) or (WantSection_3_T < 1)) and (((WantSection_3_T < 1) or (TestAlone_5_0_3 < 1)) and (((WantSection_3_T < 1) or (TestAlone_4_0_3 < 1)) and (((WantSection_3_T < 1) or (TestAlone_2_0_3 < 1)) and (((TestAlone_1_0_3 < 1) or (WantSection_3_T < 1)) and (((WantSection_3_T < 1) or (TestAlone_0_0_3 < 1)) and (((WantSection_2_T < 1) or (TestAlone_6_0_2 < 1)) and (((WantSection_5_T < 1) or (TestAlone_1_0_5 < 1)) and (((TestAlone_2_0_5 < 1) or (WantSection_5_T < 1)) and (((TestAlone_6_0_4 < 1) or (WantSection_4_T < 1)) and (((TestAlone_0_0_5 < 1) or (WantSection_5_T < 1)) and (((TestAlone_3_0_4 < 1) or (WantSection_4_T < 1)) and (((TestAlone_5_0_4 < 1) or (WantSection_4_T < 1)) and (((TestAlone_1_0_4 < 1) or (WantSection_4_T < 1)) and (((TestAlone_2_0_4 < 1) or (WantSection_4_T < 1)) and (((WantSection_6_T < 1) or (TestAlone_3_0_6 < 1)) and (((WantSection_6_T < 1) or (TestAlone_4_0_6 < 1)) and (((TestAlone_1_0_6 < 1) or (WantSection_6_T < 1)) and (((TestAlone_2_0_6 < 1) or (WantSection_6_T < 1)) and (((TestAlone_6_0_5 < 1) or (WantSection_5_T < 1)) and (((TestAlone_0_0_6 < 1) or (WantSection_6_T < 1)) and (((TestAlone_3_0_5 < 1) or (WantSection_5_T < 1)) and ((TestAlone_4_0_5 < 1) or (WantSection_5_T < 1)))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))) and (not ((((TestTurn_0_1 >= 1) and (Turn_1_0 >= 1)) or (((Turn_1_1 >= 1) and (TestTurn_1_1 >= 1)) or (((TestTurn_2_1 >= 1) and (Turn_1_2 >= 1)) or (((TestTurn_3_1 >= 1) and (Turn_1_3 >= 1)) or (((TestTurn_3_0 >= 1) and (Turn_0_3 >= 1)) or (((Turn_0_4 >= 1) and (TestTurn_4_0 >= 1)) or (((TestTurn_5_0 >= 1) and (Turn_0_5 >= 1)) or (((TestTurn_6_0 >= 1) and (Turn_0_6 >= 1)) or (((TestTurn_0_0 >= 1) and (Turn_0_0 >= 1)) or (((TestTurn_1_0 >= 1) and (Turn_0_1 >= 1)) or (((TestTurn_2_0 >= 1) and (Turn_0_2 >= 1)) or (((TestTurn_6_1 >= 1) and (Turn_1_6 >= 1)) or (((TestTurn_0_2 >= 1) and (Turn_2_0 >= 1)) or (((TestTurn_4_1 >= 1) and (Turn_1_4 >= 1)) or (((Turn_1_5 >= 1) and (TestTurn_5_1 >= 1)) or (((Turn_2_3 >= 1) and (TestTurn_3_2 >= 1)) or (((Turn_2_4 >= 1) and (TestTurn_4_2 >= 1)) or (((TestTurn_1_2 >= 1) and (Turn_2_1 >= 1)) or (((TestTurn_2_2 >= 1) and (Turn_2_2 >= 1)) or (((Turn_3_0 >= 1) and (TestTurn_0_3 >= 1)) or (((Turn_3_1 >= 1) and (TestTurn_1_3 >= 1)) or (((Turn_2_5 >= 1) and (TestTurn_5_2 >= 1)) or (((Turn_2_6 >= 1) and (TestTurn_6_2 >= 1)) or (((Turn_3_4 >= 1) and (TestTurn_4_3 >= 1)) or (((Turn_3_5 >= 1) and (TestTurn_5_3 >= 1)) or (((Turn_3_2 >= 1) and (TestTurn_2_3 >= 1)) or (((Turn_3_3 >= 1) and (TestTurn_3_3 >= 1)) or (((Turn_4_2 >= 1) and (TestTurn_2_4 >= 1)) or (((Turn_4_1 >= 1) and (TestTurn_1_4 >= 1)) or (((Turn_4_0 >= 1) and (TestTurn_0_4 >= 1)) or (((Turn_3_6 >= 1) and (TestTurn_6_3 >= 1)) or (((Turn_4_6 >= 1) and (TestTurn_6_4 >= 1)) or (((TestTurn_5_4 >= 1) and (Turn_4_5 >= 1)) or (((TestTurn_4_4 >= 1) and (Turn_4_4 >= 1)) or (((Turn_4_3 >= 1) and (TestTurn_3_4 >= 1)) or (((Turn_5_3 >= 1) and (TestTurn_3_5 >= 1)) or (((TestTurn_2_5 >= 1) and (Turn_5_2 >= 1)) or (((Turn_5_1 >= 1) and (TestTurn_1_5 >= 1)) or (((TestTurn_0_5 >= 1) and (Turn_5_0 >= 1)) or (((TestTurn_6_5 >= 1) and (Turn_5_6 >= 1)) or (((Turn_5_5 >= 1) and (TestTurn_5_5 >= 1)) or ((TestTurn_4_5 >= 1) and (Turn_5_4 >= 1))))))))))))))))))))))))))))))))))))))))))) or (((Idle_0 >= 1) and (WantSection_0_F >= 1)) or (((WantSection_1_F >= 1) and (Idle_1 >= 1)) or (((Idle_2 >= 1) and (WantSection_2_F >= 1)) or (((Idle_3 >= 1) and (WantSection_3_F >= 1)) or (((Idle_4 >= 1) and (WantSection_4_F >= 1)) or (((WantSection_5_F >= 1) and (Idle_5 >= 1)) or ((Idle_6 >= 1) and (WantSection_6_F >= 1))))))))))))
Query size reduced from 5600 to 5600 nodes (0.00 percent reduction).
Query reduction reached timeout.
Size of net before structural reductions: 1330 places, 2030 transitions
Size of net after structural reductions: 1204 places, 1904 transitions
Structural reduction finished after 0.028551 seconds

Net reduction is enabled.
Removed transitions: 126
Removed places: 126
Applications of rule A: 126
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0

BK_TIME_CONFINEMENT_REACHED

--------------------
content from stderr:

Command being timed: "timeout 837 /home/mcc/BenchKit/bin/verifypn-linux64 -s DFS -d 100 -q 100 ./model.pnml ./ReachabilityFireability.xml -x 2"
User time (seconds): 39.35
System time (seconds): 0.02
Percent of CPU this job got: 99%
Elapsed (wall clock) time (h:mm:ss or m:ss): 0:39.37
Average shared text size (kbytes): 0
Average unshared data size (kbytes): 0
Average stack size (kbytes): 0
Average total size (kbytes): 0
Maximum resident set size (kbytes): 16664
Average resident set size (kbytes): 0
Major (requiring I/O) page faults: 0
Minor (reclaiming a frame) page faults: 4639
Voluntary context switches: 3
Involuntary context switches: 105
Swaps: 0
File system inputs: 0
File system outputs: 0
Socket messages sent: 0
Socket messages received: 0
Signals delivered: 0
Page size (bytes): 4096
Exit status: 0
Command exited with non-zero status 124
Command being timed: "timeout 1103 /home/mcc/BenchKit/bin/verifypn-linux64 -s DFS -d 100 -q 100 ./model.pnml ./ReachabilityFireability.xml -x 6"
User time (seconds): 1100.92
System time (seconds): 1.86
Percent of CPU this job got: 99%
Elapsed (wall clock) time (h:mm:ss or m:ss): 18:23.15
Average shared text size (kbytes): 0
Average unshared data size (kbytes): 0
Average stack size (kbytes): 0
Average total size (kbytes): 0
Maximum resident set size (kbytes): 4256536
Average resident set size (kbytes): 0
Major (requiring I/O) page faults: 0
Minor (reclaiming a frame) page faults: 1064539
Voluntary context switches: 4
Involuntary context switches: 3576
Swaps: 0
File system inputs: 0
File system outputs: 0
Socket messages sent: 0
Socket messages received: 0
Signals delivered: 0
Page size (bytes): 4096
Exit status: 124
Command exited with non-zero status 124
Command being timed: "timeout 1102 /home/mcc/BenchKit/bin/verifypn-linux64 -s DFS -d 100 -q 100 ./model.pnml ./ReachabilityFireability.xml -x 9"
User time (seconds): 1101.17
System time (seconds): 0.82
Percent of CPU this job got: 99%
Elapsed (wall clock) time (h:mm:ss or m:ss): 18:22.08
Average shared text size (kbytes): 0
Average unshared data size (kbytes): 0
Average stack size (kbytes): 0
Average total size (kbytes): 0
Maximum resident set size (kbytes): 2170564
Average resident set size (kbytes): 0
Major (requiring I/O) page faults: 0
Minor (reclaiming a frame) page faults: 543057
Voluntary context switches: 4
Involuntary context switches: 2040
Swaps: 0
File system inputs: 0
File system outputs: 0
Socket messages sent: 0
Socket messages received: 0
Signals delivered: 0
Page size (bytes): 4096
Exit status: 124

Sequence of Actions to be Executed by the VM

This is useful if one wants to reexecute the tool in the VM from the submitted image disk.

set -x
# this is for BenchKit: configuration of major elements for the test
export BK_INPUT="S_Peterson-PT-6"
export BK_EXAMINATION="ReachabilityFireability"
export BK_TOOL="tapaal"
export BK_RESULT_DIR="/tmp/BK_RESULTS/OUTPUTS"
export BK_TIME_CONFINEMENT="3600"
export BK_MEMORY_CONFINEMENT="16384"

# this is specific to your benchmark or test

export BIN_DIR="$HOME/BenchKit/bin"

# remove the execution directoty if it exists (to avoid increse of .vmdk images)
if [ -d execution ] ; then
rm -rf execution
fi

tar xzf /home/mcc/BenchKit/INPUTS/S_Peterson-PT-6.tgz
mv S_Peterson-PT-6 execution

# this is for BenchKit: explicit launching of the test

cd execution
echo "====================================================================="
echo " Generated by BenchKit 2-3254"
echo " Executing tool tapaal"
echo " Input is S_Peterson-PT-6, examination is ReachabilityFireability"
echo " Time confinement is $BK_TIME_CONFINEMENT seconds"
echo " Memory confinement is 16384 MBytes"
echo " Number of cores is 4"
echo " Run identifier is r129-smll-149441682100081"
echo "====================================================================="
echo
echo "--------------------"
echo "content from stdout:"
echo
echo "=== Data for post analysis generated by BenchKit (invocation template)"
echo
if [ "ReachabilityFireability" = "UpperBounds" ] ; then
echo "The expected result is a vector of positive values"
echo NUM_VECTOR
elif [ "ReachabilityFireability" != "StateSpace" ] ; then
echo "The expected result is a vector of booleans"
echo BOOL_VECTOR
else
echo "no data necessary for post analysis"
fi
echo
if [ -f "ReachabilityFireability.txt" ] ; then
echo "here is the order used to build the result vector(from text file)"
for x in $(grep Property ReachabilityFireability.txt | cut -d ' ' -f 2 | sort -u) ; do
echo "FORMULA_NAME $x"
done
elif [ -f "ReachabilityFireability.xml" ] ; then # for cunf (txt files deleted;-)
echo echo "here is the order used to build the result vector(from xml file)"
for x in $(grep '' ReachabilityFireability.xml | cut -d '>' -f 2 | cut -d '<' -f 1 | sort -u) ; do
echo "FORMULA_NAME $x"
done
fi
echo
echo "=== Now, execution of the tool begins"
echo
echo -n "BK_START "
date -u +%s%3N
echo
timeout -s 9 $BK_TIME_CONFINEMENT bash -c "/home/mcc/BenchKit/BenchKit_head.sh 2> STDERR ; echo ; echo -n \"BK_STOP \" ; date -u +%s%3N"
if [ $? -eq 137 ] ; then
echo
echo "BK_TIME_CONFINEMENT_REACHED"
fi
echo
echo "--------------------"
echo "content from stderr:"
echo
cat STDERR ;