fond
Model Checking Contest @ Petri Nets 2017
7th edition, Zaragoza, Spain, June 27, 2017
Execution of r070-csrt-149440964800258
Last Updated
June 27, 2017

About the Execution of ITS-Tools for SafeBus-PT-15

Execution Summary
Max Memory
Used (MB)
Time wait (ms) CPU Usage (ms) I/O Wait (ms) Computed Result Execution
Status
3954.750 3600000.00 7133408.00 384.80 [undef] Time out reached

Execution Chart

We display below the execution chart for this examination (boot time has been removed).

Trace from the execution

Waiting for the VM to be ready (probing ssh)
.......
=====================================================================
Generated by BenchKit 2-3254
Executing tool itstools
Input is SafeBus-PT-15, examination is LTLFireability
Time confinement is 3600 seconds
Memory confinement is 16384 MBytes
Number of cores is 4
Run identifier is r070-csrt-149440964800258
=====================================================================


--------------------
content from stdout:

=== Data for post analysis generated by BenchKit (invocation template)

The expected result is a vector of booleans
BOOL_VECTOR

here is the order used to build the result vector(from text file)
FORMULA_NAME SafeBus-COL-15-LTLFireability-0
FORMULA_NAME SafeBus-COL-15-LTLFireability-1
FORMULA_NAME SafeBus-COL-15-LTLFireability-10
FORMULA_NAME SafeBus-COL-15-LTLFireability-11
FORMULA_NAME SafeBus-COL-15-LTLFireability-12
FORMULA_NAME SafeBus-COL-15-LTLFireability-13
FORMULA_NAME SafeBus-COL-15-LTLFireability-14
FORMULA_NAME SafeBus-COL-15-LTLFireability-15
FORMULA_NAME SafeBus-COL-15-LTLFireability-2
FORMULA_NAME SafeBus-COL-15-LTLFireability-3
FORMULA_NAME SafeBus-COL-15-LTLFireability-4
FORMULA_NAME SafeBus-COL-15-LTLFireability-5
FORMULA_NAME SafeBus-COL-15-LTLFireability-6
FORMULA_NAME SafeBus-COL-15-LTLFireability-7
FORMULA_NAME SafeBus-COL-15-LTLFireability-8
FORMULA_NAME SafeBus-COL-15-LTLFireability-9

=== Now, execution of the tool begins

BK_START 1496316946667


Using solver YICES2 to compute partial order matrices.
Built C files in :
/home/mcc/execution
its-ltl command run as :

/home/mcc/BenchKit/eclipse/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.201705302212/bin/its-ltl-linux64 --gc-threshold 2000000 -i /home/mcc/execution/LTLFireability.pnml.gal -t CGAL -LTL /home/mcc/execution/LTLFireability.ltl -c -stutter-deadlock
Read 16 LTL properties
Checking formula 0 : !((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((("ACK>=1")&&("wait_ack_2_1>=1"))&&("FMCb>=1"))||((("ACK>=1")&&("wait_ack_3_1>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_4_1>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_5_1>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_6_1>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_7_1>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_8_1>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_9_1>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_10_1>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_11_1>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_12_1>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_13_1>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_14_1>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_15_1>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_1_2>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_3_2>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_4_2>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_5_2>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_6_2>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_7_2>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_8_2>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_9_2>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_10_2>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_11_2>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_12_2>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_13_2>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_14_2>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_15_2>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_1_3>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_2_3>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_4_3>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_5_3>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_6_3>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_7_3>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_8_3>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_9_3>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_10_3>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_11_3>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_12_3>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_13_3>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_14_3>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_15_3>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_1_4>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_2_4>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_3_4>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_5_4>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_6_4>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_7_4>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_8_4>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_9_4>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_10_4>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_11_4>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_12_4>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_13_4>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_14_4>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_15_4>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_1_5>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_2_5>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_3_5>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_4_5>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_6_5>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_7_5>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_8_5>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_9_5>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_10_5>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_11_5>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_12_5>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_13_5>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_14_5>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_15_5>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_1_6>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_2_6>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_3_6>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_4_6>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_5_6>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_7_6>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_8_6>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_9_6>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_10_6>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_11_6>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_12_6>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_13_6>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_14_6>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_15_6>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_1_7>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_2_7>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_3_7>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_4_7>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_5_7>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_6_7>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_8_7>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_9_7>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_10_7>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_11_7>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_12_7>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_13_7>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_14_7>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_15_7>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_1_8>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_2_8>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_3_8>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_4_8>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_5_8>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_6_8>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_7_8>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_9_8>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_10_8>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_11_8>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_12_8>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_13_8>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_14_8>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_15_8>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_1_9>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_2_9>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_3_9>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_4_9>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_5_9>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_6_9>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_7_9>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_8_9>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_10_9>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_11_9>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_12_9>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_13_9>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_14_9>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_15_9>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_1_10>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_2_10>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_3_10>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_4_10>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_5_10>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_6_10>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_7_10>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_8_10>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_9_10>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_11_10>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_12_10>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_13_10>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_14_10>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_15_10>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_1_11>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_2_11>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_3_11>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_4_11>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_5_11>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_6_11>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_7_11>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_8_11>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_9_11>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_10_11>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_12_11>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_13_11>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_14_11>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_15_11>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_1_12>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_2_12>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_3_12>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_4_12>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_5_12>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_6_12>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_7_12>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_8_12>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_9_12>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_10_12>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_11_12>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_13_12>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_14_12>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_15_12>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_1_13>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_2_13>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_3_13>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_4_13>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_5_13>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_6_13>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_7_13>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_8_13>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_9_13>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_10_13>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_11_13>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_12_13>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_14_13>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_15_13>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_1_14>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_2_14>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_3_14>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_4_14>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_5_14>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_6_14>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_7_14>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_8_14>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_9_14>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_10_14>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_11_14>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_12_14>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_13_14>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_15_14>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_1_15>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_2_15>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_3_15>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_4_15>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_5_15>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_6_15>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_7_15>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_8_15>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_9_15>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_10_15>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_11_15>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_12_15>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_13_15>=1"))&&("FMCb>=1")))||((("ACK>=1")&&("wait_ack_14_15>=1"))&&("FMCb>=1"))))
Formula 0 simplified : !(("ACK>=1" & "FMCb>=1" & "wait_ack_2_1>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_3_1>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_4_1>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_5_1>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_6_1>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_7_1>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_8_1>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_9_1>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_10_1>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_11_1>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_12_1>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_13_1>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_14_1>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_15_1>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_1_2>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_3_2>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_4_2>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_5_2>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_6_2>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_7_2>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_8_2>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_9_2>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_10_2>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_11_2>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_12_2>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_13_2>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_14_2>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_15_2>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_1_3>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_2_3>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_4_3>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_5_3>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_6_3>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_7_3>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_8_3>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_9_3>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_10_3>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_11_3>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_12_3>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_13_3>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_14_3>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_15_3>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_1_4>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_2_4>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_3_4>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_5_4>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_6_4>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_7_4>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_8_4>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_9_4>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_10_4>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_11_4>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_12_4>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_13_4>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_14_4>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_15_4>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_1_5>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_2_5>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_3_5>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_4_5>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_6_5>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_7_5>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_8_5>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_9_5>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_10_5>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_11_5>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_12_5>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_13_5>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_14_5>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_15_5>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_1_6>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_2_6>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_3_6>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_4_6>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_5_6>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_7_6>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_8_6>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_9_6>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_10_6>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_11_6>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_12_6>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_13_6>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_14_6>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_15_6>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_1_7>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_2_7>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_3_7>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_4_7>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_5_7>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_6_7>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_8_7>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_9_7>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_10_7>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_11_7>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_12_7>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_13_7>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_14_7>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_15_7>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_1_8>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_2_8>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_3_8>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_4_8>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_5_8>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_6_8>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_7_8>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_9_8>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_10_8>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_11_8>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_12_8>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_13_8>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_14_8>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_15_8>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_1_9>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_2_9>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_3_9>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_4_9>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_5_9>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_6_9>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_7_9>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_8_9>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_10_9>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_11_9>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_12_9>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_13_9>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_14_9>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_15_9>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_1_10>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_2_10>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_3_10>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_4_10>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_5_10>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_6_10>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_7_10>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_8_10>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_9_10>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_11_10>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_12_10>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_13_10>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_14_10>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_15_10>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_1_11>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_2_11>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_3_11>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_4_11>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_5_11>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_6_11>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_7_11>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_8_11>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_9_11>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_10_11>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_12_11>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_13_11>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_14_11>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_15_11>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_1_12>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_2_12>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_3_12>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_4_12>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_5_12>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_6_12>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_7_12>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_8_12>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_9_12>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_10_12>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_11_12>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_13_12>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_14_12>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_15_12>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_1_13>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_2_13>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_3_13>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_4_13>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_5_13>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_6_13>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_7_13>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_8_13>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_9_13>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_10_13>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_11_13>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_12_13>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_14_13>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_15_13>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_1_14>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_2_14>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_3_14>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_4_14>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_5_14>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_6_14>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_7_14>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_8_14>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_9_14>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_10_14>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_11_14>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_12_14>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_13_14>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_15_14>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_1_15>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_2_15>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_3_15>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_4_15>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_5_15>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_6_15>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_7_15>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_8_15>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_9_15>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_10_15>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_11_15>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_12_15>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_13_15>=1") | ("ACK>=1" & "FMCb>=1" & "wait_ack_14_15>=1"))
Presburger conditions satisfied. Using coverability to approximate state space in K-Induction.
// Phase 1: matrix 4771 rows 606 cols
invariant : 1'wait_ack_7_1 + 1'wait_ack_7_2 + 1'wait_ack_7_3 + 1'wait_ack_7_4 + 1'wait_ack_7_5 + 1'wait_ack_7_6 + 1'wait_ack_7_8 + 1'wait_ack_7_9 + 1'wait_ack_7_10 + 1'wait_ack_7_11 + 1'wait_ack_7_12 + 1'wait_ack_7_13 + 1'wait_ack_7_14 + 1'wait_ack_7_15 + -1'cable_used_7 + 1'FMC_7 + 1'PMC_7= 0
invariant : -1'Cpt2_6 + -1'AMC_1_5 + -1'AMC_2_5 + -1'AMC_3_5 + -1'AMC_4_5 + -1'AMC_5_5 + -1'AMC_6_5 + -1'AMC_7_5 + -1'AMC_8_5 + -1'AMC_9_5 + -1'AMC_10_5 + -1'AMC_11_5 + -1'AMC_12_5 + -1'AMC_13_5 + -1'AMC_14_5 + -1'AMC_15_5 + 1'AMC_1_6 + 1'AMC_2_6 + 1'AMC_3_6 + 1'AMC_4_6 + 1'AMC_5_6 + 1'AMC_6_6 + 1'AMC_7_6 + 1'AMC_8_6 + 1'AMC_9_6 + 1'AMC_10_6 + 1'AMC_11_6 + 1'AMC_12_6 + 1'AMC_13_6 + 1'AMC_14_6 + 1'AMC_15_6 + 1'Cpt1_6= 0
invariant : 1'wait_ack_1_2 + 1'wait_ack_1_3 + 1'wait_ack_1_4 + 1'wait_ack_1_5 + 1'wait_ack_1_6 + 1'wait_ack_1_7 + 1'wait_ack_1_8 + 1'wait_ack_1_9 + 1'wait_ack_1_10 + 1'wait_ack_1_11 + 1'wait_ack_1_12 + 1'wait_ack_1_13 + 1'wait_ack_1_14 + 1'wait_ack_1_15 + -1'cable_used_1 + 1'FMC_1 + 1'PMC_1= 0
invariant : 1'wait_ack_14_1 + 1'wait_ack_14_2 + 1'wait_ack_14_3 + 1'wait_ack_14_4 + 1'wait_ack_14_5 + 1'wait_ack_14_6 + 1'wait_ack_14_7 + 1'wait_ack_14_8 + 1'wait_ack_14_9 + 1'wait_ack_14_10 + 1'wait_ack_14_11 + 1'wait_ack_14_12 + 1'wait_ack_14_13 + 1'wait_ack_14_15 + -1'cable_used_14 + 1'FMC_14 + 1'PMC_14= 0
invariant : 1'wait_ack_11_1 + 1'wait_ack_11_2 + 1'wait_ack_11_3 + 1'wait_ack_11_4 + 1'wait_ack_11_5 + 1'wait_ack_11_6 + 1'wait_ack_11_7 + 1'wait_ack_11_8 + 1'wait_ack_11_9 + 1'wait_ack_11_10 + 1'wait_ack_11_12 + 1'wait_ack_11_13 + 1'wait_ack_11_14 + 1'wait_ack_11_15 + -1'AMC_11_1 + -1'AMC_11_2 + -1'AMC_11_3 + -1'AMC_11_4 + -1'AMC_11_5 + -1'AMC_11_6 + -1'AMC_11_7 + -1'AMC_11_8 + -1'AMC_11_9 + -1'AMC_11_10 + -1'AMC_11_11 + -1'AMC_11_12 + -1'AMC_11_13 + -1'AMC_11_14 + -1'AMC_11_15 + -1'cable_used_11 + -1'RMC_11 + 1'FMC_11 + 1'wait_cable_11= 0
invariant : -1'Cpt2_4 + -1'AMC_1_3 + -1'AMC_2_3 + -1'AMC_3_3 + -1'AMC_4_3 + -1'AMC_5_3 + -1'AMC_6_3 + -1'AMC_7_3 + -1'AMC_8_3 + -1'AMC_9_3 + -1'AMC_10_3 + -1'AMC_11_3 + -1'AMC_12_3 + -1'AMC_13_3 + -1'AMC_14_3 + -1'AMC_15_3 + 1'AMC_1_4 + 1'AMC_2_4 + 1'AMC_3_4 + 1'AMC_4_4 + 1'AMC_5_4 + 1'AMC_6_4 + 1'AMC_7_4 + 1'AMC_8_4 + 1'AMC_9_4 + 1'AMC_10_4 + 1'AMC_11_4 + 1'AMC_12_4 + 1'AMC_13_4 + 1'AMC_14_4 + 1'AMC_15_4 + 1'Cpt1_4= 0
invariant : 1'wait_ack_4_1 + 1'wait_ack_4_2 + 1'wait_ack_4_3 + 1'wait_ack_4_5 + 1'wait_ack_4_6 + 1'wait_ack_4_7 + 1'wait_ack_4_8 + 1'wait_ack_4_9 + 1'wait_ack_4_10 + 1'wait_ack_4_11 + 1'wait_ack_4_12 + 1'wait_ack_4_13 + 1'wait_ack_4_14 + 1'wait_ack_4_15 + -1'cable_used_4 + 1'FMC_4 + 1'PMC_4= 0
invariant : 1'AMC_9_1 + 1'AMC_9_2 + 1'AMC_9_3 + 1'AMC_9_4 + 1'AMC_9_5 + 1'AMC_9_6 + 1'AMC_9_7 + 1'AMC_9_8 + 1'AMC_9_9 + 1'AMC_9_10 + 1'AMC_9_11 + 1'AMC_9_12 + 1'AMC_9_13 + 1'AMC_9_14 + 1'AMC_9_15 + 1'cable_used_9 + 1'RMC_9 + -1'FMC_9 + 1'listen_9 + 1'wait_msg_9 + 1'loop_em_9= 1
invariant : 1'R_tout + 1'S_tout= 1
invariant : 1'AMC_15_1 + 1'AMC_15_2 + 1'AMC_15_3 + 1'AMC_15_4 + 1'AMC_15_5 + 1'AMC_15_6 + 1'AMC_15_7 + 1'AMC_15_8 + 1'AMC_15_9 + 1'AMC_15_10 + 1'AMC_15_11 + 1'AMC_15_12 + 1'AMC_15_13 + 1'AMC_15_14 + 1'AMC_15_15 + 1'cable_used_15 + 1'FMCb + 1'RMC_15 + 1'FMC_1 + 1'FMC_2 + 1'FMC_3 + 1'FMC_4 + 1'FMC_5 + 1'FMC_6 + 1'FMC_7 + 1'FMC_8 + 1'FMC_9 + 1'FMC_10 + 1'FMC_11 + 1'FMC_12 + 1'FMC_13 + 1'FMC_14 + 1'listen_15 + 1'wait_msg_15 + 1'loop_em_15= 2
invariant : 1'AMC_13_1 + 1'AMC_13_2 + 1'AMC_13_3 + 1'AMC_13_4 + 1'AMC_13_5 + 1'AMC_13_6 + 1'AMC_13_7 + 1'AMC_13_8 + 1'AMC_13_9 + 1'AMC_13_10 + 1'AMC_13_11 + 1'AMC_13_12 + 1'AMC_13_13 + 1'AMC_13_14 + 1'AMC_13_15 + 1'cable_used_13 + 1'RMC_13 + -1'FMC_13 + 1'listen_13 + 1'wait_msg_13 + 1'loop_em_13= 1
invariant : 1'wait_ack_8_1 + 1'wait_ack_8_2 + 1'wait_ack_8_3 + 1'wait_ack_8_4 + 1'wait_ack_8_5 + 1'wait_ack_8_6 + 1'wait_ack_8_7 + 1'wait_ack_8_9 + 1'wait_ack_8_10 + 1'wait_ack_8_11 + 1'wait_ack_8_12 + 1'wait_ack_8_13 + 1'wait_ack_8_14 + 1'wait_ack_8_15 + -1'cable_used_8 + 1'FMC_8 + 1'PMC_8= 0
invariant : 1'wait_ack_2_1 + 1'wait_ack_2_3 + 1'wait_ack_2_4 + 1'wait_ack_2_5 + 1'wait_ack_2_6 + 1'wait_ack_2_7 + 1'wait_ack_2_8 + 1'wait_ack_2_9 + 1'wait_ack_2_10 + 1'wait_ack_2_11 + 1'wait_ack_2_12 + 1'wait_ack_2_13 + 1'wait_ack_2_14 + 1'wait_ack_2_15 + -1'AMC_2_1 + -1'AMC_2_2 + -1'AMC_2_3 + -1'AMC_2_4 + -1'AMC_2_5 + -1'AMC_2_6 + -1'AMC_2_7 + -1'AMC_2_8 + -1'AMC_2_9 + -1'AMC_2_10 + -1'AMC_2_11 + -1'AMC_2_12 + -1'AMC_2_13 + -1'AMC_2_14 + -1'AMC_2_15 + -1'cable_used_2 + -1'RMC_2 + 1'FMC_2 + 1'wait_cable_2= 0
invariant : -1'Cpt2_14 + -1'AMC_1_13 + -1'AMC_2_13 + -1'AMC_3_13 + -1'AMC_4_13 + -1'AMC_5_13 + -1'AMC_6_13 + -1'AMC_7_13 + -1'AMC_8_13 + -1'AMC_9_13 + -1'AMC_10_13 + -1'AMC_11_13 + -1'AMC_12_13 + -1'AMC_13_13 + -1'AMC_14_13 + -1'AMC_15_13 + 1'AMC_1_14 + 1'AMC_2_14 + 1'AMC_3_14 + 1'AMC_4_14 + 1'AMC_5_14 + 1'AMC_6_14 + 1'AMC_7_14 + 1'AMC_8_14 + 1'AMC_9_14 + 1'AMC_10_14 + 1'AMC_11_14 + 1'AMC_12_14 + 1'AMC_13_14 + 1'AMC_14_14 + 1'AMC_15_14 + 1'Cpt1_14= 0
invariant : 1'wait_ack_14_1 + 1'wait_ack_14_2 + 1'wait_ack_14_3 + 1'wait_ack_14_4 + 1'wait_ack_14_5 + 1'wait_ack_14_6 + 1'wait_ack_14_7 + 1'wait_ack_14_8 + 1'wait_ack_14_9 + 1'wait_ack_14_10 + 1'wait_ack_14_11 + 1'wait_ack_14_12 + 1'wait_ack_14_13 + 1'wait_ack_14_15 + -1'AMC_14_1 + -1'AMC_14_2 + -1'AMC_14_3 + -1'AMC_14_4 + -1'AMC_14_5 + -1'AMC_14_6 + -1'AMC_14_7 + -1'AMC_14_8 + -1'AMC_14_9 + -1'AMC_14_10 + -1'AMC_14_11 + -1'AMC_14_12 + -1'AMC_14_13 + -1'AMC_14_14 + -1'AMC_14_15 + -1'cable_used_14 + -1'RMC_14 + 1'FMC_14 + 1'wait_cable_14= 0
invariant : 1'AMC_3_1 + 1'AMC_3_2 + 1'AMC_3_3 + 1'AMC_3_4 + 1'AMC_3_5 + 1'AMC_3_6 + 1'AMC_3_7 + 1'AMC_3_8 + 1'AMC_3_9 + 1'AMC_3_10 + 1'AMC_3_11 + 1'AMC_3_12 + 1'AMC_3_13 + 1'AMC_3_14 + 1'AMC_3_15 + 1'cable_used_3 + 1'RMC_3 + -1'FMC_3 + 1'listen_3 + 1'wait_msg_3 + 1'loop_em_3= 1
invariant : 1'wait_ack_10_1 + 1'wait_ack_10_2 + 1'wait_ack_10_3 + 1'wait_ack_10_4 + 1'wait_ack_10_5 + 1'wait_ack_10_6 + 1'wait_ack_10_7 + 1'wait_ack_10_8 + 1'wait_ack_10_9 + 1'wait_ack_10_11 + 1'wait_ack_10_12 + 1'wait_ack_10_13 + 1'wait_ack_10_14 + 1'wait_ack_10_15 + -1'AMC_10_1 + -1'AMC_10_2 + -1'AMC_10_3 + -1'AMC_10_4 + -1'AMC_10_5 + -1'AMC_10_6 + -1'AMC_10_7 + -1'AMC_10_8 + -1'AMC_10_9 + -1'AMC_10_10 + -1'AMC_10_11 + -1'AMC_10_12 + -1'AMC_10_13 + -1'AMC_10_14 + -1'AMC_10_15 + -1'cable_used_10 + -1'RMC_10 + 1'FMC_10 + 1'wait_cable_10= 0
invariant : 1'wait_ack_6_1 + 1'wait_ack_6_2 + 1'wait_ack_6_3 + 1'wait_ack_6_4 + 1'wait_ack_6_5 + 1'wait_ack_6_7 + 1'wait_ack_6_8 + 1'wait_ack_6_9 + 1'wait_ack_6_10 + 1'wait_ack_6_11 + 1'wait_ack_6_12 + 1'wait_ack_6_13 + 1'wait_ack_6_14 + 1'wait_ack_6_15 + -1'cable_used_6 + 1'FMC_6 + 1'PMC_6= 0
invariant : 1'AMC_4_1 + 1'AMC_4_2 + 1'AMC_4_3 + 1'AMC_4_4 + 1'AMC_4_5 + 1'AMC_4_6 + 1'AMC_4_7 + 1'AMC_4_8 + 1'AMC_4_9 + 1'AMC_4_10 + 1'AMC_4_11 + 1'AMC_4_12 + 1'AMC_4_13 + 1'AMC_4_14 + 1'AMC_4_15 + 1'cable_used_4 + 1'RMC_4 + -1'FMC_4 + 1'listen_4 + 1'wait_msg_4 + 1'loop_em_4= 1
invariant : 1'FMCb + 1'FMC_1 + 1'FMC_2 + 1'FMC_3 + 1'FMC_4 + 1'FMC_5 + 1'FMC_6 + 1'FMC_7 + 1'FMC_8 + 1'FMC_9 + 1'FMC_10 + 1'FMC_11 + 1'FMC_12 + 1'FMC_13 + 1'FMC_14 + 1'FMC_15= 1
invariant : 1'wait_ack_7_1 + 1'wait_ack_7_2 + 1'wait_ack_7_3 + 1'wait_ack_7_4 + 1'wait_ack_7_5 + 1'wait_ack_7_6 + 1'wait_ack_7_8 + 1'wait_ack_7_9 + 1'wait_ack_7_10 + 1'wait_ack_7_11 + 1'wait_ack_7_12 + 1'wait_ack_7_13 + 1'wait_ack_7_14 + 1'wait_ack_7_15 + -1'AMC_7_1 + -1'AMC_7_2 + -1'AMC_7_3 + -1'AMC_7_4 + -1'AMC_7_5 + -1'AMC_7_6 + -1'AMC_7_7 + -1'AMC_7_8 + -1'AMC_7_9 + -1'AMC_7_10 + -1'AMC_7_11 + -1'AMC_7_12 + -1'AMC_7_13 + -1'AMC_7_14 + -1'AMC_7_15 + -1'cable_used_7 + -1'RMC_7 + 1'FMC_7 + 1'wait_cable_7= 0
invariant : 1'AMC_11_1 + 1'AMC_11_2 + 1'AMC_11_3 + 1'AMC_11_4 + 1'AMC_11_5 + 1'AMC_11_6 + 1'AMC_11_7 + 1'AMC_11_8 + 1'AMC_11_9 + 1'AMC_11_10 + 1'AMC_11_11 + 1'AMC_11_12 + 1'AMC_11_13 + 1'AMC_11_14 + 1'AMC_11_15 + 1'cable_used_11 + 1'RMC_11 + -1'FMC_11 + 1'listen_11 + 1'wait_msg_11 + 1'loop_em_11= 1
invariant : 1'wait_ack_15_1 + 1'wait_ack_15_2 + 1'wait_ack_15_3 + 1'wait_ack_15_4 + 1'wait_ack_15_5 + 1'wait_ack_15_6 + 1'wait_ack_15_7 + 1'wait_ack_15_8 + 1'wait_ack_15_9 + 1'wait_ack_15_10 + 1'wait_ack_15_11 + 1'wait_ack_15_12 + 1'wait_ack_15_13 + 1'wait_ack_15_14 + -1'AMC_15_1 + -1'AMC_15_2 + -1'AMC_15_3 + -1'AMC_15_4 + -1'AMC_15_5 + -1'AMC_15_6 + -1'AMC_15_7 + -1'AMC_15_8 + -1'AMC_15_9 + -1'AMC_15_10 + -1'AMC_15_11 + -1'AMC_15_12 + -1'AMC_15_13 + -1'AMC_15_14 + -1'AMC_15_15 + -1'cable_used_15 + -1'FMCb + -1'RMC_15 + -1'FMC_1 + -1'FMC_2 + -1'FMC_3 + -1'FMC_4 + -1'FMC_5 + -1'FMC_6 + -1'FMC_7 + -1'FMC_8 + -1'FMC_9 + -1'FMC_10 + -1'FMC_11 + -1'FMC_12 + -1'FMC_13 + -1'FMC_14 + 1'wait_cable_15= -1
invariant : -1'Cpt2_3 + -1'AMC_1_2 + -1'AMC_2_2 + -1'AMC_3_2 + -1'AMC_4_2 + -1'AMC_5_2 + -1'AMC_6_2 + -1'AMC_7_2 + -1'AMC_8_2 + -1'AMC_9_2 + -1'AMC_10_2 + -1'AMC_11_2 + -1'AMC_12_2 + -1'AMC_13_2 + -1'AMC_14_2 + -1'AMC_15_2 + 1'AMC_1_3 + 1'AMC_2_3 + 1'AMC_3_3 + 1'AMC_4_3 + 1'AMC_5_3 + 1'AMC_6_3 + 1'AMC_7_3 + 1'AMC_8_3 + 1'AMC_9_3 + 1'AMC_10_3 + 1'AMC_11_3 + 1'AMC_12_3 + 1'AMC_13_3 + 1'AMC_14_3 + 1'AMC_15_3 + 1'Cpt1_3= 0
invariant : 1'AMC_1_1 + 1'AMC_1_2 + 1'AMC_1_3 + 1'AMC_1_4 + 1'AMC_1_5 + 1'AMC_1_6 + 1'AMC_1_7 + 1'AMC_1_8 + 1'AMC_1_9 + 1'AMC_1_10 + 1'AMC_1_11 + 1'AMC_1_12 + 1'AMC_1_13 + 1'AMC_1_14 + 1'AMC_1_15 + 1'cable_used_1 + 1'RMC_1 + -1'FMC_1 + 1'listen_1 + 1'wait_msg_1 + 1'loop_em_1= 1
invariant : 1'wait_ack_8_1 + 1'wait_ack_8_2 + 1'wait_ack_8_3 + 1'wait_ack_8_4 + 1'wait_ack_8_5 + 1'wait_ack_8_6 + 1'wait_ack_8_7 + 1'wait_ack_8_9 + 1'wait_ack_8_10 + 1'wait_ack_8_11 + 1'wait_ack_8_12 + 1'wait_ack_8_13 + 1'wait_ack_8_14 + 1'wait_ack_8_15 + -1'AMC_8_1 + -1'AMC_8_2 + -1'AMC_8_3 + -1'AMC_8_4 + -1'AMC_8_5 + -1'AMC_8_6 + -1'AMC_8_7 + -1'AMC_8_8 + -1'AMC_8_9 + -1'AMC_8_10 + -1'AMC_8_11 + -1'AMC_8_12 + -1'AMC_8_13 + -1'AMC_8_14 + -1'AMC_8_15 + -1'cable_used_8 + -1'RMC_8 + 1'FMC_8 + 1'wait_cable_8= 0
invariant : -1'Cpt2_2 + -1'AMC_1_1 + -1'AMC_2_1 + -1'AMC_3_1 + -1'AMC_4_1 + -1'AMC_5_1 + -1'AMC_6_1 + -1'AMC_7_1 + -1'AMC_8_1 + -1'AMC_9_1 + -1'AMC_10_1 + -1'AMC_11_1 + -1'AMC_12_1 + -1'AMC_13_1 + -1'AMC_14_1 + -1'AMC_15_1 + 1'AMC_1_2 + 1'AMC_2_2 + 1'AMC_3_2 + 1'AMC_4_2 + 1'AMC_5_2 + 1'AMC_6_2 + 1'AMC_7_2 + 1'AMC_8_2 + 1'AMC_9_2 + 1'AMC_10_2 + 1'AMC_11_2 + 1'AMC_12_2 + 1'AMC_13_2 + 1'AMC_14_2 + 1'AMC_15_2 + 1'Cpt1_2= 0
invariant : 1'AMC_14_1 + 1'AMC_14_2 + 1'AMC_14_3 + 1'AMC_14_4 + 1'AMC_14_5 + 1'AMC_14_6 + 1'AMC_14_7 + 1'AMC_14_8 + 1'AMC_14_9 + 1'AMC_14_10 + 1'AMC_14_11 + 1'AMC_14_12 + 1'AMC_14_13 + 1'AMC_14_14 + 1'AMC_14_15 + 1'cable_used_14 + 1'RMC_14 + -1'FMC_14 + 1'listen_14 + 1'wait_msg_14 + 1'loop_em_14= 1
invariant : 1'wait_ack_6_1 + 1'wait_ack_6_2 + 1'wait_ack_6_3 + 1'wait_ack_6_4 + 1'wait_ack_6_5 + 1'wait_ack_6_7 + 1'wait_ack_6_8 + 1'wait_ack_6_9 + 1'wait_ack_6_10 + 1'wait_ack_6_11 + 1'wait_ack_6_12 + 1'wait_ack_6_13 + 1'wait_ack_6_14 + 1'wait_ack_6_15 + -1'AMC_6_1 + -1'AMC_6_2 + -1'AMC_6_3 + -1'AMC_6_4 + -1'AMC_6_5 + -1'AMC_6_6 + -1'AMC_6_7 + -1'AMC_6_8 + -1'AMC_6_9 + -1'AMC_6_10 + -1'AMC_6_11 + -1'AMC_6_12 + -1'AMC_6_13 + -1'AMC_6_14 + -1'AMC_6_15 + -1'cable_used_6 + -1'RMC_6 + 1'FMC_6 + 1'wait_cable_6= 0
invariant : 1'AMC_5_1 + 1'AMC_5_2 + 1'AMC_5_3 + 1'AMC_5_4 + 1'AMC_5_5 + 1'AMC_5_6 + 1'AMC_5_7 + 1'AMC_5_8 + 1'AMC_5_9 + 1'AMC_5_10 + 1'AMC_5_11 + 1'AMC_5_12 + 1'AMC_5_13 + 1'AMC_5_14 + 1'AMC_5_15 + 1'cable_used_5 + 1'RMC_5 + -1'FMC_5 + 1'listen_5 + 1'wait_msg_5 + 1'loop_em_5= 1
invariant : -1'Cpt2_12 + -1'AMC_1_11 + -1'AMC_2_11 + -1'AMC_3_11 + -1'AMC_4_11 + -1'AMC_5_11 + -1'AMC_6_11 + -1'AMC_7_11 + -1'AMC_8_11 + -1'AMC_9_11 + -1'AMC_10_11 + -1'AMC_11_11 + -1'AMC_12_11 + -1'AMC_13_11 + -1'AMC_14_11 + -1'AMC_15_11 + 1'AMC_1_12 + 1'AMC_2_12 + 1'AMC_3_12 + 1'AMC_4_12 + 1'AMC_5_12 + 1'AMC_6_12 + 1'AMC_7_12 + 1'AMC_8_12 + 1'AMC_9_12 + 1'AMC_10_12 + 1'AMC_11_12 + 1'AMC_12_12 + 1'AMC_13_12 + 1'AMC_14_12 + 1'AMC_15_12 + 1'Cpt1_12= 0
invariant : -1'Cpt2_7 + -1'AMC_1_6 + -1'AMC_2_6 + -1'AMC_3_6 + -1'AMC_4_6 + -1'AMC_5_6 + -1'AMC_6_6 + -1'AMC_7_6 + -1'AMC_8_6 + -1'AMC_9_6 + -1'AMC_10_6 + -1'AMC_11_6 + -1'AMC_12_6 + -1'AMC_13_6 + -1'AMC_14_6 + -1'AMC_15_6 + 1'AMC_1_7 + 1'AMC_2_7 + 1'AMC_3_7 + 1'AMC_4_7 + 1'AMC_5_7 + 1'AMC_6_7 + 1'AMC_7_7 + 1'AMC_8_7 + 1'AMC_9_7 + 1'AMC_10_7 + 1'AMC_11_7 + 1'AMC_12_7 + 1'AMC_13_7 + 1'AMC_14_7 + 1'AMC_15_7 + 1'Cpt1_7= 0
invariant : 1'wait_ack_12_1 + 1'wait_ack_12_2 + 1'wait_ack_12_3 + 1'wait_ack_12_4 + 1'wait_ack_12_5 + 1'wait_ack_12_6 + 1'wait_ack_12_7 + 1'wait_ack_12_8 + 1'wait_ack_12_9 + 1'wait_ack_12_10 + 1'wait_ack_12_11 + 1'wait_ack_12_13 + 1'wait_ack_12_14 + 1'wait_ack_12_15 + -1'cable_used_12 + 1'FMC_12 + 1'PMC_12= 0
invariant : 1'wait_ack_1_2 + 1'wait_ack_1_3 + 1'wait_ack_1_4 + 1'wait_ack_1_5 + 1'wait_ack_1_6 + 1'wait_ack_1_7 + 1'wait_ack_1_8 + 1'wait_ack_1_9 + 1'wait_ack_1_10 + 1'wait_ack_1_11 + 1'wait_ack_1_12 + 1'wait_ack_1_13 + 1'wait_ack_1_14 + 1'wait_ack_1_15 + -1'AMC_1_1 + -1'AMC_1_2 + -1'AMC_1_3 + -1'AMC_1_4 + -1'AMC_1_5 + -1'AMC_1_6 + -1'AMC_1_7 + -1'AMC_1_8 + -1'AMC_1_9 + -1'AMC_1_10 + -1'AMC_1_11 + -1'AMC_1_12 + -1'AMC_1_13 + -1'AMC_1_14 + -1'AMC_1_15 + -1'cable_used_1 + -1'RMC_1 + 1'FMC_1 + 1'wait_cable_1= 0
invariant : 1'wait_ack_2_1 + 1'wait_ack_2_3 + 1'wait_ack_2_4 + 1'wait_ack_2_5 + 1'wait_ack_2_6 + 1'wait_ack_2_7 + 1'wait_ack_2_8 + 1'wait_ack_2_9 + 1'wait_ack_2_10 + 1'wait_ack_2_11 + 1'wait_ack_2_12 + 1'wait_ack_2_13 + 1'wait_ack_2_14 + 1'wait_ack_2_15 + -1'cable_used_2 + 1'FMC_2 + 1'PMC_2= 0
invariant : 1'wait_ack_3_1 + 1'wait_ack_3_2 + 1'wait_ack_3_4 + 1'wait_ack_3_5 + 1'wait_ack_3_6 + 1'wait_ack_3_7 + 1'wait_ack_3_8 + 1'wait_ack_3_9 + 1'wait_ack_3_10 + 1'wait_ack_3_11 + 1'wait_ack_3_12 + 1'wait_ack_3_13 + 1'wait_ack_3_14 + 1'wait_ack_3_15 + -1'cable_used_3 + 1'FMC_3 + 1'PMC_3= 0
invariant : 1'wait_ack_5_1 + 1'wait_ack_5_2 + 1'wait_ack_5_3 + 1'wait_ack_5_4 + 1'wait_ack_5_6 + 1'wait_ack_5_7 + 1'wait_ack_5_8 + 1'wait_ack_5_9 + 1'wait_ack_5_10 + 1'wait_ack_5_11 + 1'wait_ack_5_12 + 1'wait_ack_5_13 + 1'wait_ack_5_14 + 1'wait_ack_5_15 + -1'AMC_5_1 + -1'AMC_5_2 + -1'AMC_5_3 + -1'AMC_5_4 + -1'AMC_5_5 + -1'AMC_5_6 + -1'AMC_5_7 + -1'AMC_5_8 + -1'AMC_5_9 + -1'AMC_5_10 + -1'AMC_5_11 + -1'AMC_5_12 + -1'AMC_5_13 + -1'AMC_5_14 + -1'AMC_5_15 + -1'cable_used_5 + -1'RMC_5 + 1'FMC_5 + 1'wait_cable_5= 0
invariant : 1'wait_ack_3_1 + 1'wait_ack_3_2 + 1'wait_ack_3_4 + 1'wait_ack_3_5 + 1'wait_ack_3_6 + 1'wait_ack_3_7 + 1'wait_ack_3_8 + 1'wait_ack_3_9 + 1'wait_ack_3_10 + 1'wait_ack_3_11 + 1'wait_ack_3_12 + 1'wait_ack_3_13 + 1'wait_ack_3_14 + 1'wait_ack_3_15 + -1'AMC_3_1 + -1'AMC_3_2 + -1'AMC_3_3 + -1'AMC_3_4 + -1'AMC_3_5 + -1'AMC_3_6 + -1'AMC_3_7 + -1'AMC_3_8 + -1'AMC_3_9 + -1'AMC_3_10 + -1'AMC_3_11 + -1'AMC_3_12 + -1'AMC_3_13 + -1'AMC_3_14 + -1'AMC_3_15 + -1'cable_used_3 + -1'RMC_3 + 1'FMC_3 + 1'wait_cable_3= 0
invariant : 1'AMC_2_1 + 1'AMC_2_2 + 1'AMC_2_3 + 1'AMC_2_4 + 1'AMC_2_5 + 1'AMC_2_6 + 1'AMC_2_7 + 1'AMC_2_8 + 1'AMC_2_9 + 1'AMC_2_10 + 1'AMC_2_11 + 1'AMC_2_12 + 1'AMC_2_13 + 1'AMC_2_14 + 1'AMC_2_15 + 1'cable_used_2 + 1'RMC_2 + -1'FMC_2 + 1'listen_2 + 1'wait_msg_2 + 1'loop_em_2= 1
invariant : 1'AMC_10_1 + 1'AMC_10_2 + 1'AMC_10_3 + 1'AMC_10_4 + 1'AMC_10_5 + 1'AMC_10_6 + 1'AMC_10_7 + 1'AMC_10_8 + 1'AMC_10_9 + 1'AMC_10_10 + 1'AMC_10_11 + 1'AMC_10_12 + 1'AMC_10_13 + 1'AMC_10_14 + 1'AMC_10_15 + 1'cable_used_10 + 1'RMC_10 + -1'FMC_10 + 1'listen_10 + 1'wait_msg_10 + 1'loop_em_10= 1
invariant : -1'Cpt2_5 + -1'AMC_1_4 + -1'AMC_2_4 + -1'AMC_3_4 + -1'AMC_4_4 + -1'AMC_5_4 + -1'AMC_6_4 + -1'AMC_7_4 + -1'AMC_8_4 + -1'AMC_9_4 + -1'AMC_10_4 + -1'AMC_11_4 + -1'AMC_12_4 + -1'AMC_13_4 + -1'AMC_14_4 + -1'AMC_15_4 + 1'AMC_1_5 + 1'AMC_2_5 + 1'AMC_3_5 + 1'AMC_4_5 + 1'AMC_5_5 + 1'AMC_6_5 + 1'AMC_7_5 + 1'AMC_8_5 + 1'AMC_9_5 + 1'AMC_10_5 + 1'AMC_11_5 + 1'AMC_12_5 + 1'AMC_13_5 + 1'AMC_14_5 + 1'AMC_15_5 + 1'Cpt1_5= 0
invariant : 1'wait_ack_4_1 + 1'wait_ack_4_2 + 1'wait_ack_4_3 + 1'wait_ack_4_5 + 1'wait_ack_4_6 + 1'wait_ack_4_7 + 1'wait_ack_4_8 + 1'wait_ack_4_9 + 1'wait_ack_4_10 + 1'wait_ack_4_11 + 1'wait_ack_4_12 + 1'wait_ack_4_13 + 1'wait_ack_4_14 + 1'wait_ack_4_15 + -1'AMC_4_1 + -1'AMC_4_2 + -1'AMC_4_3 + -1'AMC_4_4 + -1'AMC_4_5 + -1'AMC_4_6 + -1'AMC_4_7 + -1'AMC_4_8 + -1'AMC_4_9 + -1'AMC_4_10 + -1'AMC_4_11 + -1'AMC_4_12 + -1'AMC_4_13 + -1'AMC_4_14 + -1'AMC_4_15 + -1'cable_used_4 + -1'RMC_4 + 1'FMC_4 + 1'wait_cable_4= 0
invariant : 1'AMC_6_1 + 1'AMC_6_2 + 1'AMC_6_3 + 1'AMC_6_4 + 1'AMC_6_5 + 1'AMC_6_6 + 1'AMC_6_7 + 1'AMC_6_8 + 1'AMC_6_9 + 1'AMC_6_10 + 1'AMC_6_11 + 1'AMC_6_12 + 1'AMC_6_13 + 1'AMC_6_14 + 1'AMC_6_15 + 1'cable_used_6 + 1'RMC_6 + -1'FMC_6 + 1'listen_6 + 1'wait_msg_6 + 1'loop_em_6= 1
invariant : 1'AMC_12_1 + 1'AMC_12_2 + 1'AMC_12_3 + 1'AMC_12_4 + 1'AMC_12_5 + 1'AMC_12_6 + 1'AMC_12_7 + 1'AMC_12_8 + 1'AMC_12_9 + 1'AMC_12_10 + 1'AMC_12_11 + 1'AMC_12_12 + 1'AMC_12_13 + 1'AMC_12_14 + 1'AMC_12_15 + 1'cable_used_12 + 1'RMC_12 + -1'FMC_12 + 1'listen_12 + 1'wait_msg_12 + 1'loop_em_12= 1
invariant : 1'wait_ack_15_1 + 1'wait_ack_15_2 + 1'wait_ack_15_3 + 1'wait_ack_15_4 + 1'wait_ack_15_5 + 1'wait_ack_15_6 + 1'wait_ack_15_7 + 1'wait_ack_15_8 + 1'wait_ack_15_9 + 1'wait_ack_15_10 + 1'wait_ack_15_11 + 1'wait_ack_15_12 + 1'wait_ack_15_13 + 1'wait_ack_15_14 + -1'cable_used_15 + -1'FMCb + -1'FMC_1 + -1'FMC_2 + -1'FMC_3 + -1'FMC_4 + -1'FMC_5 + -1'FMC_6 + -1'FMC_7 + -1'FMC_8 + -1'FMC_9 + -1'FMC_10 + -1'FMC_11 + -1'FMC_12 + -1'FMC_13 + -1'FMC_14 + 1'PMC_15= -1
invariant : 1'wait_ack_13_1 + 1'wait_ack_13_2 + 1'wait_ack_13_3 + 1'wait_ack_13_4 + 1'wait_ack_13_5 + 1'wait_ack_13_6 + 1'wait_ack_13_7 + 1'wait_ack_13_8 + 1'wait_ack_13_9 + 1'wait_ack_13_10 + 1'wait_ack_13_11 + 1'wait_ack_13_12 + 1'wait_ack_13_14 + 1'wait_ack_13_15 + -1'AMC_13_1 + -1'AMC_13_2 + -1'AMC_13_3 + -1'AMC_13_4 + -1'AMC_13_5 + -1'AMC_13_6 + -1'AMC_13_7 + -1'AMC_13_8 + -1'AMC_13_9 + -1'AMC_13_10 + -1'AMC_13_11 + -1'AMC_13_12 + -1'AMC_13_13 + -1'AMC_13_14 + -1'AMC_13_15 + -1'cable_used_13 + -1'RMC_13 + 1'FMC_13 + 1'wait_cable_13= 0
invariant : 1'AMC_7_1 + 1'AMC_7_2 + 1'AMC_7_3 + 1'AMC_7_4 + 1'AMC_7_5 + 1'AMC_7_6 + 1'AMC_7_7 + 1'AMC_7_8 + 1'AMC_7_9 + 1'AMC_7_10 + 1'AMC_7_11 + 1'AMC_7_12 + 1'AMC_7_13 + 1'AMC_7_14 + 1'AMC_7_15 + 1'cable_used_7 + 1'RMC_7 + -1'FMC_7 + 1'listen_7 + 1'wait_msg_7 + 1'loop_em_7= 1
invariant : 1'Cpt2_1 + 1'Cpt2_2 + 1'Cpt2_3 + 1'Cpt2_4 + 1'Cpt2_5 + 1'Cpt2_6 + 1'Cpt2_7 + 1'Cpt2_8 + 1'Cpt2_9 + 1'Cpt2_10 + 1'Cpt2_11 + 1'Cpt2_12 + 1'Cpt2_13 + 1'Cpt2_14 + -1'AMC_1_14 + -1'AMC_2_14 + -1'AMC_3_14 + -1'AMC_4_14 + -1'AMC_5_14 + -1'AMC_6_14 + -1'AMC_7_14 + -1'AMC_8_14 + -1'AMC_9_14 + -1'AMC_10_14 + -1'AMC_11_14 + -1'AMC_12_14 + -1'AMC_13_14 + -1'AMC_14_14 + -1'AMC_15_14 + 1'AMC_1_15 + 1'AMC_2_15 + 1'AMC_3_15 + 1'AMC_4_15 + 1'AMC_5_15 + 1'AMC_6_15 + 1'AMC_7_15 + 1'AMC_8_15 + 1'AMC_9_15 + 1'AMC_10_15 + 1'AMC_11_15 + 1'AMC_12_15 + 1'AMC_13_15 + 1'AMC_14_15 + 1'AMC_15_15 + 1'Cpt1_15= 1
invariant : 1'wait_ack_9_1 + 1'wait_ack_9_2 + 1'wait_ack_9_3 + 1'wait_ack_9_4 + 1'wait_ack_9_5 + 1'wait_ack_9_6 + 1'wait_ack_9_7 + 1'wait_ack_9_8 + 1'wait_ack_9_10 + 1'wait_ack_9_11 + 1'wait_ack_9_12 + 1'wait_ack_9_13 + 1'wait_ack_9_14 + 1'wait_ack_9_15 + -1'AMC_9_1 + -1'AMC_9_2 + -1'AMC_9_3 + -1'AMC_9_4 + -1'AMC_9_5 + -1'AMC_9_6 + -1'AMC_9_7 + -1'AMC_9_8 + -1'AMC_9_9 + -1'AMC_9_10 + -1'AMC_9_11 + -1'AMC_9_12 + -1'AMC_9_13 + -1'AMC_9_14 + -1'AMC_9_15 + -1'cable_used_9 + -1'RMC_9 + 1'FMC_9 + 1'wait_cable_9= 0
invariant : -1'Cpt2_11 + -1'AMC_1_10 + -1'AMC_2_10 + -1'AMC_3_10 + -1'AMC_4_10 + -1'AMC_5_10 + -1'AMC_6_10 + -1'AMC_7_10 + -1'AMC_8_10 + -1'AMC_9_10 + -1'AMC_10_10 + -1'AMC_11_10 + -1'AMC_12_10 + -1'AMC_13_10 + -1'AMC_14_10 + -1'AMC_15_10 + 1'AMC_1_11 + 1'AMC_2_11 + 1'AMC_3_11 + 1'AMC_4_11 + 1'AMC_5_11 + 1'AMC_6_11 + 1'AMC_7_11 + 1'AMC_8_11 + 1'AMC_9_11 + 1'AMC_10_11 + 1'AMC_11_11 + 1'AMC_12_11 + 1'AMC_13_11 + 1'AMC_14_11 + 1'AMC_15_11 + 1'Cpt1_11= 0
invariant : -1'Cpt2_8 + -1'AMC_1_7 + -1'AMC_2_7 + -1'AMC_3_7 + -1'AMC_4_7 + -1'AMC_5_7 + -1'AMC_6_7 + -1'AMC_7_7 + -1'AMC_8_7 + -1'AMC_9_7 + -1'AMC_10_7 + -1'AMC_11_7 + -1'AMC_12_7 + -1'AMC_13_7 + -1'AMC_14_7 + -1'AMC_15_7 + 1'AMC_1_8 + 1'AMC_2_8 + 1'AMC_3_8 + 1'AMC_4_8 + 1'AMC_5_8 + 1'AMC_6_8 + 1'AMC_7_8 + 1'AMC_8_8 + 1'AMC_9_8 + 1'AMC_10_8 + 1'AMC_11_8 + 1'AMC_12_8 + 1'AMC_13_8 + 1'AMC_14_8 + 1'AMC_15_8 + 1'Cpt1_8= 0
invariant : 1'wait_ack_5_1 + 1'wait_ack_5_2 + 1'wait_ack_5_3 + 1'wait_ack_5_4 + 1'wait_ack_5_6 + 1'wait_ack_5_7 + 1'wait_ack_5_8 + 1'wait_ack_5_9 + 1'wait_ack_5_10 + 1'wait_ack_5_11 + 1'wait_ack_5_12 + 1'wait_ack_5_13 + 1'wait_ack_5_14 + 1'wait_ack_5_15 + -1'cable_used_5 + 1'FMC_5 + 1'PMC_5= 0
invariant : -1'Cpt2_9 + -1'AMC_1_8 + -1'AMC_2_8 + -1'AMC_3_8 + -1'AMC_4_8 + -1'AMC_5_8 + -1'AMC_6_8 + -1'AMC_7_8 + -1'AMC_8_8 + -1'AMC_9_8 + -1'AMC_10_8 + -1'AMC_11_8 + -1'AMC_12_8 + -1'AMC_13_8 + -1'AMC_14_8 + -1'AMC_15_8 + 1'AMC_1_9 + 1'AMC_2_9 + 1'AMC_3_9 + 1'AMC_4_9 + 1'AMC_5_9 + 1'AMC_6_9 + 1'AMC_7_9 + 1'AMC_8_9 + 1'AMC_9_9 + 1'AMC_10_9 + 1'AMC_11_9 + 1'AMC_12_9 + 1'AMC_13_9 + 1'AMC_14_9 + 1'AMC_15_9 + 1'Cpt1_9= 0
invariant : -1'Cpt2_1 + 1'AMC_1_1 + 1'AMC_2_1 + 1'AMC_3_1 + 1'AMC_4_1 + 1'AMC_5_1 + 1'AMC_6_1 + 1'AMC_7_1 + 1'AMC_8_1 + 1'AMC_9_1 + 1'AMC_10_1 + 1'AMC_11_1 + 1'AMC_12_1 + 1'AMC_13_1 + 1'AMC_14_1 + 1'AMC_15_1 + -1'AMC_1_15 + -1'AMC_2_15 + -1'AMC_3_15 + -1'AMC_4_15 + -1'AMC_5_15 + -1'AMC_6_15 + -1'AMC_7_15 + -1'AMC_8_15 + -1'AMC_9_15 + -1'AMC_10_15 + -1'AMC_11_15 + -1'AMC_12_15 + -1'AMC_13_15 + -1'AMC_14_15 + -1'AMC_15_15 + 1'Cpt1_1= 0
invariant : 1'Cpt2_1 + 1'Cpt2_2 + 1'Cpt2_3 + 1'Cpt2_4 + 1'Cpt2_5 + 1'Cpt2_6 + 1'Cpt2_7 + 1'Cpt2_8 + 1'Cpt2_9 + 1'Cpt2_10 + 1'Cpt2_11 + 1'Cpt2_12 + 1'Cpt2_13 + 1'Cpt2_14 + 1'Cpt2_15= 1
invariant : -1'Cpt2_13 + -1'AMC_1_12 + -1'AMC_2_12 + -1'AMC_3_12 + -1'AMC_4_12 + -1'AMC_5_12 + -1'AMC_6_12 + -1'AMC_7_12 + -1'AMC_8_12 + -1'AMC_9_12 + -1'AMC_10_12 + -1'AMC_11_12 + -1'AMC_12_12 + -1'AMC_13_12 + -1'AMC_14_12 + -1'AMC_15_12 + 1'AMC_1_13 + 1'AMC_2_13 + 1'AMC_3_13 + 1'AMC_4_13 + 1'AMC_5_13 + 1'AMC_6_13 + 1'AMC_7_13 + 1'AMC_8_13 + 1'AMC_9_13 + 1'AMC_10_13 + 1'AMC_11_13 + 1'AMC_12_13 + 1'AMC_13_13 + 1'AMC_14_13 + 1'AMC_15_13 + 1'Cpt1_13= 0
invariant : 1'ACK + 1'T_out + -1'wait_ack_2_1 + -1'wait_ack_3_1 + -1'wait_ack_4_1 + -1'wait_ack_5_1 + -1'wait_ack_6_1 + -1'wait_ack_7_1 + -1'wait_ack_8_1 + -1'wait_ack_9_1 + -1'wait_ack_10_1 + -1'wait_ack_11_1 + -1'wait_ack_12_1 + -1'wait_ack_13_1 + -1'wait_ack_14_1 + -1'wait_ack_15_1 + -1'wait_ack_1_2 + -1'wait_ack_3_2 + -1'wait_ack_4_2 + -1'wait_ack_5_2 + -1'wait_ack_6_2 + -1'wait_ack_7_2 + -1'wait_ack_8_2 + -1'wait_ack_9_2 + -1'wait_ack_10_2 + -1'wait_ack_11_2 + -1'wait_ack_12_2 + -1'wait_ack_13_2 + -1'wait_ack_14_2 + -1'wait_ack_15_2 + -1'wait_ack_1_3 + -1'wait_ack_2_3 + -1'wait_ack_4_3 + -1'wait_ack_5_3 + -1'wait_ack_6_3 + -1'wait_ack_7_3 + -1'wait_ack_8_3 + -1'wait_ack_9_3 + -1'wait_ack_10_3 + -1'wait_ack_11_3 + -1'wait_ack_12_3 + -1'wait_ack_13_3 + -1'wait_ack_14_3 + -1'wait_ack_15_3 + -1'wait_ack_1_4 + -1'wait_ack_2_4 + -1'wait_ack_3_4 + -1'wait_ack_5_4 + -1'wait_ack_6_4 + -1'wait_ack_7_4 + -1'wait_ack_8_4 + -1'wait_ack_9_4 + -1'wait_ack_10_4 + -1'wait_ack_11_4 + -1'wait_ack_12_4 + -1'wait_ack_13_4 + -1'wait_ack_14_4 + -1'wait_ack_15_4 + -1'wait_ack_1_5 + -1'wait_ack_2_5 + -1'wait_ack_3_5 + -1'wait_ack_4_5 + -1'wait_ack_6_5 + -1'wait_ack_7_5 + -1'wait_ack_8_5 + -1'wait_ack_9_5 + -1'wait_ack_10_5 + -1'wait_ack_11_5 + -1'wait_ack_12_5 + -1'wait_ack_13_5 + -1'wait_ack_14_5 + -1'wait_ack_15_5 + -1'wait_ack_1_6 + -1'wait_ack_2_6 + -1'wait_ack_3_6 + -1'wait_ack_4_6 + -1'wait_ack_5_6 + -1'wait_ack_7_6 + -1'wait_ack_8_6 + -1'wait_ack_9_6 + -1'wait_ack_10_6 + -1'wait_ack_11_6 + -1'wait_ack_12_6 + -1'wait_ack_13_6 + -1'wait_ack_14_6 + -1'wait_ack_15_6 + -1'wait_ack_1_7 + -1'wait_ack_2_7 + -1'wait_ack_3_7 + -1'wait_ack_4_7 + -1'wait_ack_5_7 + -1'wait_ack_6_7 + -1'wait_ack_8_7 + -1'wait_ack_9_7 + -1'wait_ack_10_7 + -1'wait_ack_11_7 + -1'wait_ack_12_7 + -1'wait_ack_13_7 + -1'wait_ack_14_7 + -1'wait_ack_15_7 + -1'wait_ack_1_8 + -1'wait_ack_2_8 + -1'wait_ack_3_8 + -1'wait_ack_4_8 + -1'wait_ack_5_8 + -1'wait_ack_6_8 + -1'wait_ack_7_8 + -1'wait_ack_9_8 + -1'wait_ack_10_8 + -1'wait_ack_11_8 + -1'wait_ack_12_8 + -1'wait_ack_13_8 + -1'wait_ack_14_8 + -1'wait_ack_15_8 + -1'wait_ack_1_9 + -1'wait_ack_2_9 + -1'wait_ack_3_9 + -1'wait_ack_4_9 + -1'wait_ack_5_9 + -1'wait_ack_6_9 + -1'wait_ack_7_9 + -1'wait_ack_8_9 + -1'wait_ack_10_9 + -1'wait_ack_11_9 + -1'wait_ack_12_9 + -1'wait_ack_13_9 + -1'wait_ack_14_9 + -1'wait_ack_15_9 + -1'wait_ack_1_10 + -1'wait_ack_2_10 + -1'wait_ack_3_10 + -1'wait_ack_4_10 + -1'wait_ack_5_10 + -1'wait_ack_6_10 + -1'wait_ack_7_10 + -1'wait_ack_8_10 + -1'wait_ack_9_10 + -1'wait_ack_11_10 + -1'wait_ack_12_10 + -1'wait_ack_13_10 + -1'wait_ack_14_10 + -1'wait_ack_15_10 + -1'wait_ack_1_11 + -1'wait_ack_2_11 + -1'wait_ack_3_11 + -1'wait_ack_4_11 + -1'wait_ack_5_11 + -1'wait_ack_6_11 + -1'wait_ack_7_11 + -1'wait_ack_8_11 + -1'wait_ack_9_11 + -1'wait_ack_10_11 + -1'wait_ack_12_11 + -1'wait_ack_13_11 + -1'wait_ack_14_11 + -1'wait_ack_15_11 + -1'wait_ack_1_12 + -1'wait_ack_2_12 + -1'wait_ack_3_12 + -1'wait_ack_4_12 + -1'wait_ack_5_12 + -1'wait_ack_6_12 + -1'wait_ack_7_12 + -1'wait_ack_8_12 + -1'wait_ack_9_12 + -1'wait_ack_10_12 + -1'wait_ack_11_12 + -1'wait_ack_13_12 + -1'wait_ack_14_12 + -1'wait_ack_15_12 + -1'wait_ack_1_13 + -1'wait_ack_2_13 + -1'wait_ack_3_13 + -1'wait_ack_4_13 + -1'wait_ack_5_13 + -1'wait_ack_6_13 + -1'wait_ack_7_13 + -1'wait_ack_8_13 + -1'wait_ack_9_13 + -1'wait_ack_10_13 + -1'wait_ack_11_13 + -1'wait_ack_12_13 + -1'wait_ack_14_13 + -1'wait_ack_15_13 + -1'wait_ack_1_14 + -1'wait_ack_2_14 + -1'wait_ack_3_14 + -1'wait_ack_4_14 + -1'wait_ack_5_14 + -1'wait_ack_6_14 + -1'wait_ack_7_14 + -1'wait_ack_8_14 + -1'wait_ack_9_14 + -1'wait_ack_10_14 + -1'wait_ack_11_14 + -1'wait_ack_12_14 + -1'wait_ack_13_14 + -1'wait_ack_15_14 + -1'wait_ack_1_15 + -1'wait_ack_2_15 + -1'wait_ack_3_15 + -1'wait_ack_4_15 + -1'wait_ack_5_15 + -1'wait_ack_6_15 + -1'wait_ack_7_15 + -1'wait_ack_8_15 + -1'wait_ack_9_15 + -1'wait_ack_10_15 + -1'wait_ack_11_15 + -1'wait_ack_12_15 + -1'wait_ack_13_15 + -1'wait_ack_14_15 + 1'MSG_1 + 1'MSG_2 + 1'MSG_3 + 1'MSG_4 + 1'MSG_5 + 1'MSG_6 + 1'MSG_7 + 1'MSG_8 + 1'MSG_9 + 1'MSG_10 + 1'MSG_11 + 1'MSG_12 + 1'MSG_13 + 1'MSG_14 + 1'MSG_15= 0
invariant : 1'wait_ack_10_1 + 1'wait_ack_10_2 + 1'wait_ack_10_3 + 1'wait_ack_10_4 + 1'wait_ack_10_5 + 1'wait_ack_10_6 + 1'wait_ack_10_7 + 1'wait_ack_10_8 + 1'wait_ack_10_9 + 1'wait_ack_10_11 + 1'wait_ack_10_12 + 1'wait_ack_10_13 + 1'wait_ack_10_14 + 1'wait_ack_10_15 + -1'cable_used_10 + 1'FMC_10 + 1'PMC_10= 0
invariant : 1'wait_ack_11_1 + 1'wait_ack_11_2 + 1'wait_ack_11_3 + 1'wait_ack_11_4 + 1'wait_ack_11_5 + 1'wait_ack_11_6 + 1'wait_ack_11_7 + 1'wait_ack_11_8 + 1'wait_ack_11_9 + 1'wait_ack_11_10 + 1'wait_ack_11_12 + 1'wait_ack_11_13 + 1'wait_ack_11_14 + 1'wait_ack_11_15 + -1'cable_used_11 + 1'FMC_11 + 1'PMC_11= 0
invariant : 1'wait_ack_9_1 + 1'wait_ack_9_2 + 1'wait_ack_9_3 + 1'wait_ack_9_4 + 1'wait_ack_9_5 + 1'wait_ack_9_6 + 1'wait_ack_9_7 + 1'wait_ack_9_8 + 1'wait_ack_9_10 + 1'wait_ack_9_11 + 1'wait_ack_9_12 + 1'wait_ack_9_13 + 1'wait_ack_9_14 + 1'wait_ack_9_15 + -1'cable_used_9 + 1'FMC_9 + 1'PMC_9= 0
invariant : 1'wait_ack_13_1 + 1'wait_ack_13_2 + 1'wait_ack_13_3 + 1'wait_ack_13_4 + 1'wait_ack_13_5 + 1'wait_ack_13_6 + 1'wait_ack_13_7 + 1'wait_ack_13_8 + 1'wait_ack_13_9 + 1'wait_ack_13_10 + 1'wait_ack_13_11 + 1'wait_ack_13_12 + 1'wait_ack_13_14 + 1'wait_ack_13_15 + -1'cable_used_13 + 1'FMC_13 + 1'PMC_13= 0
invariant : 1'wait_ack_12_1 + 1'wait_ack_12_2 + 1'wait_ack_12_3 + 1'wait_ack_12_4 + 1'wait_ack_12_5 + 1'wait_ack_12_6 + 1'wait_ack_12_7 + 1'wait_ack_12_8 + 1'wait_ack_12_9 + 1'wait_ack_12_10 + 1'wait_ack_12_11 + 1'wait_ack_12_13 + 1'wait_ack_12_14 + 1'wait_ack_12_15 + -1'AMC_12_1 + -1'AMC_12_2 + -1'AMC_12_3 + -1'AMC_12_4 + -1'AMC_12_5 + -1'AMC_12_6 + -1'AMC_12_7 + -1'AMC_12_8 + -1'AMC_12_9 + -1'AMC_12_10 + -1'AMC_12_11 + -1'AMC_12_12 + -1'AMC_12_13 + -1'AMC_12_14 + -1'AMC_12_15 + -1'cable_used_12 + -1'RMC_12 + 1'FMC_12 + 1'wait_cable_12= 0
invariant : 1'AMC_8_1 + 1'AMC_8_2 + 1'AMC_8_3 + 1'AMC_8_4 + 1'AMC_8_5 + 1'AMC_8_6 + 1'AMC_8_7 + 1'AMC_8_8 + 1'AMC_8_9 + 1'AMC_8_10 + 1'AMC_8_11 + 1'AMC_8_12 + 1'AMC_8_13 + 1'AMC_8_14 + 1'AMC_8_15 + 1'cable_used_8 + 1'RMC_8 + -1'FMC_8 + 1'listen_8 + 1'wait_msg_8 + 1'loop_em_8= 1
invariant : 1'cable_used_1 + 1'cable_used_2 + 1'cable_used_3 + 1'cable_used_4 + 1'cable_used_5 + 1'cable_used_6 + 1'cable_used_7 + 1'cable_used_8 + 1'cable_used_9 + 1'cable_used_10 + 1'cable_used_11 + 1'cable_used_12 + 1'cable_used_13 + 1'cable_used_14 + 1'cable_used_15 + 1'cable_free= 1
invariant : -1'Cpt2_10 + -1'AMC_1_9 + -1'AMC_2_9 + -1'AMC_3_9 + -1'AMC_4_9 + -1'AMC_5_9 + -1'AMC_6_9 + -1'AMC_7_9 + -1'AMC_8_9 + -1'AMC_9_9 + -1'AMC_10_9 + -1'AMC_11_9 + -1'AMC_12_9 + -1'AMC_13_9 + -1'AMC_14_9 + -1'AMC_15_9 + 1'AMC_1_10 + 1'AMC_2_10 + 1'AMC_3_10 + 1'AMC_4_10 + 1'AMC_5_10 + 1'AMC_6_10 + 1'AMC_7_10 + 1'AMC_8_10 + 1'AMC_9_10 + 1'AMC_10_10 + 1'AMC_11_10 + 1'AMC_12_10 + 1'AMC_13_10 + 1'AMC_14_10 + 1'AMC_15_10 + 1'Cpt1_10= 0
Detected timeout of ITS tools.

BK_TIME_CONFINEMENT_REACHED

--------------------
content from stderr:

+ export BINDIR=/home/mcc/BenchKit/
+ BINDIR=/home/mcc/BenchKit/
++ pwd
+ export MODEL=/home/mcc/execution
+ MODEL=/home/mcc/execution
+ [[ LTLFireability = StateSpace ]]
+ /home/mcc/BenchKit//runeclipse.sh /home/mcc/execution LTLFireability -its -ltsminpath /home/mcc/BenchKit//lts_install_dir/ -smt
+ ulimit -s 65536
+ java -Dosgi.requiredJavaVersion=1.6 -Xss8m -Xms40m -Xmx8192m -Declipse.pde.launch=true -Dfile.encoding=UTF-8 -classpath /home/mcc/BenchKit//eclipse/plugins/org.eclipse.equinox.launcher_1.3.201.v20161025-1711.jar org.eclipse.equinox.launcher.Main -application fr.lip6.move.gal.application.pnmcc -data /home/mcc/BenchKit//workspace -os linux -ws gtk -arch x86_64 -nl en_US -consoleLog -pnfolder /home/mcc/execution -examination LTLFireability -yices2path /home/mcc/BenchKit//yices/bin/yices -its -ltsminpath /home/mcc/BenchKit//lts_install_dir/ -smt
Jun 01, 2017 11:35:48 AM fr.lip6.move.gal.application.MccTranslator transformPNML
INFO: Parsing pnml file : /home/mcc/execution/model.pnml
Jun 01, 2017 11:35:48 AM fr.lip6.move.gal.nupn.PTNetReader loadFromXML
INFO: Load time of PNML (sax parser for PT used): 389 ms
Jun 01, 2017 11:35:48 AM fr.lip6.move.gal.pnml.togal.PTGALTransformer handlePage
INFO: Transformed 621 places.
Jun 01, 2017 11:35:49 AM fr.lip6.move.gal.pnml.togal.PTGALTransformer handlePage
INFO: Transformed 4771 transitions.
Jun 01, 2017 11:35:50 AM fr.lip6.move.gal.instantiate.DomainAnalyzer computeVariableDomains
INFO: Found a total of 15 fixed domain variables (out of 621 variables) in GAL type SafeBus_PT_15
Jun 01, 2017 11:35:50 AM fr.lip6.move.gal.instantiate.Simplifier simplifyConstantVariables
INFO: Found a total of 15 constant array cells/variables (out of 621 variables) in type SafeBus_PT_15
Jun 01, 2017 11:35:50 AM fr.lip6.move.gal.instantiate.Simplifier simplifyConstantVariables
INFO: msgl_10,msgl_3,msgl_1,msgl_12,msgl_4,msgl_5,msgl_14,msgl_6,msgl_8,msgl_2,msgl_7,msgl_11,msgl_13,msgl_15,msgl_9,
Jun 01, 2017 11:35:51 AM fr.lip6.move.gal.instantiate.Simplifier simplifyConstantVariables
INFO: Removed 15 constant variables :msgl_10, msgl_3, msgl_1, msgl_12, msgl_4, msgl_5, msgl_14, msgl_6, msgl_8, msgl_2, msgl_7, msgl_11, msgl_13, msgl_15, msgl_9
Jun 01, 2017 11:35:51 AM fr.lip6.move.gal.instantiate.Simplifier simplifyConstantVariables
INFO: Simplified 630 expressions due to constant valuations.
Jun 01, 2017 11:35:51 AM fr.lip6.move.gal.instantiate.GALRewriter flatten
INFO: Flatten gal took : 1529 ms
Jun 01, 2017 11:35:51 AM fr.lip6.move.serialization.SerializationUtil systemToFile
INFO: Time to serialize gal into /home/mcc/execution/LTLFireability.pnml.gal : 100 ms
Jun 01, 2017 11:35:51 AM fr.lip6.move.serialization.SerializationUtil serializePropertiesForITSLTLTools
INFO: Time to serialize properties into /home/mcc/execution/LTLFireability.ltl : 10 ms
Jun 01, 2017 11:35:54 AM fr.lip6.move.gal.gal2smt.bmc.KInductionSolver computeAndDeclareInvariants
INFO: Computed 65 place invariants in 1004 ms
Jun 01, 2017 11:41:43 AM fr.lip6.move.gal.gal2smt.bmc.KInductionSolver init
INFO: Proved 606 variables to be positive in 349515 ms
Jun 01, 2017 11:41:43 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver computeAblingMatrix
INFO: Computing symmetric may disable matrix : 4771 transitions.
Jun 01, 2017 11:41:43 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :0/4771 took 145 ms. Total solver calls (SAT/UNSAT): 74(29/45)
Jun 01, 2017 11:41:44 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :7/4771 took 1166 ms. Total solver calls (SAT/UNSAT): 592(232/360)
Jun 01, 2017 11:41:45 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :14/4771 took 2190 ms. Total solver calls (SAT/UNSAT): 1110(435/675)
Jun 01, 2017 11:41:46 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :21/4771 took 3239 ms. Total solver calls (SAT/UNSAT): 1628(638/990)
Jun 01, 2017 11:41:47 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :28/4771 took 4299 ms. Total solver calls (SAT/UNSAT): 2146(841/1305)
Jun 01, 2017 11:41:48 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :35/4771 took 5334 ms. Total solver calls (SAT/UNSAT): 2664(1044/1620)
Jun 01, 2017 11:41:49 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :42/4771 took 6383 ms. Total solver calls (SAT/UNSAT): 3182(1247/1935)
Jun 01, 2017 11:41:50 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :49/4771 took 7432 ms. Total solver calls (SAT/UNSAT): 3700(1450/2250)
Jun 01, 2017 11:41:51 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :56/4771 took 8475 ms. Total solver calls (SAT/UNSAT): 4218(1653/2565)
Jun 01, 2017 11:41:52 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :63/4771 took 9521 ms. Total solver calls (SAT/UNSAT): 4736(1856/2880)
Jun 01, 2017 11:41:54 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :70/4771 took 10570 ms. Total solver calls (SAT/UNSAT): 5254(2059/3195)
Jun 01, 2017 11:41:55 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :77/4771 took 11614 ms. Total solver calls (SAT/UNSAT): 5772(2262/3510)
Jun 01, 2017 11:41:56 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :84/4771 took 12656 ms. Total solver calls (SAT/UNSAT): 6290(2465/3825)
Jun 01, 2017 11:41:57 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :91/4771 took 13707 ms. Total solver calls (SAT/UNSAT): 6808(2668/4140)
Jun 01, 2017 11:41:58 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :98/4771 took 14743 ms. Total solver calls (SAT/UNSAT): 7326(2871/4455)
Jun 01, 2017 11:41:59 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :105/4771 took 15783 ms. Total solver calls (SAT/UNSAT): 7844(3074/4770)
Jun 01, 2017 11:42:00 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :112/4771 took 16827 ms. Total solver calls (SAT/UNSAT): 8362(3277/5085)
Jun 01, 2017 11:42:01 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :119/4771 took 17862 ms. Total solver calls (SAT/UNSAT): 8880(3480/5400)
Jun 01, 2017 11:42:02 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :126/4771 took 18902 ms. Total solver calls (SAT/UNSAT): 9398(3683/5715)
Jun 01, 2017 11:42:03 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :133/4771 took 19951 ms. Total solver calls (SAT/UNSAT): 9916(3886/6030)
Jun 01, 2017 11:42:04 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :140/4771 took 21067 ms. Total solver calls (SAT/UNSAT): 10434(4089/6345)
Jun 01, 2017 11:42:05 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :147/4771 took 22147 ms. Total solver calls (SAT/UNSAT): 10952(4292/6660)
Jun 01, 2017 11:42:06 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :154/4771 took 23206 ms. Total solver calls (SAT/UNSAT): 11470(4495/6975)
Jun 01, 2017 11:42:07 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :161/4771 took 24267 ms. Total solver calls (SAT/UNSAT): 11988(4698/7290)
Jun 01, 2017 11:42:08 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :168/4771 took 25327 ms. Total solver calls (SAT/UNSAT): 12506(4901/7605)
Jun 01, 2017 11:42:09 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :175/4771 took 26400 ms. Total solver calls (SAT/UNSAT): 13024(5104/7920)
Jun 01, 2017 11:42:10 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :182/4771 took 27467 ms. Total solver calls (SAT/UNSAT): 13542(5307/8235)
Jun 01, 2017 11:42:11 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :189/4771 took 28523 ms. Total solver calls (SAT/UNSAT): 14060(5510/8550)
Jun 01, 2017 11:42:13 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :196/4771 took 29603 ms. Total solver calls (SAT/UNSAT): 14578(5713/8865)
Jun 01, 2017 11:42:14 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :203/4771 took 30726 ms. Total solver calls (SAT/UNSAT): 15096(5916/9180)
Jun 01, 2017 11:42:15 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :210/4771 took 31769 ms. Total solver calls (SAT/UNSAT): 15614(6119/9495)
Jun 01, 2017 11:42:16 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :217/4771 took 32869 ms. Total solver calls (SAT/UNSAT): 16132(6322/9810)
Jun 01, 2017 11:42:17 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :224/4771 took 33973 ms. Total solver calls (SAT/UNSAT): 16650(6525/10125)
Jun 01, 2017 11:42:18 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :259/4771 took 35013 ms. Total solver calls (SAT/UNSAT): 18125(6600/11525)
Jun 01, 2017 11:42:19 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :273/4771 took 36069 ms. Total solver calls (SAT/UNSAT): 19083(6746/12337)
Jun 01, 2017 11:42:20 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :280/4771 took 37132 ms. Total solver calls (SAT/UNSAT): 19692(6949/12743)
Jun 01, 2017 11:42:21 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :287/4771 took 38202 ms. Total solver calls (SAT/UNSAT): 20301(7152/13149)
Jun 01, 2017 11:42:22 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :294/4771 took 39293 ms. Total solver calls (SAT/UNSAT): 20910(7355/13555)
Jun 01, 2017 11:42:23 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :301/4771 took 40379 ms. Total solver calls (SAT/UNSAT): 21519(7558/13961)
Jun 01, 2017 11:42:24 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :308/4771 took 41468 ms. Total solver calls (SAT/UNSAT): 22128(7761/14367)
Jun 01, 2017 11:42:25 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :315/4771 took 42559 ms. Total solver calls (SAT/UNSAT): 22737(7964/14773)
Jun 01, 2017 11:42:27 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :322/4771 took 43630 ms. Total solver calls (SAT/UNSAT): 23346(8167/15179)
Jun 01, 2017 11:42:28 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :329/4771 took 44710 ms. Total solver calls (SAT/UNSAT): 23955(8370/15585)
Jun 01, 2017 11:42:29 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :336/4771 took 45778 ms. Total solver calls (SAT/UNSAT): 24564(8573/15991)
Jun 01, 2017 11:42:30 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :343/4771 took 46832 ms. Total solver calls (SAT/UNSAT): 25173(8776/16397)
Jun 01, 2017 11:42:31 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :350/4771 took 47905 ms. Total solver calls (SAT/UNSAT): 25782(8979/16803)
Jun 01, 2017 11:42:32 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :357/4771 took 48980 ms. Total solver calls (SAT/UNSAT): 26391(9182/17209)
Jun 01, 2017 11:42:33 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :364/4771 took 50044 ms. Total solver calls (SAT/UNSAT): 27000(9385/17615)
Jun 01, 2017 11:42:34 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :371/4771 took 51103 ms. Total solver calls (SAT/UNSAT): 27609(9588/18021)
Jun 01, 2017 11:42:35 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :378/4771 took 52133 ms. Total solver calls (SAT/UNSAT): 28218(9791/18427)
Jun 01, 2017 11:42:36 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :385/4771 took 53192 ms. Total solver calls (SAT/UNSAT): 28827(9994/18833)
Jun 01, 2017 11:42:37 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :392/4771 took 54259 ms. Total solver calls (SAT/UNSAT): 29436(10197/19239)
Jun 01, 2017 11:42:38 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :399/4771 took 55330 ms. Total solver calls (SAT/UNSAT): 30045(10400/19645)
Jun 01, 2017 11:42:39 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :406/4771 took 56393 ms. Total solver calls (SAT/UNSAT): 30654(10603/20051)
Jun 01, 2017 11:42:40 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :413/4771 took 57459 ms. Total solver calls (SAT/UNSAT): 31263(10806/20457)
Jun 01, 2017 11:42:41 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :420/4771 took 58521 ms. Total solver calls (SAT/UNSAT): 31872(11009/20863)
Jun 01, 2017 11:42:43 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :427/4771 took 59586 ms. Total solver calls (SAT/UNSAT): 32481(11212/21269)
Jun 01, 2017 11:42:44 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :434/4771 took 60637 ms. Total solver calls (SAT/UNSAT): 33090(11415/21675)
Jun 01, 2017 11:42:45 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :441/4771 took 61695 ms. Total solver calls (SAT/UNSAT): 33699(11618/22081)
Jun 01, 2017 11:42:46 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :448/4771 took 62727 ms. Total solver calls (SAT/UNSAT): 34308(11821/22487)
Jun 01, 2017 11:42:47 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :455/4771 took 63759 ms. Total solver calls (SAT/UNSAT): 34917(12024/22893)
Jun 01, 2017 11:42:48 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :462/4771 took 64816 ms. Total solver calls (SAT/UNSAT): 35526(12227/23299)
Jun 01, 2017 11:42:49 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :469/4771 took 65910 ms. Total solver calls (SAT/UNSAT): 36135(12430/23705)
Jun 01, 2017 11:42:50 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :476/4771 took 67020 ms. Total solver calls (SAT/UNSAT): 36744(12633/24111)
Jun 01, 2017 11:42:51 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :483/4771 took 68124 ms. Total solver calls (SAT/UNSAT): 37353(12836/24517)
Jun 01, 2017 11:42:52 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :490/4771 took 69220 ms. Total solver calls (SAT/UNSAT): 37962(13039/24923)
Jun 01, 2017 11:42:53 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :499/4771 took 70275 ms. Total solver calls (SAT/UNSAT): 39660(13160/26500)
Jun 01, 2017 11:42:54 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :509/4771 took 71280 ms. Total solver calls (SAT/UNSAT): 42360(13170/29190)
Jun 01, 2017 11:42:55 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :522/4771 took 72303 ms. Total solver calls (SAT/UNSAT): 43140(13352/29788)
Jun 01, 2017 11:42:56 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :535/4771 took 73330 ms. Total solver calls (SAT/UNSAT): 43920(13534/30386)
Jun 01, 2017 11:42:57 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :548/4771 took 74356 ms. Total solver calls (SAT/UNSAT): 44700(13716/30984)
Jun 01, 2017 11:42:58 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :561/4771 took 75359 ms. Total solver calls (SAT/UNSAT): 45480(13898/31582)
Jun 01, 2017 11:42:59 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :574/4771 took 76364 ms. Total solver calls (SAT/UNSAT): 46260(14080/32180)
Jun 01, 2017 11:43:00 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :587/4771 took 77393 ms. Total solver calls (SAT/UNSAT): 47040(14262/32778)
Jun 01, 2017 11:43:01 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :600/4771 took 78415 ms. Total solver calls (SAT/UNSAT): 47820(14444/33376)
Jun 01, 2017 11:43:02 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :613/4771 took 79441 ms. Total solver calls (SAT/UNSAT): 48600(14626/33974)
Jun 01, 2017 11:43:03 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :626/4771 took 80466 ms. Total solver calls (SAT/UNSAT): 49380(14808/34572)
Jun 01, 2017 11:43:04 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :640/4771 took 81522 ms. Total solver calls (SAT/UNSAT): 50220(15004/35216)
Jun 01, 2017 11:43:05 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :653/4771 took 82539 ms. Total solver calls (SAT/UNSAT): 51000(15186/35814)
Jun 01, 2017 11:43:06 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :666/4771 took 83567 ms. Total solver calls (SAT/UNSAT): 51780(15368/36412)
Jun 01, 2017 11:43:08 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :679/4771 took 84591 ms. Total solver calls (SAT/UNSAT): 52560(15550/37010)
Jun 01, 2017 11:43:09 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :692/4771 took 85618 ms. Total solver calls (SAT/UNSAT): 53340(15732/37608)
Jun 01, 2017 11:43:10 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :705/4771 took 86635 ms. Total solver calls (SAT/UNSAT): 54120(15914/38206)
Jun 01, 2017 11:43:11 AM fr.lip6.move.gal.gal2smt.bmc.NecessaryEnablingsolver printStats
INFO: Computation of Completed :718/4771 took 87659 ms. Total solver calls (SAT/UNSAT): 54900(16096/38804)
Jun 01, 2017 12:34:15 PM fr.lip6.move.gal.itstools.ProcessController$1 run
WARNING: null
Jun 01, 2017 12:34:15 PM fr.lip6.move.gal.itstools.ProcessController forwardStream
WARNING: Stream closed
Jun 01, 2017 12:34:15 PM fr.lip6.move.gal.itstools.ProcessController forwardStream
WARNING: Stream closed

Sequence of Actions to be Executed by the VM

This is useful if one wants to reexecute the tool in the VM from the submitted image disk.

set -x
# this is for BenchKit: configuration of major elements for the test
export BK_INPUT="SafeBus-PT-15"
export BK_EXAMINATION="LTLFireability"
export BK_TOOL="itstools"
export BK_RESULT_DIR="/tmp/BK_RESULTS/OUTPUTS"
export BK_TIME_CONFINEMENT="3600"
export BK_MEMORY_CONFINEMENT="16384"

# this is specific to your benchmark or test

export BIN_DIR="$HOME/BenchKit/bin"

# remove the execution directoty if it exists (to avoid increse of .vmdk images)
if [ -d execution ] ; then
rm -rf execution
fi

tar xzf /home/mcc/BenchKit/INPUTS/SafeBus-PT-15.tgz
mv SafeBus-PT-15 execution

# this is for BenchKit: explicit launching of the test

cd execution
echo "====================================================================="
echo " Generated by BenchKit 2-3254"
echo " Executing tool itstools"
echo " Input is SafeBus-PT-15, examination is LTLFireability"
echo " Time confinement is $BK_TIME_CONFINEMENT seconds"
echo " Memory confinement is 16384 MBytes"
echo " Number of cores is 4"
echo " Run identifier is r070-csrt-149440964800258"
echo "====================================================================="
echo
echo "--------------------"
echo "content from stdout:"
echo
echo "=== Data for post analysis generated by BenchKit (invocation template)"
echo
if [ "LTLFireability" = "UpperBounds" ] ; then
echo "The expected result is a vector of positive values"
echo NUM_VECTOR
elif [ "LTLFireability" != "StateSpace" ] ; then
echo "The expected result is a vector of booleans"
echo BOOL_VECTOR
else
echo "no data necessary for post analysis"
fi
echo
if [ -f "LTLFireability.txt" ] ; then
echo "here is the order used to build the result vector(from text file)"
for x in $(grep Property LTLFireability.txt | cut -d ' ' -f 2 | sort -u) ; do
echo "FORMULA_NAME $x"
done
elif [ -f "LTLFireability.xml" ] ; then # for cunf (txt files deleted;-)
echo echo "here is the order used to build the result vector(from xml file)"
for x in $(grep '' LTLFireability.xml | cut -d '>' -f 2 | cut -d '<' -f 1 | sort -u) ; do
echo "FORMULA_NAME $x"
done
fi
echo
echo "=== Now, execution of the tool begins"
echo
echo -n "BK_START "
date -u +%s%3N
echo
timeout -s 9 $BK_TIME_CONFINEMENT bash -c "/home/mcc/BenchKit/BenchKit_head.sh 2> STDERR ; echo ; echo -n \"BK_STOP \" ; date -u +%s%3N"
if [ $? -eq 137 ] ; then
echo
echo "BK_TIME_CONFINEMENT_REACHED"
fi
echo
echo "--------------------"
echo "content from stderr:"
echo
cat STDERR ;