fond
Model Checking Contest @ Petri Nets 2017
7th edition, Zaragoza, Spain, June 27, 2017
Execution of r069-csrt-149440964000261
Last Updated
June 27, 2017

About the Execution of Tapaal for SafeBus-PT-15

Execution Summary
Max Memory
Used (MB)
Time wait (ms) CPU Usage (ms) I/O Wait (ms) Computed Result Execution
Status
463.270 3600000.00 4174281.00 230.50 TT?TFT?T?FTFTFTT normal

Execution Chart

We display below the execution chart for this examination (boot time has been removed).

Trace from the execution

Waiting for the VM to be ready (probing ssh)
.......
=====================================================================
Generated by BenchKit 2-3254
Executing tool tapaal
Input is SafeBus-PT-15, examination is ReachabilityFireability
Time confinement is 3600 seconds
Memory confinement is 16384 MBytes
Number of cores is 4
Run identifier is r069-csrt-149440964000261
=====================================================================


--------------------
content from stdout:

=== Data for post analysis generated by BenchKit (invocation template)

The expected result is a vector of booleans
BOOL_VECTOR

here is the order used to build the result vector(from text file)
FORMULA_NAME SafeBus-COL-15-ReachabilityFireability-0
FORMULA_NAME SafeBus-COL-15-ReachabilityFireability-1
FORMULA_NAME SafeBus-COL-15-ReachabilityFireability-10
FORMULA_NAME SafeBus-COL-15-ReachabilityFireability-11
FORMULA_NAME SafeBus-COL-15-ReachabilityFireability-12
FORMULA_NAME SafeBus-COL-15-ReachabilityFireability-13
FORMULA_NAME SafeBus-COL-15-ReachabilityFireability-14
FORMULA_NAME SafeBus-COL-15-ReachabilityFireability-15
FORMULA_NAME SafeBus-COL-15-ReachabilityFireability-2
FORMULA_NAME SafeBus-COL-15-ReachabilityFireability-3
FORMULA_NAME SafeBus-COL-15-ReachabilityFireability-4
FORMULA_NAME SafeBus-COL-15-ReachabilityFireability-5
FORMULA_NAME SafeBus-COL-15-ReachabilityFireability-6
FORMULA_NAME SafeBus-COL-15-ReachabilityFireability-7
FORMULA_NAME SafeBus-COL-15-ReachabilityFireability-8
FORMULA_NAME SafeBus-COL-15-ReachabilityFireability-9

=== Now, execution of the tool begins

BK_START 1494836069795

---> tapaal --- TAPAAL
Total timeout: 3600

**********************************************
* TAPAAL verifying ReachabilityFireability *
**********************************************
---------------------------------------------------
Step 1: Parallel processing
---------------------------------------------------
Doing parallel verification of individual queries (16 in total)
Each query is verified by 4 parallel strategies for 60 seconds
------------------- QUERY 1 ----------------------
Solution found
perl: warning: Setting locale failed.
perl: warning: Please check that your locale settings:
LANGUAGE = (unset),
LC_ALL = (unset),
LANG = "en_US.UTF-8"
are supported and installed on your system.
perl: warning: Falling back to the standard locale ("C").
perl: warning: Setting locale failed.
perl: warning: Please check that your locale settings:
LANGUAGE = (unset),
LC_ALL = (unset),
LANG = "en_US.UTF-8"
are supported and installed on your system.
perl: warning: Falling back to the standard locale ("C").

Parameters: -s BestFS -q 0 -d 10 ./model.pnml ./ReachabilityFireability.xml -x 1

Parameters: -s BFS -q 0 -d 10 ./model.pnml ./ReachabilityFireability.xml -x 1

Parameters: -s DFS -q 0 -d 10 ./model.pnml ./ReachabilityFireability.xml -x 1

Parameters: -s BestFS -d 10 ./model.pnml ./ReachabilityFireability.xml -x 1

Search=HEUR,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=ENABLED,QSTimeout=30,Siphon_Trap=DISABLED,LPSolve_Timeout=10


Search=HEUR,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=DISABLED,Siphon_Trap=DISABLED,LPSolve_Timeout=10
Size of net before structural reductions: 621 places, 4771 transitions
Size of net after structural reductions: 621 places, 4771 transitions
Structural reduction finished after 0.111357 seconds

Net reduction is enabled.
Removed transitions: 0
Removed places: 0
Applications of rule A: 0
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0


Query is satisfied.

STATS:
discovered states: 157
explored states: 157
expanded states: 19
max tokens: 50

parallel: This job succeeded:
/home/mcc/BenchKit/start.sh 14500000 /home/mcc/BenchKit/bin/verifypn-linux64 -s\ BestFS\ -q\ 0\ -d\ 10 ./model.pnml ./ReachabilityFireability.xml -x 1

FORMULA SafeBus-COL-15-ReachabilityFireability-0 TRUE TECHNIQUES COLLATERAL_PROCESSING EXPLICIT STRUCTURAL_REDUCTION STATE_COMPRESSION STUBBORN_SETS
------------------- QUERY 2 ----------------------
Solution found
perl: warning: Setting locale failed.
perl: warning: Please check that your locale settings:
LANGUAGE = (unset),
LC_ALL = (unset),
LANG = "en_US.UTF-8"
are supported and installed on your system.
perl: warning: Falling back to the standard locale ("C").
perl: warning: Setting locale failed.
perl: warning: Please check that your locale settings:
LANGUAGE = (unset),
LC_ALL = (unset),
LANG = "en_US.UTF-8"
are supported and installed on your system.
perl: warning: Falling back to the standard locale ("C").

Parameters: -s BFS -q 0 -d 10 ./model.pnml ./ReachabilityFireability.xml -x 2

Parameters: -s BestFS -q 0 -d 10 ./model.pnml ./ReachabilityFireability.xml -x 2

Parameters: -s BestFS -d 10 ./model.pnml ./ReachabilityFireability.xml -x 2

Parameters: -s DFS -q 0 -d 10 ./model.pnml ./ReachabilityFireability.xml -x 2

Search=HEUR,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=ENABLED,QSTimeout=30,Siphon_Trap=DISABLED,LPSolve_Timeout=10


Search=HEUR,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=DISABLED,Siphon_Trap=DISABLED,LPSolve_Timeout=10
Size of net before structural reductions: 621 places, 4771 transitions
Size of net after structural reductions: 621 places, 4771 transitions
Structural reduction finished after 0.114655 seconds

Net reduction is enabled.
Removed transitions: 0
Removed places: 0
Applications of rule A: 0
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0


Query is satisfied.

STATS:
discovered states: 157
explored states: 157
expanded states: 19
max tokens: 50


Search=DFS,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=DISABLED,Siphon_Trap=DISABLED,LPSolve_Timeout=10
Size of net before structural reductions: 621 places, 4771 transitions
Size of net after structural reductions: 621 places, 4771 transitions
Structural reduction finished after 0.120469 seconds

Net reduction is enabled.
Removed transitions: 0
Removed places: 0
Applications of rule A: 0
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0
parallel: This job succeeded:
/home/mcc/BenchKit/start.sh 14500000 /home/mcc/BenchKit/bin/verifypn-linux64 -s\ BestFS\ -q\ 0\ -d\ 10 ./model.pnml ./ReachabilityFireability.xml -x 2

FORMULA SafeBus-COL-15-ReachabilityFireability-1 TRUE TECHNIQUES COLLATERAL_PROCESSING EXPLICIT STRUCTURAL_REDUCTION STATE_COMPRESSION STUBBORN_SETS
------------------- QUERY 3 ----------------------
No solution found
perl: warning: Setting locale failed.
perl: warning: Please check that your locale settings:
LANGUAGE = (unset),
LC_ALL = (unset),
LANG = "en_US.UTF-8"
are supported and installed on your system.
perl: warning: Falling back to the standard locale ("C").
perl: warning: Setting locale failed.
perl: warning: Please check that your locale settings:
LANGUAGE = (unset),
LC_ALL = (unset),
LANG = "en_US.UTF-8"
are supported and installed on your system.
perl: warning: Falling back to the standard locale ("C").

Parameters: -s BestFS -q 0 -d 10 ./model.pnml ./ReachabilityFireability.xml -x 3

Parameters: -s BestFS -d 10 ./model.pnml ./ReachabilityFireability.xml -x 3

Parameters: -s BFS -q 0 -d 10 ./model.pnml ./ReachabilityFireability.xml -x 3

Parameters: -s DFS -q 0 -d 10 ./model.pnml ./ReachabilityFireability.xml -x 3

Search=HEUR,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=ENABLED,QSTimeout=30,Siphon_Trap=DISABLED,LPSolve_Timeout=10


Search=HEUR,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=DISABLED,Siphon_Trap=DISABLED,LPSolve_Timeout=10
Size of net before structural reductions: 621 places, 4771 transitions
Size of net after structural reductions: 621 places, 4771 transitions
Structural reduction finished after 0.110249 seconds

Net reduction is enabled.
Removed transitions: 0
Removed places: 0
Applications of rule A: 0
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0

Search=BFS,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=DISABLED,Siphon_Trap=DISABLED,LPSolve_Timeout=10
Size of net before structural reductions: 621 places, 4771 transitions
Size of net after structural reductions: 621 places, 4771 transitions
Structural reduction finished after 0.145441 seconds

Net reduction is enabled.
Removed transitions: 0
Removed places: 0
Applications of rule A: 0
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0

Search=DFS,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=DISABLED,Siphon_Trap=DISABLED,LPSolve_Timeout=10
Size of net before structural reductions: 621 places, 4771 transitions
Size of net after structural reductions: 621 places, 4771 transitions
Structural reduction finished after 0.118358 seconds

Net reduction is enabled.
Removed transitions: 0
Removed places: 0
Applications of rule A: 0
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0
Query before reduction: EF (not (not ((((wait_cable_14 >= 1) and ((msgl_15 >= 1) and (PMC_14 >= 1))) or (((wait_cable_13 >= 1) and ((msgl_15 >= 1) and (PMC_13 >= 1))) or (((wait_cable_12 >= 1) and ((msgl_15 >= 1) and (PMC_12 >= 1))) or (((wait_cable_11 >= 1) and ((msgl_15 >= 1) and (PMC_11 >= 1))) or (((wait_cable_10 >= 1) and ((msgl_15 >= 1) and (PMC_10 >= 1))) or (((wait_cable_9 >= 1) and ((msgl_15 >= 1) and (PMC_9 >= 1))) or (((wait_cable_8 >= 1) and ((msgl_15 >= 1) and (PMC_8 >= 1))) or (((wait_cable_7 >= 1) and ((msgl_15 >= 1) and (PMC_7 >= 1))) or (((wait_cable_6 >= 1) and ((msgl_15 >= 1) and (PMC_6 >= 1))) or (((wait_cable_5 >= 1) and ((msgl_15 >= 1) and (PMC_5 >= 1))) or (((wait_cable_4 >= 1) and ((msgl_15 >= 1) and (PMC_4 >= 1))) or (((wait_cable_3 >= 1) and ((msgl_15 >= 1) and (PMC_3 >= 1))) or (((wait_cable_2 >= 1) and ((msgl_15 >= 1) and (PMC_2 >= 1))) or (((wait_cable_1 >= 1) and ((msgl_15 >= 1) and (PMC_1 >= 1))) or (((wait_cable_15 >= 1) and ((msgl_14 >= 1) and (PMC_15 >= 1))) or (((wait_cable_13 >= 1) and ((msgl_14 >= 1) and (PMC_13 >= 1))) or (((wait_cable_12 >= 1) and ((msgl_14 >= 1) and (PMC_12 >= 1))) or (((wait_cable_11 >= 1) and ((msgl_14 >= 1) and (PMC_11 >= 1))) or (((wait_cable_10 >= 1) and ((msgl_14 >= 1) and (PMC_10 >= 1))) or (((wait_cable_9 >= 1) and ((msgl_14 >= 1) and (PMC_9 >= 1))) or (((wait_cable_8 >= 1) and ((msgl_14 >= 1) and (PMC_8 >= 1))) or (((wait_cable_7 >= 1) and ((msgl_14 >= 1) and (PMC_7 >= 1))) or (((wait_cable_6 >= 1) and ((msgl_14 >= 1) and (PMC_6 >= 1))) or (((wait_cable_5 >= 1) and ((msgl_14 >= 1) and (PMC_5 >= 1))) or (((wait_cable_4 >= 1) and ((msgl_14 >= 1) and (PMC_4 >= 1))) or (((wait_cable_3 >= 1) and ((msgl_14 >= 1) and (PMC_3 >= 1))) or (((wait_cable_2 >= 1) and ((msgl_14 >= 1) and (PMC_2 >= 1))) or (((wait_cable_1 >= 1) and ((msgl_14 >= 1) and (PMC_1 >= 1))) or (((wait_cable_15 >= 1) and ((msgl_13 >= 1) and (PMC_15 >= 1))) or (((wait_cable_14 >= 1) and ((msgl_13 >= 1) and (PMC_14 >= 1))) or (((wait_cable_12 >= 1) and ((msgl_13 >= 1) and (PMC_12 >= 1))) or (((wait_cable_11 >= 1) and ((msgl_13 >= 1) and (PMC_11 >= 1))) or (((wait_cable_10 >= 1) and ((msgl_13 >= 1) and (PMC_10 >= 1))) or (((wait_cable_9 >= 1) and ((msgl_13 >= 1) and (PMC_9 >= 1))) or (((wait_cable_8 >= 1) and ((msgl_13 >= 1) and (PMC_8 >= 1))) or (((wait_cable_7 >= 1) and ((msgl_13 >= 1) and (PMC_7 >= 1))) or (((wait_cable_6 >= 1) and ((msgl_13 >= 1) and (PMC_6 >= 1))) or (((wait_cable_5 >= 1) and ((msgl_13 >= 1) and (PMC_5 >= 1))) or (((wait_cable_4 >= 1) and ((msgl_13 >= 1) and (PMC_4 >= 1))) or (((wait_cable_3 >= 1) and ((msgl_13 >= 1) and (PMC_3 >= 1))) or (((wait_cable_2 >= 1) and ((msgl_13 >= 1) and (PMC_2 >= 1))) or (((wait_cable_1 >= 1) and ((msgl_13 >= 1) and (PMC_1 >= 1))) or (((wait_cable_15 >= 1) and ((msgl_12 >= 1) and (PMC_15 >= 1))) or (((wait_cable_14 >= 1) and ((msgl_12 >= 1) and (PMC_14 >= 1))) or (((wait_cable_13 >= 1) and ((msgl_12 >= 1) and (PMC_13 >= 1))) or (((wait_cable_11 >= 1) and ((msgl_12 >= 1) and (PMC_11 >= 1))) or (((wait_cable_10 >= 1) and ((msgl_12 >= 1) and (PMC_10 >= 1))) or (((wait_cable_9 >= 1) and ((msgl_12 >= 1) and (PMC_9 >= 1))) or (((wait_cable_8 >= 1) and ((msgl_12 >= 1) and (PMC_8 >= 1))) or (((wait_cable_7 >= 1) and ((msgl_12 >= 1) and (PMC_7 >= 1))) or (((wait_cable_6 >= 1) and ((msgl_12 >= 1) and (PMC_6 >= 1))) or (((wait_cable_5 >= 1) and ((msgl_12 >= 1) and (PMC_5 >= 1))) or (((wait_cable_4 >= 1) and ((msgl_12 >= 1) and (PMC_4 >= 1))) or (((wait_cable_3 >= 1) and ((msgl_12 >= 1) and (PMC_3 >= 1))) or (((wait_cable_2 >= 1) and ((msgl_12 >= 1) and (PMC_2 >= 1))) or (((wait_cable_1 >= 1) and ((msgl_12 >= 1) and (PMC_1 >= 1))) or (((wait_cable_15 >= 1) and ((msgl_11 >= 1) and (PMC_15 >= 1))) or (((wait_cable_14 >= 1) and ((msgl_11 >= 1) and (PMC_14 >= 1))) or (((wait_cable_13 >= 1) and ((msgl_11 >= 1) and (PMC_13 >= 1))) or (((wait_cable_12 >= 1) and ((msgl_11 >= 1) and (PMC_12 >= 1))) or (((wait_cable_10 >= 1) and ((msgl_11 >= 1) and (PMC_10 >= 1))) or (((wait_cable_9 >= 1) and ((msgl_11 >= 1) and (PMC_9 >= 1))) or (((wait_cable_8 >= 1) and ((msgl_11 >= 1) and (PMC_8 >= 1))) or (((wait_cable_7 >= 1) and ((msgl_11 >= 1) and (PMC_7 >= 1))) or (((wait_cable_6 >= 1) and ((msgl_11 >= 1) and (PMC_6 >= 1))) or (((wait_cable_5 >= 1) and ((msgl_11 >= 1) and (PMC_5 >= 1))) or (((wait_cable_4 >= 1) and ((msgl_11 >= 1) and (PMC_4 >= 1))) or (((wait_cable_3 >= 1) and ((msgl_11 >= 1) and (PMC_3 >= 1))) or (((wait_cable_2 >= 1) and ((msgl_11 >= 1) and (PMC_2 >= 1))) or (((wait_cable_1 >= 1) and ((msgl_11 >= 1) and (PMC_1 >= 1))) or (((wait_cable_15 >= 1) and ((msgl_10 >= 1) and (PMC_15 >= 1))) or (((wait_cable_14 >= 1) and ((msgl_10 >= 1) and (PMC_14 >= 1))) or (((wait_cable_13 >= 1) and ((msgl_10 >= 1) and (PMC_13 >= 1))) or (((wait_cable_12 >= 1) and ((msgl_10 >= 1) and (PMC_12 >= 1))) or (((wait_cable_11 >= 1) and ((msgl_10 >= 1) and (PMC_11 >= 1))) or (((wait_cable_9 >= 1) and ((msgl_10 >= 1) and (PMC_9 >= 1))) or (((wait_cable_8 >= 1) and ((msgl_10 >= 1) and (PMC_8 >= 1))) or (((wait_cable_7 >= 1) and ((msgl_10 >= 1) and (PMC_7 >= 1))) or (((wait_cable_6 >= 1) and ((msgl_10 >= 1) and (PMC_6 >= 1))) or (((wait_cable_5 >= 1) and ((msgl_10 >= 1) and (PMC_5 >= 1))) or (((wait_cable_4 >= 1) and ((msgl_10 >= 1) and (PMC_4 >= 1))) or (((wait_cable_3 >= 1) and ((msgl_10 >= 1) and (PMC_3 >= 1))) or (((wait_cable_2 >= 1) and ((msgl_10 >= 1) and (PMC_2 >= 1))) or (((wait_cable_1 >= 1) and ((msgl_10 >= 1) and (PMC_1 >= 1))) or (((wait_cable_15 >= 1) and ((msgl_9 >= 1) and (PMC_15 >= 1))) or (((wait_cable_14 >= 1) and ((msgl_9 >= 1) and (PMC_14 >= 1))) or (((wait_cable_13 >= 1) and ((msgl_9 >= 1) and (PMC_13 >= 1))) or (((wait_cable_12 >= 1) and ((msgl_9 >= 1) and (PMC_12 >= 1))) or (((wait_cable_11 >= 1) and ((msgl_9 >= 1) and (PMC_11 >= 1))) or (((wait_cable_10 >= 1) and ((msgl_9 >= 1) and (PMC_10 >= 1))) or (((wait_cable_8 >= 1) and ((msgl_9 >= 1) and (PMC_8 >= 1))) or (((wait_cable_7 >= 1) and ((msgl_9 >= 1) and (PMC_7 >= 1))) or (((wait_cable_6 >= 1) and ((msgl_9 >= 1) and (PMC_6 >= 1))) or (((wait_cable_5 >= 1) and ((msgl_9 >= 1) and (PMC_5 >= 1))) or (((wait_cable_4 >= 1) and ((msgl_9 >= 1) and (PMC_4 >= 1))) or (((wait_cable_3 >= 1) and ((msgl_9 >= 1) and (PMC_3 >= 1))) or (((wait_cable_2 >= 1) and ((msgl_9 >= 1) and (PMC_2 >= 1))) or (((wait_cable_1 >= 1) and ((msgl_9 >= 1) and (PMC_1 >= 1))) or (((wait_cable_15 >= 1) and ((msgl_8 >= 1) and (PMC_15 >= 1))) or (((wait_cable_14 >= 1) and ((msgl_8 >= 1) and (PMC_14 >= 1))) or (((wait_cable_13 >= 1) and ((msgl_8 >= 1) and (PMC_13 >= 1))) or (((wait_cable_12 >= 1) and ((msgl_8 >= 1) and (PMC_12 >= 1))) or (((wait_cable_11 >= 1) and ((msgl_8 >= 1) and (PMC_11 >= 1))) or (((wait_cable_10 >= 1) and ((msgl_8 >= 1) and (PMC_10 >= 1))) or (((wait_cable_9 >= 1) and ((msgl_8 >= 1) and (PMC_9 >= 1))) or (((wait_cable_7 >= 1) and ((msgl_8 >= 1) and (PMC_7 >= 1))) or (((wait_cable_6 >= 1) and ((msgl_8 >= 1) and (PMC_6 >= 1))) or (((wait_cable_5 >= 1) and ((msgl_8 >= 1) and (PMC_5 >= 1))) or (((wait_cable_4 >= 1) and ((msgl_8 >= 1) and (PMC_4 >= 1))) or (((wait_cable_3 >= 1) and ((msgl_8 >= 1) and (PMC_3 >= 1))) or (((wait_cable_2 >= 1) and ((msgl_8 >= 1) and (PMC_2 >= 1))) or (((wait_cable_1 >= 1) and ((msgl_8 >= 1) and (PMC_1 >= 1))) or (((wait_cable_15 >= 1) and ((msgl_7 >= 1) and (PMC_15 >= 1))) or (((wait_cable_14 >= 1) and ((msgl_7 >= 1) and (PMC_14 >= 1))) or (((wait_cable_13 >= 1) and ((msgl_7 >= 1) and (PMC_13 >= 1))) or (((wait_cable_12 >= 1) and ((msgl_7 >= 1) and (PMC_12 >= 1))) or (((wait_cable_11 >= 1) and ((msgl_7 >= 1) and (PMC_11 >= 1))) or (((wait_cable_10 >= 1) and ((msgl_7 >= 1) and (PMC_10 >= 1))) or (((wait_cable_9 >= 1) and ((msgl_7 >= 1) and (PMC_9 >= 1))) or (((wait_cable_8 >= 1) and ((msgl_7 >= 1) and (PMC_8 >= 1))) or (((wait_cable_6 >= 1) and ((msgl_7 >= 1) and (PMC_6 >= 1))) or (((wait_cable_5 >= 1) and ((msgl_7 >= 1) and (PMC_5 >= 1))) or (((wait_cable_4 >= 1) and ((msgl_7 >= 1) and (PMC_4 >= 1))) or (((wait_cable_3 >= 1) and ((msgl_7 >= 1) and (PMC_3 >= 1))) or (((wait_cable_2 >= 1) and ((msgl_7 >= 1) and (PMC_2 >= 1))) or (((wait_cable_1 >= 1) and ((msgl_7 >= 1) and (PMC_1 >= 1))) or (((wait_cable_15 >= 1) and ((msgl_6 >= 1) and (PMC_15 >= 1))) or (((wait_cable_14 >= 1) and ((msgl_6 >= 1) and (PMC_14 >= 1))) or (((wait_cable_13 >= 1) and ((msgl_6 >= 1) and (PMC_13 >= 1))) or (((wait_cable_12 >= 1) and ((msgl_6 >= 1) and (PMC_12 >= 1))) or (((wait_cable_11 >= 1) and ((msgl_6 >= 1) and (PMC_11 >= 1))) or (((wait_cable_10 >= 1) and ((msgl_6 >= 1) and (PMC_10 >= 1))) or (((wait_cable_9 >= 1) and ((msgl_6 >= 1) and (PMC_9 >= 1))) or (((wait_cable_8 >= 1) and ((msgl_6 >= 1) and (PMC_8 >= 1))) or (((wait_cable_7 >= 1) and ((msgl_6 >= 1) and (PMC_7 >= 1))) or (((wait_cable_5 >= 1) and ((msgl_6 >= 1) and (PMC_5 >= 1))) or (((wait_cable_4 >= 1) and ((msgl_6 >= 1) and (PMC_4 >= 1))) or (((wait_cable_3 >= 1) and ((msgl_6 >= 1) and (PMC_3 >= 1))) or (((wait_cable_2 >= 1) and ((msgl_6 >= 1) and (PMC_2 >= 1))) or (((wait_cable_1 >= 1) and ((msgl_6 >= 1) and (PMC_1 >= 1))) or (((wait_cable_15 >= 1) and ((msgl_5 >= 1) and (PMC_15 >= 1))) or (((wait_cable_14 >= 1) and ((msgl_5 >= 1) and (PMC_14 >= 1))) or (((wait_cable_13 >= 1) and ((msgl_5 >= 1) and (PMC_13 >= 1))) or (((wait_cable_12 >= 1) and ((msgl_5 >= 1) and (PMC_12 >= 1))) or (((wait_cable_11 >= 1) and ((msgl_5 >= 1) and (PMC_11 >= 1))) or (((wait_cable_10 >= 1) and ((msgl_5 >= 1) and (PMC_10 >= 1))) or (((wait_cable_9 >= 1) and ((msgl_5 >= 1) and (PMC_9 >= 1))) or (((wait_cable_8 >= 1) and ((msgl_5 >= 1) and (PMC_8 >= 1))) or (((wait_cable_7 >= 1) and ((msgl_5 >= 1) and (PMC_7 >= 1))) or (((wait_cable_6 >= 1) and ((msgl_5 >= 1) and (PMC_6 >= 1))) or (((wait_cable_4 >= 1) and ((msgl_5 >= 1) and (PMC_4 >= 1))) or (((wait_cable_3 >= 1) and ((msgl_5 >= 1) and (PMC_3 >= 1))) or (((wait_cable_2 >= 1) and ((msgl_5 >= 1) and (PMC_2 >= 1))) or (((wait_cable_1 >= 1) and ((msgl_5 >= 1) and (PMC_1 >= 1))) or (((wait_cable_15 >= 1) and ((msgl_4 >= 1) and (PMC_15 >= 1))) or (((wait_cable_14 >= 1) and ((msgl_4 >= 1) and (PMC_14 >= 1))) or (((wait_cable_13 >= 1) and ((msgl_4 >= 1) and (PMC_13 >= 1))) or (((wait_cable_12 >= 1) and ((msgl_4 >= 1) and (PMC_12 >= 1))) or (((wait_cable_11 >= 1) and ((msgl_4 >= 1) and (PMC_11 >= 1))) or (((wait_cable_10 >= 1) and ((msgl_4 >= 1) and (PMC_10 >= 1))) or (((wait_cable_9 >= 1) and ((msgl_4 >= 1) and (PMC_9 >= 1))) or (((wait_cable_8 >= 1) and ((msgl_4 >= 1) and (PMC_8 >= 1))) or (((wait_cable_7 >= 1) and ((msgl_4 >= 1) and (PMC_7 >= 1))) or (((wait_cable_6 >= 1) and ((msgl_4 >= 1) and (PMC_6 >= 1))) or (((wait_cable_5 >= 1) and ((msgl_4 >= 1) and (PMC_5 >= 1))) or (((wait_cable_3 >= 1) and ((msgl_4 >= 1) and (PMC_3 >= 1))) or (((wait_cable_2 >= 1) and ((msgl_4 >= 1) and (PMC_2 >= 1))) or (((wait_cable_1 >= 1) and ((msgl_4 >= 1) and (PMC_1 >= 1))) or (((wait_cable_15 >= 1) and ((msgl_3 >= 1) and (PMC_15 >= 1))) or (((wait_cable_14 >= 1) and ((msgl_3 >= 1) and (PMC_14 >= 1))) or (((wait_cable_13 >= 1) and ((msgl_3 >= 1) and (PMC_13 >= 1))) or (((wait_cable_12 >= 1) and ((msgl_3 >= 1) and (PMC_12 >= 1))) or (((wait_cable_11 >= 1) and ((msgl_3 >= 1) and (PMC_11 >= 1))) or (((wait_cable_10 >= 1) and ((msgl_3 >= 1) and (PMC_10 >= 1))) or (((wait_cable_9 >= 1) and ((msgl_3 >= 1) and (PMC_9 >= 1))) or (((wait_cable_8 >= 1) and ((msgl_3 >= 1) and (PMC_8 >= 1))) or (((wait_cable_7 >= 1) and ((msgl_3 >= 1) and (PMC_7 >= 1))) or (((wait_cable_6 >= 1) and ((msgl_3 >= 1) and (PMC_6 >= 1))) or (((wait_cable_5 >= 1) and ((msgl_3 >= 1) and (PMC_5 >= 1))) or (((wait_cable_4 >= 1) and ((msgl_3 >= 1) and (PMC_4 >= 1))) or (((wait_cable_2 >= 1) and ((msgl_3 >= 1) and (PMC_2 >= 1))) or (((wait_cable_1 >= 1) and ((msgl_3 >= 1) and (PMC_1 >= 1))) or (((wait_cable_15 >= 1) and ((msgl_2 >= 1) and (PMC_15 >= 1))) or (((wait_cable_14 >= 1) and ((msgl_2 >= 1) and (PMC_14 >= 1))) or (((wait_cable_13 >= 1) and ((msgl_2 >= 1) and (PMC_13 >= 1))) or (((wait_cable_12 >= 1) and ((msgl_2 >= 1) and (PMC_12 >= 1))) or (((wait_cable_11 >= 1) and ((msgl_2 >= 1) and (PMC_11 >= 1))) or (((wait_cable_10 >= 1) and ((msgl_2 >= 1) and (PMC_10 >= 1))) or (((wait_cable_9 >= 1) and ((msgl_2 >= 1) and (PMC_9 >= 1))) or (((wait_cable_8 >= 1) and ((msgl_2 >= 1) and (PMC_8 >= 1))) or (((wait_cable_7 >= 1) and ((msgl_2 >= 1) and (PMC_7 >= 1))) or (((wait_cable_6 >= 1) and ((msgl_2 >= 1) and (PMC_6 >= 1))) or (((wait_cable_5 >= 1) and ((msgl_2 >= 1) and (PMC_5 >= 1))) or (((wait_cable_4 >= 1) and ((msgl_2 >= 1) and (PMC_4 >= 1))) or (((wait_cable_3 >= 1) and ((msgl_2 >= 1) and (PMC_3 >= 1))) or (((wait_cable_1 >= 1) and ((msgl_2 >= 1) and (PMC_1 >= 1))) or (((wait_cable_15 >= 1) and ((msgl_1 >= 1) and (PMC_15 >= 1))) or (((wait_cable_14 >= 1) and ((msgl_1 >= 1) and (PMC_14 >= 1))) or (((wait_cable_13 >= 1) and ((msgl_1 >= 1) and (PMC_13 >= 1))) or (((wait_cable_12 >= 1) and ((msgl_1 >= 1) and (PMC_12 >= 1))) or (((wait_cable_11 >= 1) and ((msgl_1 >= 1) and (PMC_11 >= 1))) or (((wait_cable_10 >= 1) and ((msgl_1 >= 1) and (PMC_10 >= 1))) or (((wait_cable_9 >= 1) and ((msgl_1 >= 1) and (PMC_9 >= 1))) or (((wait_cable_8 >= 1) and ((msgl_1 >= 1) and (PMC_8 >= 1))) or (((wait_cable_7 >= 1) and ((msgl_1 >= 1) and (PMC_7 >= 1))) or (((wait_cable_6 >= 1) and ((msgl_1 >= 1) and (PMC_6 >= 1))) or (((wait_cable_5 >= 1) and ((msgl_1 >= 1) and (PMC_5 >= 1))) or (((wait_cable_4 >= 1) and ((msgl_1 >= 1) and (PMC_4 >= 1))) or (((wait_cable_3 >= 1) and ((msgl_1 >= 1) and (PMC_3 >= 1))) or ((wait_cable_2 >= 1) and ((msgl_1 >= 1) and (PMC_2 >= 1)))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))) and ((((FMC_15 >= 1) and (S_tout >= 1)) or (((FMC_14 >= 1) and (S_tout >= 1)) or (((FMC_13 >= 1) and (S_tout >= 1)) or (((FMC_12 >= 1) and (S_tout >= 1)) or (((FMC_11 >= 1) and (S_tout >= 1)) or (((FMC_10 >= 1) and (S_tout >= 1)) or (((FMC_9 >= 1) and (S_tout >= 1)) or (((FMC_8 >= 1) and (S_tout >= 1)) or (((FMC_7 >= 1) and (S_tout >= 1)) or (((FMC_6 >= 1) and (S_tout >= 1)) or (((FMC_5 >= 1) and (S_tout >= 1)) or (((FMC_4 >= 1) and (S_tout >= 1)) or (((FMC_3 >= 1) and (S_tout >= 1)) or (((FMC_2 >= 1) and (S_tout >= 1)) or ((FMC_1 >= 1) and (S_tout >= 1)))))))))))))))) and (((wait_ack_14_15 >= 1) and (T_out >= 1)) or (((wait_ack_13_15 >= 1) and (T_out >= 1)) or (((wait_ack_12_15 >= 1) and (T_out >= 1)) or (((wait_ack_11_15 >= 1) and (T_out >= 1)) or (((wait_ack_10_15 >= 1) and (T_out >= 1)) or (((wait_ack_9_15 >= 1) and (T_out >= 1)) or (((wait_ack_8_15 >= 1) and (T_out >= 1)) or (((wait_ack_7_15 >= 1) and (T_out >= 1)) or (((wait_ack_6_15 >= 1) and (T_out >= 1)) or (((wait_ack_5_15 >= 1) and (T_out >= 1)) or (((wait_ack_4_15 >= 1) and (T_out >= 1)) or (((wait_ack_3_15 >= 1) and (T_out >= 1)) or (((wait_ack_2_15 >= 1) and (T_out >= 1)) or (((wait_ack_1_15 >= 1) and (T_out >= 1)) or (((wait_ack_15_14 >= 1) and (T_out >= 1)) or (((wait_ack_13_14 >= 1) and (T_out >= 1)) or (((wait_ack_12_14 >= 1) and (T_out >= 1)) or (((wait_ack_11_14 >= 1) and (T_out >= 1)) or (((wait_ack_10_14 >= 1) and (T_out >= 1)) or (((wait_ack_9_14 >= 1) and (T_out >= 1)) or (((wait_ack_8_14 >= 1) and (T_out >= 1)) or (((wait_ack_7_14 >= 1) and (T_out >= 1)) or (((wait_ack_6_14 >= 1) and (T_out >= 1)) or (((wait_ack_5_14 >= 1) and (T_out >= 1)) or (((wait_ack_4_14 >= 1) and (T_out >= 1)) or (((wait_ack_3_14 >= 1) and (T_out >= 1)) or (((wait_ack_2_14 >= 1) and (T_out >= 1)) or (((wait_ack_1_14 >= 1) and (T_out >= 1)) or (((wait_ack_15_13 >= 1) and (T_out >= 1)) or (((wait_ack_14_13 >= 1) and (T_out >= 1)) or (((wait_ack_12_13 >= 1) and (T_out >= 1)) or (((wait_ack_11_13 >= 1) and (T_out >= 1)) or (((wait_ack_10_13 >= 1) and (T_out >= 1)) or (((wait_ack_9_13 >= 1) and (T_out >= 1)) or (((wait_ack_8_13 >= 1) and (T_out >= 1)) or (((wait_ack_7_13 >= 1) and (T_out >= 1)) or (((wait_ack_6_13 >= 1) and (T_out >= 1)) or (((wait_ack_5_13 >= 1) and (T_out >= 1)) or (((wait_ack_4_13 >= 1) and (T_out >= 1)) or (((wait_ack_3_13 >= 1) and (T_out >= 1)) or (((wait_ack_2_13 >= 1) and (T_out >= 1)) or (((wait_ack_1_13 >= 1) and (T_out >= 1)) or (((wait_ack_15_12 >= 1) and (T_out >= 1)) or (((wait_ack_14_12 >= 1) and (T_out >= 1)) or (((wait_ack_13_12 >= 1) and (T_out >= 1)) or (((wait_ack_11_12 >= 1) and (T_out >= 1)) or (((wait_ack_10_12 >= 1) and (T_out >= 1)) or (((wait_ack_9_12 >= 1) and (T_out >= 1)) or (((wait_ack_8_12 >= 1) and (T_out >= 1)) or (((wait_ack_7_12 >= 1) and (T_out >= 1)) or (((wait_ack_6_12 >= 1) and (T_out >= 1)) or (((wait_ack_5_12 >= 1) and (T_out >= 1)) or (((wait_ack_4_12 >= 1) and (T_out >= 1)) or (((wait_ack_3_12 >= 1) and (T_out >= 1)) or (((wait_ack_2_12 >= 1) and (T_out >= 1)) or (((wait_ack_1_12 >= 1) and (T_out >= 1)) or (((wait_ack_15_11 >= 1) and (T_out >= 1)) or (((wait_ack_14_11 >= 1) and (T_out >= 1)) or (((wait_ack_13_11 >= 1) and (T_out >= 1)) or (((wait_ack_12_11 >= 1) and (T_out >= 1)) or (((wait_ack_10_11 >= 1) and (T_out >= 1)) or (((wait_ack_9_11 >= 1) and (T_out >= 1)) or (((wait_ack_8_11 >= 1) and (T_out >= 1)) or (((wait_ack_7_11 >= 1) and (T_out >= 1)) or (((wait_ack_6_11 >= 1) and (T_out >= 1)) or (((wait_ack_5_11 >= 1) and (T_out >= 1)) or (((wait_ack_4_11 >= 1) and (T_out >= 1)) or (((wait_ack_3_11 >= 1) and (T_out >= 1)) or (((wait_ack_2_11 >= 1) and (T_out >= 1)) or (((wait_ack_1_11 >= 1) and (T_out >= 1)) or (((wait_ack_15_10 >= 1) and (T_out >= 1)) or (((wait_ack_14_10 >= 1) and (T_out >= 1)) or (((wait_ack_13_10 >= 1) and (T_out >= 1)) or (((wait_ack_12_10 >= 1) and (T_out >= 1)) or (((wait_ack_11_10 >= 1) and (T_out >= 1)) or (((wait_ack_9_10 >= 1) and (T_out >= 1)) or (((wait_ack_8_10 >= 1) and (T_out >= 1)) or (((wait_ack_7_10 >= 1) and (T_out >= 1)) or (((wait_ack_6_10 >= 1) and (T_out >= 1)) or (((wait_ack_5_10 >= 1) and (T_out >= 1)) or (((wait_ack_4_10 >= 1) and (T_out >= 1)) or (((wait_ack_3_10 >= 1) and (T_out >= 1)) or (((wait_ack_2_10 >= 1) and (T_out >= 1)) or (((wait_ack_1_10 >= 1) and (T_out >= 1)) or (((wait_ack_15_9 >= 1) and (T_out >= 1)) or (((wait_ack_14_9 >= 1) and (T_out >= 1)) or (((wait_ack_13_9 >= 1) and (T_out >= 1)) or (((wait_ack_12_9 >= 1) and (T_out >= 1)) or (((wait_ack_11_9 >= 1) and (T_out >= 1)) or (((wait_ack_10_9 >= 1) and (T_out >= 1)) or (((wait_ack_8_9 >= 1) and (T_out >= 1)) or (((wait_ack_7_9 >= 1) and (T_out >= 1)) or (((wait_ack_6_9 >= 1) and (T_out >= 1)) or (((wait_ack_5_9 >= 1) and (T_out >= 1)) or (((wait_ack_4_9 >= 1) and (T_out >= 1)) or (((wait_ack_3_9 >= 1) and (T_out >= 1)) or (((wait_ack_2_9 >= 1) and (T_out >= 1)) or (((wait_ack_1_9 >= 1) and (T_out >= 1)) or (((wait_ack_15_8 >= 1) and (T_out >= 1)) or (((wait_ack_14_8 >= 1) and (T_out >= 1)) or (((wait_ack_13_8 >= 1) and (T_out >= 1)) or (((wait_ack_12_8 >= 1) and (T_out >= 1)) or (((wait_ack_11_8 >= 1) and (T_out >= 1)) or (((wait_ack_10_8 >= 1) and (T_out >= 1)) or (((wait_ack_9_8 >= 1) and (T_out >= 1)) or (((wait_ack_7_8 >= 1) and (T_out >= 1)) or (((wait_ack_6_8 >= 1) and (T_out >= 1)) or (((wait_ack_5_8 >= 1) and (T_out >= 1)) or (((wait_ack_4_8 >= 1) and (T_out >= 1)) or (((wait_ack_3_8 >= 1) and (T_out >= 1)) or (((wait_ack_2_8 >= 1) and (T_out >= 1)) or (((wait_ack_1_8 >= 1) and (T_out >= 1)) or (((wait_ack_15_7 >= 1) and (T_out >= 1)) or (((wait_ack_14_7 >= 1) and (T_out >= 1)) or (((wait_ack_13_7 >= 1) and (T_out >= 1)) or (((wait_ack_12_7 >= 1) and (T_out >= 1)) or (((wait_ack_11_7 >= 1) and (T_out >= 1)) or (((wait_ack_10_7 >= 1) and (T_out >= 1)) or (((wait_ack_9_7 >= 1) and (T_out >= 1)) or (((wait_ack_8_7 >= 1) and (T_out >= 1)) or (((wait_ack_6_7 >= 1) and (T_out >= 1)) or (((wait_ack_5_7 >= 1) and (T_out >= 1)) or (((wait_ack_4_7 >= 1) and (T_out >= 1)) or (((wait_ack_3_7 >= 1) and (T_out >= 1)) or (((wait_ack_2_7 >= 1) and (T_out >= 1)) or (((wait_ack_1_7 >= 1) and (T_out >= 1)) or (((wait_ack_15_6 >= 1) and (T_out >= 1)) or (((wait_ack_14_6 >= 1) and (T_out >= 1)) or (((wait_ack_13_6 >= 1) and (T_out >= 1)) or (((wait_ack_12_6 >= 1) and (T_out >= 1)) or (((wait_ack_11_6 >= 1) and (T_out >= 1)) or (((wait_ack_10_6 >= 1) and (T_out >= 1)) or (((wait_ack_9_6 >= 1) and (T_out >= 1)) or (((wait_ack_8_6 >= 1) and (T_out >= 1)) or (((wait_ack_7_6 >= 1) and (T_out >= 1)) or (((wait_ack_5_6 >= 1) and (T_out >= 1)) or (((wait_ack_4_6 >= 1) and (T_out >= 1)) or (((wait_ack_3_6 >= 1) and (T_out >= 1)) or (((wait_ack_2_6 >= 1) and (T_out >= 1)) or (((wait_ack_1_6 >= 1) and (T_out >= 1)) or (((wait_ack_15_5 >= 1) and (T_out >= 1)) or (((wait_ack_14_5 >= 1) and (T_out >= 1)) or (((wait_ack_13_5 >= 1) and (T_out >= 1)) or (((wait_ack_12_5 >= 1) and (T_out >= 1)) or (((wait_ack_11_5 >= 1) and (T_out >= 1)) or (((wait_ack_10_5 >= 1) and (T_out >= 1)) or (((wait_ack_9_5 >= 1) and (T_out >= 1)) or (((wait_ack_8_5 >= 1) and (T_out >= 1)) or (((wait_ack_7_5 >= 1) and (T_out >= 1)) or (((wait_ack_6_5 >= 1) and (T_out >= 1)) or (((wait_ack_4_5 >= 1) and (T_out >= 1)) or (((wait_ack_3_5 >= 1) and (T_out >= 1)) or (((wait_ack_2_5 >= 1) and (T_out >= 1)) or (((wait_ack_1_5 >= 1) and (T_out >= 1)) or (((wait_ack_15_4 >= 1) and (T_out >= 1)) or (((wait_ack_14_4 >= 1) and (T_out >= 1)) or (((wait_ack_13_4 >= 1) and (T_out >= 1)) or (((wait_ack_12_4 >= 1) and (T_out >= 1)) or (((wait_ack_11_4 >= 1) and (T_out >= 1)) or (((wait_ack_10_4 >= 1) and (T_out >= 1)) or (((wait_ack_9_4 >= 1) and (T_out >= 1)) or (((wait_ack_8_4 >= 1) and (T_out >= 1)) or (((wait_ack_7_4 >= 1) and (T_out >= 1)) or (((wait_ack_6_4 >= 1) and (T_out >= 1)) or (((wait_ack_5_4 >= 1) and (T_out >= 1)) or (((wait_ack_3_4 >= 1) and (T_out >= 1)) or (((wait_ack_2_4 >= 1) and (T_out >= 1)) or (((wait_ack_1_4 >= 1) and (T_out >= 1)) or (((wait_ack_15_3 >= 1) and (T_out >= 1)) or (((wait_ack_14_3 >= 1) and (T_out >= 1)) or (((wait_ack_13_3 >= 1) and (T_out >= 1)) or (((wait_ack_12_3 >= 1) and (T_out >= 1)) or (((wait_ack_11_3 >= 1) and (T_out >= 1)) or (((wait_ack_10_3 >= 1) and (T_out >= 1)) or (((wait_ack_9_3 >= 1) and (T_out >= 1)) or (((wait_ack_8_3 >= 1) and (T_out >= 1)) or (((wait_ack_7_3 >= 1) and (T_out >= 1)) or (((wait_ack_6_3 >= 1) and (T_out >= 1)) or (((wait_ack_5_3 >= 1) and (T_out >= 1)) or (((wait_ack_4_3 >= 1) and (T_out >= 1)) or (((wait_ack_2_3 >= 1) and (T_out >= 1)) or (((wait_ack_1_3 >= 1) and (T_out >= 1)) or (((wait_ack_15_2 >= 1) and (T_out >= 1)) or (((wait_ack_14_2 >= 1) and (T_out >= 1)) or (((wait_ack_13_2 >= 1) and (T_out >= 1)) or (((wait_ack_12_2 >= 1) and (T_out >= 1)) or (((wait_ack_11_2 >= 1) and (T_out >= 1)) or (((wait_ack_10_2 >= 1) and (T_out >= 1)) or (((wait_ack_9_2 >= 1) and (T_out >= 1)) or (((wait_ack_8_2 >= 1) and (T_out >= 1)) or (((wait_ack_7_2 >= 1) and (T_out >= 1)) or (((wait_ack_6_2 >= 1) and (T_out >= 1)) or (((wait_ack_5_2 >= 1) and (T_out >= 1)) or (((wait_ack_4_2 >= 1) and (T_out >= 1)) or (((wait_ack_3_2 >= 1) and (T_out >= 1)) or (((wait_ack_1_2 >= 1) and (T_out >= 1)) or (((wait_ack_15_1 >= 1) and (T_out >= 1)) or (((wait_ack_14_1 >= 1) and (T_out >= 1)) or (((wait_ack_13_1 >= 1) and (T_out >= 1)) or (((wait_ack_12_1 >= 1) and (T_out >= 1)) or (((wait_ack_11_1 >= 1) and (T_out >= 1)) or (((wait_ack_10_1 >= 1) and (T_out >= 1)) or (((wait_ack_9_1 >= 1) and (T_out >= 1)) or (((wait_ack_8_1 >= 1) and (T_out >= 1)) or (((wait_ack_7_1 >= 1) and (T_out >= 1)) or (((wait_ack_6_1 >= 1) and (T_out >= 1)) or (((wait_ack_5_1 >= 1) and (T_out >= 1)) or (((wait_ack_4_1 >= 1) and (T_out >= 1)) or (((wait_ack_3_1 >= 1) and (T_out >= 1)) or ((wait_ack_2_1 >= 1) and (T_out >= 1)))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))
Query after reduction: EF ((((wait_cable_14 >= 1) and (PMC_14 >= 1)) or (((wait_cable_13 >= 1) and (PMC_13 >= 1)) or (((wait_cable_12 >= 1) and (PMC_12 >= 1)) or (((wait_cable_11 >= 1) and (PMC_11 >= 1)) or (((wait_cable_10 >= 1) and (PMC_10 >= 1)) or (((wait_cable_9 >= 1) and (PMC_9 >= 1)) or (((wait_cable_8 >= 1) and (PMC_8 >= 1)) or (((wait_cable_7 >= 1) and (PMC_7 >= 1)) or (((wait_cable_6 >= 1) and (PMC_6 >= 1)) or (((wait_cable_5 >= 1) and (PMC_5 >= 1)) or (((wait_cable_4 >= 1) and (PMC_4 >= 1)) or (((wait_cable_3 >= 1) and (PMC_3 >= 1)) or (((wait_cable_2 >= 1) and (PMC_2 >= 1)) or (((wait_cable_1 >= 1) and (PMC_1 >= 1)) or (((wait_cable_15 >= 1) and (PMC_15 >= 1)) or (((wait_cable_13 >= 1) and (PMC_13 >= 1)) or (((wait_cable_12 >= 1) and (PMC_12 >= 1)) or (((wait_cable_11 >= 1) and (PMC_11 >= 1)) or (((wait_cable_10 >= 1) and (PMC_10 >= 1)) or (((wait_cable_9 >= 1) and (PMC_9 >= 1)) or (((wait_cable_8 >= 1) and (PMC_8 >= 1)) or (((wait_cable_7 >= 1) and (PMC_7 >= 1)) or (((wait_cable_6 >= 1) and (PMC_6 >= 1)) or (((wait_cable_5 >= 1) and (PMC_5 >= 1)) or (((wait_cable_4 >= 1) and (PMC_4 >= 1)) or (((wait_cable_3 >= 1) and (PMC_3 >= 1)) or (((wait_cable_2 >= 1) and (PMC_2 >= 1)) or (((wait_cable_1 >= 1) and (PMC_1 >= 1)) or (((wait_cable_15 >= 1) and (PMC_15 >= 1)) or (((wait_cable_14 >= 1) and (PMC_14 >= 1)) or (((wait_cable_12 >= 1) and (PMC_12 >= 1)) or (((wait_cable_11 >= 1) and (PMC_11 >= 1)) or (((wait_cable_10 >= 1) and (PMC_10 >= 1)) or (((wait_cable_9 >= 1) and (PMC_9 >= 1)) or (((wait_cable_8 >= 1) and (PMC_8 >= 1)) or (((wait_cable_7 >= 1) and (PMC_7 >= 1)) or (((wait_cable_6 >= 1) and (PMC_6 >= 1)) or (((wait_cable_5 >= 1) and (PMC_5 >= 1)) or (((wait_cable_4 >= 1) and (PMC_4 >= 1)) or (((wait_cable_3 >= 1) and (PMC_3 >= 1)) or (((wait_cable_2 >= 1) and (PMC_2 >= 1)) or (((wait_cable_1 >= 1) and (PMC_1 >= 1)) or (((wait_cable_15 >= 1) and (PMC_15 >= 1)) or (((wait_cable_14 >= 1) and (PMC_14 >= 1)) or (((wait_cable_13 >= 1) and (PMC_13 >= 1)) or (((wait_cable_11 >= 1) and (PMC_11 >= 1)) or (((wait_cable_10 >= 1) and (PMC_10 >= 1)) or (((wait_cable_9 >= 1) and (PMC_9 >= 1)) or (((wait_cable_8 >= 1) and (PMC_8 >= 1)) or (((wait_cable_7 >= 1) and (PMC_7 >= 1)) or (((wait_cable_6 >= 1) and (PMC_6 >= 1)) or (((wait_cable_5 >= 1) and (PMC_5 >= 1)) or (((wait_cable_4 >= 1) and (PMC_4 >= 1)) or (((wait_cable_3 >= 1) and (PMC_3 >= 1)) or (((wait_cable_2 >= 1) and (PMC_2 >= 1)) or (((wait_cable_1 >= 1) and (PMC_1 >= 1)) or (((wait_cable_15 >= 1) and (PMC_15 >= 1)) or (((wait_cable_14 >= 1) and (PMC_14 >= 1)) or (((wait_cable_13 >= 1) and (PMC_13 >= 1)) or (((wait_cable_12 >= 1) and (PMC_12 >= 1)) or (((wait_cable_10 >= 1) and (PMC_10 >= 1)) or (((wait_cable_9 >= 1) and (PMC_9 >= 1)) or (((wait_cable_8 >= 1) and (PMC_8 >= 1)) or (((wait_cable_7 >= 1) and (PMC_7 >= 1)) or (((wait_cable_6 >= 1) and (PMC_6 >= 1)) or (((wait_cable_5 >= 1) and (PMC_5 >= 1)) or (((wait_cable_4 >= 1) and (PMC_4 >= 1)) or (((wait_cable_3 >= 1) and (PMC_3 >= 1)) or (((wait_cable_2 >= 1) and (PMC_2 >= 1)) or (((wait_cable_1 >= 1) and (PMC_1 >= 1)) or (((wait_cable_15 >= 1) and (PMC_15 >= 1)) or (((wait_cable_14 >= 1) and (PMC_14 >= 1)) or (((wait_cable_13 >= 1) and (PMC_13 >= 1)) or (((wait_cable_12 >= 1) and (PMC_12 >= 1)) or (((wait_cable_11 >= 1) and (PMC_11 >= 1)) or (((wait_cable_9 >= 1) and (PMC_9 >= 1)) or (((wait_cable_8 >= 1) and (PMC_8 >= 1)) or (((wait_cable_7 >= 1) and (PMC_7 >= 1)) or (((wait_cable_6 >= 1) and (PMC_6 >= 1)) or (((wait_cable_5 >= 1) and (PMC_5 >= 1)) or (((wait_cable_4 >= 1) and (PMC_4 >= 1)) or (((wait_cable_3 >= 1) and (PMC_3 >= 1)) or (((wait_cable_2 >= 1) and (PMC_2 >= 1)) or (((wait_cable_1 >= 1) and (PMC_1 >= 1)) or (((wait_cable_15 >= 1) and (PMC_15 >= 1)) or (((wait_cable_14 >= 1) and (PMC_14 >= 1)) or (((wait_cable_13 >= 1) and (PMC_13 >= 1)) or (((wait_cable_12 >= 1) and (PMC_12 >= 1)) or (((wait_cable_11 >= 1) and (PMC_11 >= 1)) or (((wait_cable_10 >= 1) and (PMC_10 >= 1)) or (((wait_cable_8 >= 1) and (PMC_8 >= 1)) or (((wait_cable_7 >= 1) and (PMC_7 >= 1)) or (((wait_cable_6 >= 1) and (PMC_6 >= 1)) or (((wait_cable_5 >= 1) and (PMC_5 >= 1)) or (((wait_cable_4 >= 1) and (PMC_4 >= 1)) or (((wait_cable_3 >= 1) and (PMC_3 >= 1)) or (((wait_cable_2 >= 1) and (PMC_2 >= 1)) or (((wait_cable_1 >= 1) and (PMC_1 >= 1)) or (((wait_cable_15 >= 1) and (PMC_15 >= 1)) or (((wait_cable_14 >= 1) and (PMC_14 >= 1)) or (((wait_cable_13 >= 1) and (PMC_13 >= 1)) or (((wait_cable_12 >= 1) and (PMC_12 >= 1)) or (((wait_cable_11 >= 1) and (PMC_11 >= 1)) or (((wait_cable_10 >= 1) and (PMC_10 >= 1)) or (((wait_cable_9 >= 1) and (PMC_9 >= 1)) or (((wait_cable_7 >= 1) and (PMC_7 >= 1)) or (((wait_cable_6 >= 1) and (PMC_6 >= 1)) or (((wait_cable_5 >= 1) and (PMC_5 >= 1)) or (((wait_cable_4 >= 1) and (PMC_4 >= 1)) or (((wait_cable_3 >= 1) and (PMC_3 >= 1)) or (((wait_cable_2 >= 1) and (PMC_2 >= 1)) or (((wait_cable_1 >= 1) and (PMC_1 >= 1)) or (((wait_cable_15 >= 1) and (PMC_15 >= 1)) or (((wait_cable_14 >= 1) and (PMC_14 >= 1)) or (((wait_cable_13 >= 1) and (PMC_13 >= 1)) or (((wait_cable_12 >= 1) and (PMC_12 >= 1)) or (((wait_cable_11 >= 1) and (PMC_11 >= 1)) or (((wait_cable_10 >= 1) and (PMC_10 >= 1)) or (((wait_cable_9 >= 1) and (PMC_9 >= 1)) or (((wait_cable_8 >= 1) and (PMC_8 >= 1)) or (((wait_cable_6 >= 1) and (PMC_6 >= 1)) or (((wait_cable_5 >= 1) and (PMC_5 >= 1)) or (((wait_cable_4 >= 1) and (PMC_4 >= 1)) or (((wait_cable_3 >= 1) and (PMC_3 >= 1)) or (((wait_cable_2 >= 1) and (PMC_2 >= 1)) or (((wait_cable_1 >= 1) and (PMC_1 >= 1)) or (((wait_cable_15 >= 1) and (PMC_15 >= 1)) or (((wait_cable_14 >= 1) and (PMC_14 >= 1)) or (((wait_cable_13 >= 1) and (PMC_13 >= 1)) or (((wait_cable_12 >= 1) and (PMC_12 >= 1)) or (((wait_cable_11 >= 1) and (PMC_11 >= 1)) or (((wait_cable_10 >= 1) and (PMC_10 >= 1)) or (((wait_cable_9 >= 1) and (PMC_9 >= 1)) or (((wait_cable_8 >= 1) and (PMC_8 >= 1)) or (((wait_cable_7 >= 1) and (PMC_7 >= 1)) or (((wait_cable_5 >= 1) and (PMC_5 >= 1)) or (((wait_cable_4 >= 1) and (PMC_4 >= 1)) or (((wait_cable_3 >= 1) and (PMC_3 >= 1)) or (((wait_cable_2 >= 1) and (PMC_2 >= 1)) or (((wait_cable_1 >= 1) and (PMC_1 >= 1)) or (((wait_cable_15 >= 1) and (PMC_15 >= 1)) or (((wait_cable_14 >= 1) and (PMC_14 >= 1)) or (((wait_cable_13 >= 1) and (PMC_13 >= 1)) or (((wait_cable_12 >= 1) and (PMC_12 >= 1)) or (((wait_cable_11 >= 1) and (PMC_11 >= 1)) or (((wait_cable_10 >= 1) and (PMC_10 >= 1)) or (((wait_cable_9 >= 1) and (PMC_9 >= 1)) or (((wait_cable_8 >= 1) and (PMC_8 >= 1)) or (((wait_cable_7 >= 1) and (PMC_7 >= 1)) or (((wait_cable_6 >= 1) and (PMC_6 >= 1)) or (((wait_cable_4 >= 1) and (PMC_4 >= 1)) or (((wait_cable_3 >= 1) and (PMC_3 >= 1)) or (((wait_cable_2 >= 1) and (PMC_2 >= 1)) or (((wait_cable_1 >= 1) and (PMC_1 >= 1)) or (((wait_cable_15 >= 1) and (PMC_15 >= 1)) or (((wait_cable_14 >= 1) and (PMC_14 >= 1)) or (((wait_cable_13 >= 1) and (PMC_13 >= 1)) or (((wait_cable_12 >= 1) and (PMC_12 >= 1)) or (((wait_cable_11 >= 1) and (PMC_11 >= 1)) or (((wait_cable_10 >= 1) and (PMC_10 >= 1)) or (((wait_cable_9 >= 1) and (PMC_9 >= 1)) or (((wait_cable_8 >= 1) and (PMC_8 >= 1)) or (((wait_cable_7 >= 1) and (PMC_7 >= 1)) or (((wait_cable_6 >= 1) and (PMC_6 >= 1)) or (((wait_cable_5 >= 1) and (PMC_5 >= 1)) or (((wait_cable_3 >= 1) and (PMC_3 >= 1)) or (((wait_cable_2 >= 1) and (PMC_2 >= 1)) or (((wait_cable_1 >= 1) and (PMC_1 >= 1)) or (((wait_cable_15 >= 1) and (PMC_15 >= 1)) or (((wait_cable_14 >= 1) and (PMC_14 >= 1)) or (((wait_cable_13 >= 1) and (PMC_13 >= 1)) or (((wait_cable_12 >= 1) and (PMC_12 >= 1)) or (((wait_cable_11 >= 1) and (PMC_11 >= 1)) or (((wait_cable_10 >= 1) and (PMC_10 >= 1)) or (((wait_cable_9 >= 1) and (PMC_9 >= 1)) or (((wait_cable_8 >= 1) and (PMC_8 >= 1)) or (((wait_cable_7 >= 1) and (PMC_7 >= 1)) or (((wait_cable_6 >= 1) and (PMC_6 >= 1)) or (((wait_cable_5 >= 1) and (PMC_5 >= 1)) or (((wait_cable_4 >= 1) and (PMC_4 >= 1)) or (((wait_cable_2 >= 1) and (PMC_2 >= 1)) or (((wait_cable_1 >= 1) and (PMC_1 >= 1)) or (((wait_cable_15 >= 1) and (PMC_15 >= 1)) or (((wait_cable_14 >= 1) and (PMC_14 >= 1)) or (((wait_cable_13 >= 1) and (PMC_13 >= 1)) or (((wait_cable_12 >= 1) and (PMC_12 >= 1)) or (((wait_cable_11 >= 1) and (PMC_11 >= 1)) or (((wait_cable_10 >= 1) and (PMC_10 >= 1)) or (((wait_cable_9 >= 1) and (PMC_9 >= 1)) or (((wait_cable_8 >= 1) and (PMC_8 >= 1)) or (((wait_cable_7 >= 1) and (PMC_7 >= 1)) or (((wait_cable_6 >= 1) and (PMC_6 >= 1)) or (((wait_cable_5 >= 1) and (PMC_5 >= 1)) or (((wait_cable_4 >= 1) and (PMC_4 >= 1)) or (((wait_cable_3 >= 1) and (PMC_3 >= 1)) or (((wait_cable_1 >= 1) and (PMC_1 >= 1)) or (((wait_cable_15 >= 1) and (PMC_15 >= 1)) or (((wait_cable_14 >= 1) and (PMC_14 >= 1)) or (((wait_cable_13 >= 1) and (PMC_13 >= 1)) or (((wait_cable_12 >= 1) and (PMC_12 >= 1)) or (((wait_cable_11 >= 1) and (PMC_11 >= 1)) or (((wait_cable_10 >= 1) and (PMC_10 >= 1)) or (((wait_cable_9 >= 1) and (PMC_9 >= 1)) or (((wait_cable_8 >= 1) and (PMC_8 >= 1)) or (((wait_cable_7 >= 1) and (PMC_7 >= 1)) or (((wait_cable_6 >= 1) and (PMC_6 >= 1)) or (((wait_cable_5 >= 1) and (PMC_5 >= 1)) or (((wait_cable_4 >= 1) and (PMC_4 >= 1)) or (((wait_cable_3 >= 1) and (PMC_3 >= 1)) or ((wait_cable_2 >= 1) and (PMC_2 >= 1))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))) and ((((FMC_15 >= 1) and (S_tout >= 1)) or (((FMC_14 >= 1) and (S_tout >= 1)) or (((FMC_13 >= 1) and (S_tout >= 1)) or (((FMC_12 >= 1) and (S_tout >= 1)) or (((FMC_11 >= 1) and (S_tout >= 1)) or (((FMC_10 >= 1) and (S_tout >= 1)) or (((FMC_9 >= 1) and (S_tout >= 1)) or (((FMC_8 >= 1) and (S_tout >= 1)) or (((FMC_7 >= 1) and (S_tout >= 1)) or (((FMC_6 >= 1) and (S_tout >= 1)) or (((FMC_5 >= 1) and (S_tout >= 1)) or (((FMC_4 >= 1) and (S_tout >= 1)) or (((FMC_3 >= 1) and (S_tout >= 1)) or (((FMC_2 >= 1) and (S_tout >= 1)) or ((FMC_1 >= 1) and (S_tout >= 1)))))))))))))))) and (((wait_ack_14_15 >= 1) and (T_out >= 1)) or (((wait_ack_13_15 >= 1) and (T_out >= 1)) or (((wait_ack_12_15 >= 1) and (T_out >= 1)) or (((wait_ack_11_15 >= 1) and (T_out >= 1)) or (((wait_ack_10_15 >= 1) and (T_out >= 1)) or (((wait_ack_9_15 >= 1) and (T_out >= 1)) or (((wait_ack_8_15 >= 1) and (T_out >= 1)) or (((wait_ack_7_15 >= 1) and (T_out >= 1)) or (((wait_ack_6_15 >= 1) and (T_out >= 1)) or (((wait_ack_5_15 >= 1) and (T_out >= 1)) or (((wait_ack_4_15 >= 1) and (T_out >= 1)) or (((wait_ack_3_15 >= 1) and (T_out >= 1)) or (((wait_ack_2_15 >= 1) and (T_out >= 1)) or (((wait_ack_1_15 >= 1) and (T_out >= 1)) or (((wait_ack_15_14 >= 1) and (T_out >= 1)) or (((wait_ack_13_14 >= 1) and (T_out >= 1)) or (((wait_ack_12_14 >= 1) and (T_out >= 1)) or (((wait_ack_11_14 >= 1) and (T_out >= 1)) or (((wait_ack_10_14 >= 1) and (T_out >= 1)) or (((wait_ack_9_14 >= 1) and (T_out >= 1)) or (((wait_ack_8_14 >= 1) and (T_out >= 1)) or (((wait_ack_7_14 >= 1) and (T_out >= 1)) or (((wait_ack_6_14 >= 1) and (T_out >= 1)) or (((wait_ack_5_14 >= 1) and (T_out >= 1)) or (((wait_ack_4_14 >= 1) and (T_out >= 1)) or (((wait_ack_3_14 >= 1) and (T_out >= 1)) or (((wait_ack_2_14 >= 1) and (T_out >= 1)) or (((wait_ack_1_14 >= 1) and (T_out >= 1)) or (((wait_ack_15_13 >= 1) and (T_out >= 1)) or (((wait_ack_14_13 >= 1) and (T_out >= 1)) or (((wait_ack_12_13 >= 1) and (T_out >= 1)) or (((wait_ack_11_13 >= 1) and (T_out >= 1)) or (((wait_ack_10_13 >= 1) and (T_out >= 1)) or (((wait_ack_9_13 >= 1) and (T_out >= 1)) or (((wait_ack_8_13 >= 1) and (T_out >= 1)) or (((wait_ack_7_13 >= 1) and (T_out >= 1)) or (((wait_ack_6_13 >= 1) and (T_out >= 1)) or (((wait_ack_5_13 >= 1) and (T_out >= 1)) or (((wait_ack_4_13 >= 1) and (T_out >= 1)) or (((wait_ack_3_13 >= 1) and (T_out >= 1)) or (((wait_ack_2_13 >= 1) and (T_out >= 1)) or (((wait_ack_1_13 >= 1) and (T_out >= 1)) or (((wait_ack_15_12 >= 1) and (T_out >= 1)) or (((wait_ack_14_12 >= 1) and (T_out >= 1)) or (((wait_ack_13_12 >= 1) and (T_out >= 1)) or (((wait_ack_11_12 >= 1) and (T_out >= 1)) or (((wait_ack_10_12 >= 1) and (T_out >= 1)) or (((wait_ack_9_12 >= 1) and (T_out >= 1)) or (((wait_ack_8_12 >= 1) and (T_out >= 1)) or (((wait_ack_7_12 >= 1) and (T_out >= 1)) or (((wait_ack_6_12 >= 1) and (T_out >= 1)) or (((wait_ack_5_12 >= 1) and (T_out >= 1)) or (((wait_ack_4_12 >= 1) and (T_out >= 1)) or (((wait_ack_3_12 >= 1) and (T_out >= 1)) or (((wait_ack_2_12 >= 1) and (T_out >= 1)) or (((wait_ack_1_12 >= 1) and (T_out >= 1)) or (((wait_ack_15_11 >= 1) and (T_out >= 1)) or (((wait_ack_14_11 >= 1) and (T_out >= 1)) or (((wait_ack_13_11 >= 1) and (T_out >= 1)) or (((wait_ack_12_11 >= 1) and (T_out >= 1)) or (((wait_ack_10_11 >= 1) and (T_out >= 1)) or (((wait_ack_9_11 >= 1) and (T_out >= 1)) or (((wait_ack_8_11 >= 1) and (T_out >= 1)) or (((wait_ack_7_11 >= 1) and (T_out >= 1)) or (((wait_ack_6_11 >= 1) and (T_out >= 1)) or (((wait_ack_5_11 >= 1) and (T_out >= 1)) or (((wait_ack_4_11 >= 1) and (T_out >= 1)) or (((wait_ack_3_11 >= 1) and (T_out >= 1)) or (((wait_ack_2_11 >= 1) and (T_out >= 1)) or (((wait_ack_1_11 >= 1) and (T_out >= 1)) or (((wait_ack_15_10 >= 1) and (T_out >= 1)) or (((wait_ack_14_10 >= 1) and (T_out >= 1)) or (((wait_ack_13_10 >= 1) and (T_out >= 1)) or (((wait_ack_12_10 >= 1) and (T_out >= 1)) or (((wait_ack_11_10 >= 1) and (T_out >= 1)) or (((wait_ack_9_10 >= 1) and (T_out >= 1)) or (((wait_ack_8_10 >= 1) and (T_out >= 1)) or (((wait_ack_7_10 >= 1) and (T_out >= 1)) or (((wait_ack_6_10 >= 1) and (T_out >= 1)) or (((wait_ack_5_10 >= 1) and (T_out >= 1)) or (((wait_ack_4_10 >= 1) and (T_out >= 1)) or (((wait_ack_3_10 >= 1) and (T_out >= 1)) or (((wait_ack_2_10 >= 1) and (T_out >= 1)) or (((wait_ack_1_10 >= 1) and (T_out >= 1)) or (((wait_ack_15_9 >= 1) and (T_out >= 1)) or (((wait_ack_14_9 >= 1) and (T_out >= 1)) or (((wait_ack_13_9 >= 1) and (T_out >= 1)) or (((wait_ack_12_9 >= 1) and (T_out >= 1)) or (((wait_ack_11_9 >= 1) and (T_out >= 1)) or (((wait_ack_10_9 >= 1) and (T_out >= 1)) or (((wait_ack_8_9 >= 1) and (T_out >= 1)) or (((wait_ack_7_9 >= 1) and (T_out >= 1)) or (((wait_ack_6_9 >= 1) and (T_out >= 1)) or (((wait_ack_5_9 >= 1) and (T_out >= 1)) or (((wait_ack_4_9 >= 1) and (T_out >= 1)) or (((wait_ack_3_9 >= 1) and (T_out >= 1)) or (((wait_ack_2_9 >= 1) and (T_out >= 1)) or (((wait_ack_1_9 >= 1) and (T_out >= 1)) or (((wait_ack_15_8 >= 1) and (T_out >= 1)) or (((wait_ack_14_8 >= 1) and (T_out >= 1)) or (((wait_ack_13_8 >= 1) and (T_out >= 1)) or (((wait_ack_12_8 >= 1) and (T_out >= 1)) or (((wait_ack_11_8 >= 1) and (T_out >= 1)) or (((wait_ack_10_8 >= 1) and (T_out >= 1)) or (((wait_ack_9_8 >= 1) and (T_out >= 1)) or (((wait_ack_7_8 >= 1) and (T_out >= 1)) or (((wait_ack_6_8 >= 1) and (T_out >= 1)) or (((wait_ack_5_8 >= 1) and (T_out >= 1)) or (((wait_ack_4_8 >= 1) and (T_out >= 1)) or (((wait_ack_3_8 >= 1) and (T_out >= 1)) or (((wait_ack_2_8 >= 1) and (T_out >= 1)) or (((wait_ack_1_8 >= 1) and (T_out >= 1)) or (((wait_ack_15_7 >= 1) and (T_out >= 1)) or (((wait_ack_14_7 >= 1) and (T_out >= 1)) or (((wait_ack_13_7 >= 1) and (T_out >= 1)) or (((wait_ack_12_7 >= 1) and (T_out >= 1)) or (((wait_ack_11_7 >= 1) and (T_out >= 1)) or (((wait_ack_10_7 >= 1) and (T_out >= 1)) or (((wait_ack_9_7 >= 1) and (T_out >= 1)) or (((wait_ack_8_7 >= 1) and (T_out >= 1)) or (((wait_ack_6_7 >= 1) and (T_out >= 1)) or (((wait_ack_5_7 >= 1) and (T_out >= 1)) or (((wait_ack_4_7 >= 1) and (T_out >= 1)) or (((wait_ack_3_7 >= 1) and (T_out >= 1)) or (((wait_ack_2_7 >= 1) and (T_out >= 1)) or (((wait_ack_1_7 >= 1) and (T_out >= 1)) or (((wait_ack_15_6 >= 1) and (T_out >= 1)) or (((wait_ack_14_6 >= 1) and (T_out >= 1)) or (((wait_ack_13_6 >= 1) and (T_out >= 1)) or (((wait_ack_12_6 >= 1) and (T_out >= 1)) or (((wait_ack_11_6 >= 1) and (T_out >= 1)) or (((wait_ack_10_6 >= 1) and (T_out >= 1)) or (((wait_ack_9_6 >= 1) and (T_out >= 1)) or (((wait_ack_8_6 >= 1) and (T_out >= 1)) or (((wait_ack_7_6 >= 1) and (T_out >= 1)) or (((wait_ack_5_6 >= 1) and (T_out >= 1)) or (((wait_ack_4_6 >= 1) and (T_out >= 1)) or (((wait_ack_3_6 >= 1) and (T_out >= 1)) or (((wait_ack_2_6 >= 1) and (T_out >= 1)) or (((wait_ack_1_6 >= 1) and (T_out >= 1)) or (((wait_ack_15_5 >= 1) and (T_out >= 1)) or (((wait_ack_14_5 >= 1) and (T_out >= 1)) or (((wait_ack_13_5 >= 1) and (T_out >= 1)) or (((wait_ack_12_5 >= 1) and (T_out >= 1)) or (((wait_ack_11_5 >= 1) and (T_out >= 1)) or (((wait_ack_10_5 >= 1) and (T_out >= 1)) or (((wait_ack_9_5 >= 1) and (T_out >= 1)) or (((wait_ack_8_5 >= 1) and (T_out >= 1)) or (((wait_ack_7_5 >= 1) and (T_out >= 1)) or (((wait_ack_6_5 >= 1) and (T_out >= 1)) or (((wait_ack_4_5 >= 1) and (T_out >= 1)) or (((wait_ack_3_5 >= 1) and (T_out >= 1)) or (((wait_ack_2_5 >= 1) and (T_out >= 1)) or (((wait_ack_1_5 >= 1) and (T_out >= 1)) or (((wait_ack_15_4 >= 1) and (T_out >= 1)) or (((wait_ack_14_4 >= 1) and (T_out >= 1)) or (((wait_ack_13_4 >= 1) and (T_out >= 1)) or (((wait_ack_12_4 >= 1) and (T_out >= 1)) or (((wait_ack_11_4 >= 1) and (T_out >= 1)) or (((wait_ack_10_4 >= 1) and (T_out >= 1)) or (((wait_ack_9_4 >= 1) and (T_out >= 1)) or (((wait_ack_8_4 >= 1) and (T_out >= 1)) or (((wait_ack_7_4 >= 1) and (T_out >= 1)) or (((wait_ack_6_4 >= 1) and (T_out >= 1)) or (((wait_ack_5_4 >= 1) and (T_out >= 1)) or (((wait_ack_3_4 >= 1) and (T_out >= 1)) or (((wait_ack_2_4 >= 1) and (T_out >= 1)) or (((wait_ack_1_4 >= 1) and (T_out >= 1)) or (((wait_ack_15_3 >= 1) and (T_out >= 1)) or (((wait_ack_14_3 >= 1) and (T_out >= 1)) or (((wait_ack_13_3 >= 1) and (T_out >= 1)) or (((wait_ack_12_3 >= 1) and (T_out >= 1)) or (((wait_ack_11_3 >= 1) and (T_out >= 1)) or (((wait_ack_10_3 >= 1) and (T_out >= 1)) or (((wait_ack_9_3 >= 1) and (T_out >= 1)) or (((wait_ack_8_3 >= 1) and (T_out >= 1)) or (((wait_ack_7_3 >= 1) and (T_out >= 1)) or (((wait_ack_6_3 >= 1) and (T_out >= 1)) or (((wait_ack_5_3 >= 1) and (T_out >= 1)) or (((wait_ack_4_3 >= 1) and (T_out >= 1)) or (((wait_ack_2_3 >= 1) and (T_out >= 1)) or (((wait_ack_1_3 >= 1) and (T_out >= 1)) or (((wait_ack_15_2 >= 1) and (T_out >= 1)) or (((wait_ack_14_2 >= 1) and (T_out >= 1)) or (((wait_ack_13_2 >= 1) and (T_out >= 1)) or (((wait_ack_12_2 >= 1) and (T_out >= 1)) or (((wait_ack_11_2 >= 1) and (T_out >= 1)) or (((wait_ack_10_2 >= 1) and (T_out >= 1)) or (((wait_ack_9_2 >= 1) and (T_out >= 1)) or (((wait_ack_8_2 >= 1) and (T_out >= 1)) or (((wait_ack_7_2 >= 1) and (T_out >= 1)) or (((wait_ack_6_2 >= 1) and (T_out >= 1)) or (((wait_ack_5_2 >= 1) and (T_out >= 1)) or (((wait_ack_4_2 >= 1) and (T_out >= 1)) or (((wait_ack_3_2 >= 1) and (T_out >= 1)) or (((wait_ack_1_2 >= 1) and (T_out >= 1)) or (((wait_ack_15_1 >= 1) and (T_out >= 1)) or (((wait_ack_14_1 >= 1) and (T_out >= 1)) or (((wait_ack_13_1 >= 1) and (T_out >= 1)) or (((wait_ack_12_1 >= 1) and (T_out >= 1)) or (((wait_ack_11_1 >= 1) and (T_out >= 1)) or (((wait_ack_10_1 >= 1) and (T_out >= 1)) or (((wait_ack_9_1 >= 1) and (T_out >= 1)) or (((wait_ack_8_1 >= 1) and (T_out >= 1)) or (((wait_ack_7_1 >= 1) and (T_out >= 1)) or (((wait_ack_6_1 >= 1) and (T_out >= 1)) or (((wait_ack_5_1 >= 1) and (T_out >= 1)) or (((wait_ack_4_1 >= 1) and (T_out >= 1)) or (((wait_ack_3_1 >= 1) and (T_out >= 1)) or ((wait_ack_2_1 >= 1) and (T_out >= 1)))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))
Query size reduced from 4320 to 3480 nodes (19.44 percent reduction).
Query reduction reached timeout.
Size of net before structural reductions: 621 places, 4771 transitions
Size of net after structural reductions: 621 places, 4771 transitions
Structural reduction finished after 0.108101 seconds

Net reduction is enabled.
Removed transitions: 0
Removed places: 0
Applications of rule A: 0
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0


------------------- QUERY 4 ----------------------
Solution found
perl: warning: Setting locale failed.
perl: warning: Please check that your locale settings:
LANGUAGE = (unset),
LC_ALL = (unset),
LANG = "en_US.UTF-8"
are supported and installed on your system.
perl: warning: Falling back to the standard locale ("C").
perl: warning: Setting locale failed.
perl: warning: Please check that your locale settings:
LANGUAGE = (unset),
LC_ALL = (unset),
LANG = "en_US.UTF-8"
are supported and installed on your system.
perl: warning: Falling back to the standard locale ("C").

Parameters: -s BFS -q 0 -d 10 ./model.pnml ./ReachabilityFireability.xml -x 4

Parameters: -s BestFS -d 10 ./model.pnml ./ReachabilityFireability.xml -x 4

Parameters: -s BestFS -q 0 -d 10 ./model.pnml ./ReachabilityFireability.xml -x 4

Parameters: -s DFS -q 0 -d 10 ./model.pnml ./ReachabilityFireability.xml -x 4

Search=HEUR,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=ENABLED,QSTimeout=30,Siphon_Trap=DISABLED,LPSolve_Timeout=10


Search=DFS,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=DISABLED,Siphon_Trap=DISABLED,LPSolve_Timeout=10
Size of net before structural reductions: 621 places, 4771 transitions
Size of net after structural reductions: 621 places, 4771 transitions
Structural reduction finished after 0.111882 seconds

Net reduction is enabled.
Removed transitions: 0
Removed places: 0
Applications of rule A: 0
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0

Search=HEUR,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=DISABLED,Siphon_Trap=DISABLED,LPSolve_Timeout=10
Size of net before structural reductions: 621 places, 4771 transitions
Size of net after structural reductions: 621 places, 4771 transitions
Structural reduction finished after 0.109633 seconds

Net reduction is enabled.
Removed transitions: 0
Removed places: 0
Applications of rule A: 0
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0

Search=BFS,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=DISABLED,Siphon_Trap=DISABLED,LPSolve_Timeout=10
Size of net before structural reductions: 621 places, 4771 transitions
Size of net after structural reductions: 621 places, 4771 transitions
Structural reduction finished after 0.111 seconds

Net reduction is enabled.
Removed transitions: 0
Removed places: 0
Applications of rule A: 0
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0


Query is NOT satisfied.

STATS:
discovered states: 135
explored states: 135
expanded states: 14
max tokens: 50

parallel: This job succeeded:
/home/mcc/BenchKit/start.sh 14500000 /home/mcc/BenchKit/bin/verifypn-linux64 -s\ DFS\ -q\ 0\ -d\ 10 ./model.pnml ./ReachabilityFireability.xml -x 4

FORMULA SafeBus-COL-15-ReachabilityFireability-3 FALSE TECHNIQUES COLLATERAL_PROCESSING EXPLICIT STRUCTURAL_REDUCTION STATE_COMPRESSION STUBBORN_SETS
------------------- QUERY 5 ----------------------
Solution found
perl: warning: Setting locale failed.
perl: warning: Please check that your locale settings:
LANGUAGE = (unset),
LC_ALL = (unset),
LANG = "en_US.UTF-8"
are supported and installed on your system.
perl: warning: Falling back to the standard locale ("C").
perl: warning: Setting locale failed.
perl: warning: Please check that your locale settings:
LANGUAGE = (unset),
LC_ALL = (unset),
LANG = "en_US.UTF-8"
are supported and installed on your system.
perl: warning: Falling back to the standard locale ("C").

Parameters: -s BestFS -d 10 ./model.pnml ./ReachabilityFireability.xml -x 5

Parameters: -s BFS -q 0 -d 10 ./model.pnml ./ReachabilityFireability.xml -x 5

Parameters: -s BestFS -q 0 -d 10 ./model.pnml ./ReachabilityFireability.xml -x 5

Parameters: -s DFS -q 0 -d 10 ./model.pnml ./ReachabilityFireability.xml -x 5

Search=BFS,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=DISABLED,Siphon_Trap=DISABLED,LPSolve_Timeout=10
Size of net before structural reductions: 621 places, 4771 transitions
Size of net after structural reductions: 621 places, 4771 transitions
Structural reduction finished after 0.111372 seconds

Net reduction is enabled.
Removed transitions: 0
Removed places: 0
Applications of rule A: 0
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0

Search=HEUR,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=DISABLED,Siphon_Trap=DISABLED,LPSolve_Timeout=10
Size of net before structural reductions: 621 places, 4771 transitions
Size of net after structural reductions: 621 places, 4771 transitions
Structural reduction finished after 0.114034 seconds

Net reduction is enabled.
Removed transitions: 0
Removed places: 0
Applications of rule A: 0
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0


Query is satisfied.

STATS:
discovered states: 157
explored states: 157
expanded states: 19
max tokens: 50


Search=DFS,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=DISABLED,Siphon_Trap=DISABLED,LPSolve_Timeout=10
Size of net before structural reductions: 621 places, 4771 transitions
Size of net after structural reductions: 621 places, 4771 transitions
Structural reduction finished after 0.109509 seconds

Net reduction is enabled.
Removed transitions: 0
Removed places: 0
Applications of rule A: 0
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0
parallel: This job succeeded:
/home/mcc/BenchKit/start.sh 14500000 /home/mcc/BenchKit/bin/verifypn-linux64 -s\ BestFS\ -q\ 0\ -d\ 10 ./model.pnml ./ReachabilityFireability.xml -x 5

FORMULA SafeBus-COL-15-ReachabilityFireability-4 TRUE TECHNIQUES COLLATERAL_PROCESSING EXPLICIT STRUCTURAL_REDUCTION STATE_COMPRESSION STUBBORN_SETS
------------------- QUERY 6 ----------------------
Solution found
perl: warning: Setting locale failed.
perl: warning: Please check that your locale settings:
LANGUAGE = (unset),
LC_ALL = (unset),
LANG = "en_US.UTF-8"
are supported and installed on your system.
perl: warning: Falling back to the standard locale ("C").
perl: warning: Setting locale failed.
perl: warning: Please check that your locale settings:
LANGUAGE = (unset),
LC_ALL = (unset),
LANG = "en_US.UTF-8"
are supported and installed on your system.
perl: warning: Falling back to the standard locale ("C").

Parameters: -s BestFS -d 10 ./model.pnml ./ReachabilityFireability.xml -x 6

Parameters: -s BestFS -q 0 -d 10 ./model.pnml ./ReachabilityFireability.xml -x 6

Parameters: -s BFS -q 0 -d 10 ./model.pnml ./ReachabilityFireability.xml -x 6

Parameters: -s DFS -q 0 -d 10 ./model.pnml ./ReachabilityFireability.xml -x 6

Search=HEUR,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=ENABLED,QSTimeout=30,Siphon_Trap=DISABLED,LPSolve_Timeout=10


Search=BFS,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=DISABLED,Siphon_Trap=DISABLED,LPSolve_Timeout=10
Size of net before structural reductions: 621 places, 4771 transitions
Size of net after structural reductions: 621 places, 4771 transitions
Structural reduction finished after 0.112113 seconds

Net reduction is enabled.
Removed transitions: 0
Removed places: 0
Applications of rule A: 0
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0

Search=DFS,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=DISABLED,Siphon_Trap=DISABLED,LPSolve_Timeout=10
Size of net before structural reductions: 621 places, 4771 transitions
Size of net after structural reductions: 621 places, 4771 transitions
Structural reduction finished after 0.116322 seconds

Net reduction is enabled.
Removed transitions: 0
Removed places: 0
Applications of rule A: 0
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0

Search=HEUR,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=DISABLED,Siphon_Trap=DISABLED,LPSolve_Timeout=10
Size of net before structural reductions: 621 places, 4771 transitions
Size of net after structural reductions: 621 places, 4771 transitions
Structural reduction finished after 0.11143 seconds

Net reduction is enabled.
Removed transitions: 0
Removed places: 0
Applications of rule A: 0
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0


Query is NOT satisfied.

STATS:
discovered states: 135
explored states: 135
expanded states: 14
max tokens: 50

parallel: This job succeeded:
/home/mcc/BenchKit/start.sh 14500000 /home/mcc/BenchKit/bin/verifypn-linux64 -s\ DFS\ -q\ 0\ -d\ 10 ./model.pnml ./ReachabilityFireability.xml -x 6

FORMULA SafeBus-COL-15-ReachabilityFireability-5 FALSE TECHNIQUES COLLATERAL_PROCESSING EXPLICIT STRUCTURAL_REDUCTION STATE_COMPRESSION STUBBORN_SETS
------------------- QUERY 7 ----------------------
Solution found
perl: warning: Setting locale failed.
perl: warning: Please check that your locale settings:
LANGUAGE = (unset),
LC_ALL = (unset),
LANG = "en_US.UTF-8"
are supported and installed on your system.
perl: warning: Falling back to the standard locale ("C").
perl: warning: Setting locale failed.
perl: warning: Please check that your locale settings:
LANGUAGE = (unset),
LC_ALL = (unset),
LANG = "en_US.UTF-8"
are supported and installed on your system.
perl: warning: Falling back to the standard locale ("C").

Parameters: -s BestFS -d 10 ./model.pnml ./ReachabilityFireability.xml -x 7

Parameters: -s BestFS -q 0 -d 10 ./model.pnml ./ReachabilityFireability.xml -x 7

Parameters: -s BFS -q 0 -d 10 ./model.pnml ./ReachabilityFireability.xml -x 7

Parameters: -s DFS -q 0 -d 10 ./model.pnml ./ReachabilityFireability.xml -x 7

Search=DFS,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=DISABLED,Siphon_Trap=DISABLED,LPSolve_Timeout=10
Size of net before structural reductions: 621 places, 4771 transitions
Size of net after structural reductions: 621 places, 4771 transitions
Structural reduction finished after 0.106185 seconds

Net reduction is enabled.
Removed transitions: 0
Removed places: 0
Applications of rule A: 0
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0

Search=HEUR,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=DISABLED,Siphon_Trap=DISABLED,LPSolve_Timeout=10
Size of net before structural reductions: 621 places, 4771 transitions
Size of net after structural reductions: 621 places, 4771 transitions
Structural reduction finished after 0.114099 seconds

Net reduction is enabled.
Removed transitions: 0
Removed places: 0
Applications of rule A: 0
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0

Search=BFS,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=DISABLED,Siphon_Trap=DISABLED,LPSolve_Timeout=10
Size of net before structural reductions: 621 places, 4771 transitions
Size of net after structural reductions: 621 places, 4771 transitions
Structural reduction finished after 0.10995 seconds

Net reduction is enabled.
Removed transitions: 0
Removed places: 0
Applications of rule A: 0
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0


Query is satisfied.

STATS:
discovered states: 201
explored states: 201
expanded states: 35
max tokens: 50

parallel: This job succeeded:
/home/mcc/BenchKit/start.sh 14500000 /home/mcc/BenchKit/bin/verifypn-linux64 -s\ DFS\ -q\ 0\ -d\ 10 ./model.pnml ./ReachabilityFireability.xml -x 7

FORMULA SafeBus-COL-15-ReachabilityFireability-6 TRUE TECHNIQUES COLLATERAL_PROCESSING EXPLICIT STRUCTURAL_REDUCTION STATE_COMPRESSION STUBBORN_SETS
------------------- QUERY 8 ----------------------
Solution found
perl: warning: Setting locale failed.
perl: warning: Please check that your locale settings:
LANGUAGE = (unset),
LC_ALL = (unset),
LANG = "en_US.UTF-8"
are supported and installed on your system.
perl: warning: Falling back to the standard locale ("C").
perl: warning: Setting locale failed.
perl: warning: Please check that your locale settings:
LANGUAGE = (unset),
LC_ALL = (unset),
LANG = "en_US.UTF-8"
are supported and installed on your system.
perl: warning: Falling back to the standard locale ("C").

Parameters: -s BFS -q 0 -d 10 ./model.pnml ./ReachabilityFireability.xml -x 8

Parameters: -s BestFS -d 10 ./model.pnml ./ReachabilityFireability.xml -x 8

Parameters: -s BestFS -q 0 -d 10 ./model.pnml ./ReachabilityFireability.xml -x 8

Parameters: -s DFS -q 0 -d 10 ./model.pnml ./ReachabilityFireability.xml -x 8

Search=HEUR,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=ENABLED,QSTimeout=30,Siphon_Trap=DISABLED,LPSolve_Timeout=10


Search=BFS,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=DISABLED,Siphon_Trap=DISABLED,LPSolve_Timeout=10
Size of net before structural reductions: 621 places, 4771 transitions
Size of net after structural reductions: 621 places, 4771 transitions
Structural reduction finished after 0.112417 seconds

Net reduction is enabled.
Removed transitions: 0
Removed places: 0
Applications of rule A: 0
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0

Search=DFS,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=DISABLED,Siphon_Trap=DISABLED,LPSolve_Timeout=10
Size of net before structural reductions: 621 places, 4771 transitions
Size of net after structural reductions: 621 places, 4771 transitions
Structural reduction finished after 0.11166 seconds

Net reduction is enabled.
Removed transitions: 0
Removed places: 0
Applications of rule A: 0
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0

Search=HEUR,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=DISABLED,Siphon_Trap=DISABLED,LPSolve_Timeout=10
Size of net before structural reductions: 621 places, 4771 transitions
Size of net after structural reductions: 621 places, 4771 transitions
Structural reduction finished after 0.110708 seconds

Net reduction is enabled.
Removed transitions: 0
Removed places: 0
Applications of rule A: 0
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0


Query is NOT satisfied.

STATS:
discovered states: 157
explored states: 157
expanded states: 19
max tokens: 50



Query is NOT satisfied.

STATS:
discovered states: 157
explored states: 157
expanded states: 19
max tokens: 50

parallel: This job succeeded:
/home/mcc/BenchKit/start.sh 14500000 /home/mcc/BenchKit/bin/verifypn-linux64 -s\ DFS\ -q\ 0\ -d\ 10 ./model.pnml ./ReachabilityFireability.xml -x 8

FORMULA SafeBus-COL-15-ReachabilityFireability-7 FALSE TECHNIQUES COLLATERAL_PROCESSING EXPLICIT STRUCTURAL_REDUCTION STATE_COMPRESSION STUBBORN_SETS
------------------- QUERY 9 ----------------------
Solution found
perl: warning: Setting locale failed.
perl: warning: Please check that your locale settings:
LANGUAGE = (unset),
LC_ALL = (unset),
LANG = "en_US.UTF-8"
are supported and installed on your system.
perl: warning: Falling back to the standard locale ("C").
perl: warning: Setting locale failed.
perl: warning: Please check that your locale settings:
LANGUAGE = (unset),
LC_ALL = (unset),
LANG = "en_US.UTF-8"
are supported and installed on your system.
perl: warning: Falling back to the standard locale ("C").

Parameters: -s BestFS -d 10 ./model.pnml ./ReachabilityFireability.xml -x 9

Parameters: -s BestFS -q 0 -d 10 ./model.pnml ./ReachabilityFireability.xml -x 9

Parameters: -s BFS -q 0 -d 10 ./model.pnml ./ReachabilityFireability.xml -x 9

Parameters: -s DFS -q 0 -d 10 ./model.pnml ./ReachabilityFireability.xml -x 9

Search=HEUR,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=DISABLED,Siphon_Trap=DISABLED,LPSolve_Timeout=10
Size of net before structural reductions: 621 places, 4771 transitions
Size of net after structural reductions: 621 places, 4771 transitions
Structural reduction finished after 0.110672 seconds

Net reduction is enabled.
Removed transitions: 0
Removed places: 0
Applications of rule A: 0
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0


Query is satisfied.

STATS:
discovered states: 137
explored states: 137
expanded states: 16
max tokens: 50

parallel: This job succeeded:
/home/mcc/BenchKit/start.sh 14500000 /home/mcc/BenchKit/bin/verifypn-linux64 -s\ BestFS\ -q\ 0\ -d\ 10 ./model.pnml ./ReachabilityFireability.xml -x 9

FORMULA SafeBus-COL-15-ReachabilityFireability-8 TRUE TECHNIQUES COLLATERAL_PROCESSING EXPLICIT STRUCTURAL_REDUCTION STATE_COMPRESSION STUBBORN_SETS
------------------- QUERY 10 ----------------------
Solution found
perl: warning: Setting locale failed.
perl: warning: Please check that your locale settings:
LANGUAGE = (unset),
LC_ALL = (unset),
LANG = "en_US.UTF-8"
are supported and installed on your system.
perl: warning: Falling back to the standard locale ("C").
perl: warning: Setting locale failed.
perl: warning: Please check that your locale settings:
LANGUAGE = (unset),
LC_ALL = (unset),
LANG = "en_US.UTF-8"
are supported and installed on your system.
perl: warning: Falling back to the standard locale ("C").

Parameters: -s BestFS -d 10 ./model.pnml ./ReachabilityFireability.xml -x 10

Parameters: -s BestFS -q 0 -d 10 ./model.pnml ./ReachabilityFireability.xml -x 10

Parameters: -s BFS -q 0 -d 10 ./model.pnml ./ReachabilityFireability.xml -x 10

Parameters: -s DFS -q 0 -d 10 ./model.pnml ./ReachabilityFireability.xml -x 10

Search=HEUR,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=ENABLED,QSTimeout=30,Siphon_Trap=DISABLED,LPSolve_Timeout=10


Search=HEUR,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=DISABLED,Siphon_Trap=DISABLED,LPSolve_Timeout=10
Size of net before structural reductions: 621 places, 4771 transitions
Size of net after structural reductions: 621 places, 4771 transitions
Structural reduction finished after 0.110995 seconds

Net reduction is enabled.
Removed transitions: 0
Removed places: 0
Applications of rule A: 0
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0

Search=DFS,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=DISABLED,Siphon_Trap=DISABLED,LPSolve_Timeout=10
Size of net before structural reductions: 621 places, 4771 transitions
Size of net after structural reductions: 621 places, 4771 transitions
Structural reduction finished after 0.111669 seconds

Net reduction is enabled.
Removed transitions: 0
Removed places: 0
Applications of rule A: 0
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0


Query is satisfied.

STATS:
discovered states: 152
explored states: 152
expanded states: 17
max tokens: 50


Search=BFS,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=DISABLED,Siphon_Trap=DISABLED,LPSolve_Timeout=10
Size of net before structural reductions: 621 places, 4771 transitions
Size of net after structural reductions: 621 places, 4771 transitions
Structural reduction finished after 0.111614 seconds

Net reduction is enabled.
Removed transitions: 0
Removed places: 0
Applications of rule A: 0
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0
parallel: This job succeeded:
/home/mcc/BenchKit/start.sh 14500000 /home/mcc/BenchKit/bin/verifypn-linux64 -s\ DFS\ -q\ 0\ -d\ 10 ./model.pnml ./ReachabilityFireability.xml -x 10

FORMULA SafeBus-COL-15-ReachabilityFireability-9 TRUE TECHNIQUES COLLATERAL_PROCESSING EXPLICIT STRUCTURAL_REDUCTION STATE_COMPRESSION STUBBORN_SETS
------------------- QUERY 11 ----------------------
No solution found
perl: warning: Setting locale failed.
perl: warning: Please check that your locale settings:
LANGUAGE = (unset),
LC_ALL = (unset),
LANG = "en_US.UTF-8"
are supported and installed on your system.
perl: warning: Falling back to the standard locale ("C").
perl: warning: Setting locale failed.
perl: warning: Please check that your locale settings:
LANGUAGE = (unset),
LC_ALL = (unset),
LANG = "en_US.UTF-8"
are supported and installed on your system.
perl: warning: Falling back to the standard locale ("C").

Parameters: -s BestFS -d 10 ./model.pnml ./ReachabilityFireability.xml -x 11

Parameters: -s BFS -q 0 -d 10 ./model.pnml ./ReachabilityFireability.xml -x 11

Parameters: -s BestFS -q 0 -d 10 ./model.pnml ./ReachabilityFireability.xml -x 11

Parameters: -s DFS -q 0 -d 10 ./model.pnml ./ReachabilityFireability.xml -x 11

Search=HEUR,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=ENABLED,QSTimeout=30,Siphon_Trap=DISABLED,LPSolve_Timeout=10


Search=BFS,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=DISABLED,Siphon_Trap=DISABLED,LPSolve_Timeout=10
Size of net before structural reductions: 621 places, 4771 transitions
Size of net after structural reductions: 621 places, 4771 transitions
Structural reduction finished after 0.109529 seconds

Net reduction is enabled.
Removed transitions: 0
Removed places: 0
Applications of rule A: 0
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0

Search=HEUR,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=DISABLED,Siphon_Trap=DISABLED,LPSolve_Timeout=10
Size of net before structural reductions: 621 places, 4771 transitions
Size of net after structural reductions: 621 places, 4771 transitions
Structural reduction finished after 0.107867 seconds

Net reduction is enabled.
Removed transitions: 0
Removed places: 0
Applications of rule A: 0
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0

Search=DFS,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=DISABLED,Siphon_Trap=DISABLED,LPSolve_Timeout=10
Size of net before structural reductions: 621 places, 4771 transitions
Size of net after structural reductions: 621 places, 4771 transitions
Structural reduction finished after 0.111701 seconds

Net reduction is enabled.
Removed transitions: 0
Removed places: 0
Applications of rule A: 0
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0
Query before reduction: AG ((((not ((R_tout >= 1) and (ACK >= 1))) or (((listen_15 >= 1) and (MSG_15 >= 1)) or (((listen_14 >= 1) and (MSG_14 >= 1)) or (((listen_13 >= 1) and (MSG_13 >= 1)) or (((listen_12 >= 1) and (MSG_12 >= 1)) or (((listen_11 >= 1) and (MSG_11 >= 1)) or (((listen_10 >= 1) and (MSG_10 >= 1)) or (((listen_9 >= 1) and (MSG_9 >= 1)) or (((listen_8 >= 1) and (MSG_8 >= 1)) or (((listen_7 >= 1) and (MSG_7 >= 1)) or (((listen_6 >= 1) and (MSG_6 >= 1)) or (((listen_5 >= 1) and (MSG_5 >= 1)) or (((listen_4 >= 1) and (MSG_4 >= 1)) or (((listen_3 >= 1) and (MSG_3 >= 1)) or (((listen_2 >= 1) and (MSG_2 >= 1)) or ((listen_1 >= 1) and (MSG_1 >= 1))))))))))))))))) or ((((R_tout >= 1) and (ACK >= 1)) and (((FMC_15 >= 1) and (S_tout >= 1)) or (((FMC_14 >= 1) and (S_tout >= 1)) or (((FMC_13 >= 1) and (S_tout >= 1)) or (((FMC_12 >= 1) and (S_tout >= 1)) or (((FMC_11 >= 1) and (S_tout >= 1)) or (((FMC_10 >= 1) and (S_tout >= 1)) or (((FMC_9 >= 1) and (S_tout >= 1)) or (((FMC_8 >= 1) and (S_tout >= 1)) or (((FMC_7 >= 1) and (S_tout >= 1)) or (((FMC_6 >= 1) and (S_tout >= 1)) or (((FMC_5 >= 1) and (S_tout >= 1)) or (((FMC_4 >= 1) and (S_tout >= 1)) or (((FMC_3 >= 1) and (S_tout >= 1)) or (((FMC_2 >= 1) and (S_tout >= 1)) or ((FMC_1 >= 1) and (S_tout >= 1))))))))))))))))) or (((wait_cable_15 >= 1) and (RMC_15 >= 1)) or (((wait_cable_14 >= 1) and (RMC_14 >= 1)) or (((wait_cable_13 >= 1) and (RMC_13 >= 1)) or (((wait_cable_12 >= 1) and (RMC_12 >= 1)) or (((wait_cable_11 >= 1) and (RMC_11 >= 1)) or (((wait_cable_10 >= 1) and (RMC_10 >= 1)) or (((wait_cable_9 >= 1) and (RMC_9 >= 1)) or (((wait_cable_8 >= 1) and (RMC_8 >= 1)) or (((wait_cable_7 >= 1) and (RMC_7 >= 1)) or (((wait_cable_6 >= 1) and (RMC_6 >= 1)) or (((wait_cable_5 >= 1) and (RMC_5 >= 1)) or (((wait_cable_4 >= 1) and (RMC_4 >= 1)) or (((wait_cable_3 >= 1) and (RMC_3 >= 1)) or (((wait_cable_2 >= 1) and (RMC_2 >= 1)) or ((wait_cable_1 >= 1) and (RMC_1 >= 1)))))))))))))))))) or ((((FMCb >= 1) and ((wait_ack_14_15 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_13_15 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_12_15 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_11_15 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_10_15 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_9_15 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_8_15 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_7_15 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_6_15 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_5_15 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_4_15 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_3_15 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_2_15 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_1_15 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_15_14 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_13_14 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_12_14 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_11_14 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_10_14 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_9_14 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_8_14 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_7_14 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_6_14 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_5_14 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_4_14 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_3_14 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_2_14 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_1_14 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_15_13 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_14_13 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_12_13 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_11_13 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_10_13 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_9_13 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_8_13 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_7_13 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_6_13 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_5_13 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_4_13 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_3_13 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_2_13 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_1_13 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_15_12 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_14_12 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_13_12 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_11_12 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_10_12 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_9_12 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_8_12 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_7_12 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_6_12 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_5_12 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_4_12 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_3_12 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_2_12 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_1_12 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_15_11 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_14_11 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_13_11 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_12_11 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_10_11 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_9_11 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_8_11 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_7_11 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_6_11 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_5_11 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_4_11 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_3_11 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_2_11 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_1_11 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_15_10 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_14_10 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_13_10 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_12_10 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_11_10 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_9_10 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_8_10 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_7_10 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_6_10 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_5_10 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_4_10 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_3_10 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_2_10 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_1_10 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_15_9 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_14_9 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_13_9 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_12_9 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_11_9 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_10_9 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_8_9 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_7_9 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_6_9 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_5_9 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_4_9 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_3_9 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_2_9 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_1_9 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_15_8 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_14_8 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_13_8 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_12_8 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_11_8 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_10_8 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_9_8 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_7_8 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_6_8 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_5_8 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_4_8 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_3_8 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_2_8 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_1_8 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_15_7 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_14_7 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_13_7 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_12_7 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_11_7 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_10_7 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_9_7 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_8_7 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_6_7 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_5_7 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_4_7 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_3_7 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_2_7 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_1_7 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_15_6 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_14_6 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_13_6 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_12_6 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_11_6 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_10_6 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_9_6 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_8_6 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_7_6 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_5_6 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_4_6 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_3_6 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_2_6 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_1_6 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_15_5 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_14_5 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_13_5 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_12_5 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_11_5 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_10_5 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_9_5 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_8_5 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_7_5 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_6_5 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_4_5 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_3_5 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_2_5 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_1_5 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_15_4 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_14_4 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_13_4 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_12_4 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_11_4 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_10_4 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_9_4 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_8_4 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_7_4 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_6_4 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_5_4 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_3_4 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_2_4 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_1_4 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_15_3 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_14_3 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_13_3 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_12_3 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_11_3 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_10_3 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_9_3 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_8_3 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_7_3 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_6_3 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_5_3 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_4_3 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_2_3 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_1_3 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_15_2 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_14_2 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_13_2 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_12_2 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_11_2 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_10_2 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_9_2 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_8_2 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_7_2 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_6_2 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_5_2 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_4_2 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_3_2 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_1_2 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_15_1 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_14_1 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_13_1 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_12_1 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_11_1 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_10_1 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_9_1 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_8_1 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_7_1 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_6_1 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_5_1 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_4_1 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_3_1 >= 1) and (ACK >= 1))) or ((FMCb >= 1) and ((wait_ack_2_1 >= 1) and (ACK >= 1)))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))) or (not (((R_tout >= 1) and (ACK >= 1)) or (((wait_cable_15 >= 1) and (RMC_15 >= 1)) or (((wait_cable_14 >= 1) and (RMC_14 >= 1)) or (((wait_cable_13 >= 1) and (RMC_13 >= 1)) or (((wait_cable_12 >= 1) and (RMC_12 >= 1)) or (((wait_cable_11 >= 1) and (RMC_11 >= 1)) or (((wait_cable_10 >= 1) and (RMC_10 >= 1)) or (((wait_cable_9 >= 1) and (RMC_9 >= 1)) or (((wait_cable_8 >= 1) and (RMC_8 >= 1)) or (((wait_cable_7 >= 1) and (RMC_7 >= 1)) or (((wait_cable_6 >= 1) and (RMC_6 >= 1)) or (((wait_cable_5 >= 1) and (RMC_5 >= 1)) or (((wait_cable_4 >= 1) and (RMC_4 >= 1)) or (((wait_cable_3 >= 1) and (RMC_3 >= 1)) or (((wait_cable_2 >= 1) and (RMC_2 >= 1)) or ((wait_cable_1 >= 1) and (RMC_1 >= 1))))))))))))))))))))
Query after reduction: AG (((((R_tout < 1) or (ACK < 1)) or (((listen_15 >= 1) and (MSG_15 >= 1)) or (((listen_14 >= 1) and (MSG_14 >= 1)) or (((listen_13 >= 1) and (MSG_13 >= 1)) or (((listen_12 >= 1) and (MSG_12 >= 1)) or (((listen_11 >= 1) and (MSG_11 >= 1)) or (((listen_10 >= 1) and (MSG_10 >= 1)) or (((listen_9 >= 1) and (MSG_9 >= 1)) or (((listen_8 >= 1) and (MSG_8 >= 1)) or (((listen_7 >= 1) and (MSG_7 >= 1)) or (((listen_6 >= 1) and (MSG_6 >= 1)) or (((listen_5 >= 1) and (MSG_5 >= 1)) or (((listen_4 >= 1) and (MSG_4 >= 1)) or (((listen_3 >= 1) and (MSG_3 >= 1)) or (((listen_2 >= 1) and (MSG_2 >= 1)) or ((listen_1 >= 1) and (MSG_1 >= 1))))))))))))))))) or (((wait_cable_15 >= 1) and (RMC_15 >= 1)) or (((wait_cable_14 >= 1) and (RMC_14 >= 1)) or (((wait_cable_13 >= 1) and (RMC_13 >= 1)) or (((wait_cable_12 >= 1) and (RMC_12 >= 1)) or (((wait_cable_11 >= 1) and (RMC_11 >= 1)) or (((wait_cable_10 >= 1) and (RMC_10 >= 1)) or (((wait_cable_9 >= 1) and (RMC_9 >= 1)) or (((wait_cable_8 >= 1) and (RMC_8 >= 1)) or (((wait_cable_7 >= 1) and (RMC_7 >= 1)) or (((wait_cable_6 >= 1) and (RMC_6 >= 1)) or (((wait_cable_5 >= 1) and (RMC_5 >= 1)) or (((wait_cable_4 >= 1) and (RMC_4 >= 1)) or (((wait_cable_3 >= 1) and (RMC_3 >= 1)) or (((wait_cable_2 >= 1) and (RMC_2 >= 1)) or ((wait_cable_1 >= 1) and (RMC_1 >= 1))))))))))))))))) or ((((FMCb >= 1) and ((wait_ack_14_15 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_13_15 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_12_15 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_11_15 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_10_15 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_9_15 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_8_15 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_7_15 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_6_15 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_5_15 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_4_15 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_3_15 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_2_15 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_1_15 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_15_14 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_13_14 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_12_14 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_11_14 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_10_14 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_9_14 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_8_14 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_7_14 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_6_14 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_5_14 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_4_14 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_3_14 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_2_14 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_1_14 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_15_13 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_14_13 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_12_13 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_11_13 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_10_13 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_9_13 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_8_13 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_7_13 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_6_13 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_5_13 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_4_13 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_3_13 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_2_13 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_1_13 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_15_12 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_14_12 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_13_12 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_11_12 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_10_12 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_9_12 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_8_12 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_7_12 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_6_12 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_5_12 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_4_12 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_3_12 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_2_12 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_1_12 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_15_11 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_14_11 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_13_11 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_12_11 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_10_11 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_9_11 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_8_11 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_7_11 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_6_11 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_5_11 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_4_11 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_3_11 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_2_11 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_1_11 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_15_10 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_14_10 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_13_10 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_12_10 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_11_10 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_9_10 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_8_10 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_7_10 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_6_10 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_5_10 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_4_10 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_3_10 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_2_10 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_1_10 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_15_9 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_14_9 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_13_9 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_12_9 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_11_9 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_10_9 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_8_9 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_7_9 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_6_9 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_5_9 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_4_9 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_3_9 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_2_9 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_1_9 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_15_8 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_14_8 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_13_8 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_12_8 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_11_8 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_10_8 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_9_8 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_7_8 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_6_8 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_5_8 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_4_8 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_3_8 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_2_8 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_1_8 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_15_7 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_14_7 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_13_7 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_12_7 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_11_7 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_10_7 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_9_7 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_8_7 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_6_7 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_5_7 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_4_7 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_3_7 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_2_7 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_1_7 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_15_6 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_14_6 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_13_6 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_12_6 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_11_6 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_10_6 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_9_6 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_8_6 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_7_6 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_5_6 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_4_6 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_3_6 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_2_6 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_1_6 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_15_5 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_14_5 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_13_5 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_12_5 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_11_5 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_10_5 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_9_5 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_8_5 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_7_5 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_6_5 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_4_5 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_3_5 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_2_5 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_1_5 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_15_4 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_14_4 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_13_4 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_12_4 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_11_4 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_10_4 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_9_4 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_8_4 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_7_4 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_6_4 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_5_4 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_3_4 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_2_4 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_1_4 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_15_3 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_14_3 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_13_3 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_12_3 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_11_3 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_10_3 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_9_3 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_8_3 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_7_3 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_6_3 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_5_3 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_4_3 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_2_3 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_1_3 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_15_2 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_14_2 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_13_2 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_12_2 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_11_2 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_10_2 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_9_2 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_8_2 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_7_2 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_6_2 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_5_2 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_4_2 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_3_2 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_1_2 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_15_1 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_14_1 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_13_1 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_12_1 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_11_1 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_10_1 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_9_1 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_8_1 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_7_1 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_6_1 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_5_1 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_4_1 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_3_1 >= 1) and (ACK >= 1))) or ((FMCb >= 1) and ((wait_ack_2_1 >= 1) and (ACK >= 1)))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))) or (not (((R_tout >= 1) and (ACK >= 1)) or (((wait_cable_15 >= 1) and (RMC_15 >= 1)) or (((wait_cable_14 >= 1) and (RMC_14 >= 1)) or (((wait_cable_13 >= 1) and (RMC_13 >= 1)) or (((wait_cable_12 >= 1) and (RMC_12 >= 1)) or (((wait_cable_11 >= 1) and (RMC_11 >= 1)) or (((wait_cable_10 >= 1) and (RMC_10 >= 1)) or (((wait_cable_9 >= 1) and (RMC_9 >= 1)) or (((wait_cable_8 >= 1) and (RMC_8 >= 1)) or (((wait_cable_7 >= 1) and (RMC_7 >= 1)) or (((wait_cable_6 >= 1) and (RMC_6 >= 1)) or (((wait_cable_5 >= 1) and (RMC_5 >= 1)) or (((wait_cable_4 >= 1) and (RMC_4 >= 1)) or (((wait_cable_3 >= 1) and (RMC_3 >= 1)) or (((wait_cable_2 >= 1) and (RMC_2 >= 1)) or ((wait_cable_1 >= 1) and (RMC_1 >= 1))))))))))))))))))))
Query size reduced from 3024 to 2896 nodes (4.23 percent reduction).
Query reduction reached timeout.
Size of net before structural reductions: 621 places, 4771 transitions
Size of net after structural reductions: 621 places, 4771 transitions
Structural reduction finished after 0.11139 seconds

Net reduction is enabled.
Removed transitions: 0
Removed places: 0
Applications of rule A: 0
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0


------------------- QUERY 12 ----------------------
Solution found
perl: warning: Setting locale failed.
perl: warning: Please check that your locale settings:
LANGUAGE = (unset),
LC_ALL = (unset),
LANG = "en_US.UTF-8"
are supported and installed on your system.
perl: warning: Falling back to the standard locale ("C").
perl: warning: Setting locale failed.
perl: warning: Please check that your locale settings:
LANGUAGE = (unset),
LC_ALL = (unset),
LANG = "en_US.UTF-8"
are supported and installed on your system.
perl: warning: Falling back to the standard locale ("C").

Parameters: -s BestFS -q 0 -d 10 ./model.pnml ./ReachabilityFireability.xml -x 12

Parameters: -s BFS -q 0 -d 10 ./model.pnml ./ReachabilityFireability.xml -x 12

Parameters: -s BestFS -d 10 ./model.pnml ./ReachabilityFireability.xml -x 12

Parameters: -s DFS -q 0 -d 10 ./model.pnml ./ReachabilityFireability.xml -x 12

Search=HEUR,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=ENABLED,QSTimeout=30,Siphon_Trap=DISABLED,LPSolve_Timeout=10


Search=HEUR,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=DISABLED,Siphon_Trap=DISABLED,LPSolve_Timeout=10
Size of net before structural reductions: 621 places, 4771 transitions
Size of net after structural reductions: 621 places, 4771 transitions
Structural reduction finished after 0.114338 seconds

Net reduction is enabled.
Removed transitions: 0
Removed places: 0
Applications of rule A: 0
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0

Search=BFS,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=DISABLED,Siphon_Trap=DISABLED,LPSolve_Timeout=10
Size of net before structural reductions: 621 places, 4771 transitions
Size of net after structural reductions: 621 places, 4771 transitions
Structural reduction finished after 0.111698 seconds

Net reduction is enabled.
Removed transitions: 0
Removed places: 0
Applications of rule A: 0
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0

Search=DFS,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=DISABLED,Siphon_Trap=DISABLED,LPSolve_Timeout=10
Size of net before structural reductions: 621 places, 4771 transitions
Size of net after structural reductions: 621 places, 4771 transitions
Structural reduction finished after 0.114592 seconds

Net reduction is enabled.
Removed transitions: 0
Removed places: 0
Applications of rule A: 0
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0


Query is satisfied.

STATS:
discovered states: 74
explored states: 74
expanded states: 4
max tokens: 38

parallel: This job succeeded:
/home/mcc/BenchKit/start.sh 14500000 /home/mcc/BenchKit/bin/verifypn-linux64 -s\ BestFS\ -q\ 0\ -d\ 10 ./model.pnml ./ReachabilityFireability.xml -x 12

FORMULA SafeBus-COL-15-ReachabilityFireability-11 TRUE TECHNIQUES COLLATERAL_PROCESSING EXPLICIT STRUCTURAL_REDUCTION STATE_COMPRESSION STUBBORN_SETS
------------------- QUERY 13 ----------------------
Solution found
perl: warning: Setting locale failed.
perl: warning: Please check that your locale settings:
LANGUAGE = (unset),
LC_ALL = (unset),
LANG = "en_US.UTF-8"
are supported and installed on your system.
perl: warning: Falling back to the standard locale ("C").
perl: warning: Setting locale failed.
perl: warning: Please check that your locale settings:
LANGUAGE = (unset),
LC_ALL = (unset),
LANG = "en_US.UTF-8"
are supported and installed on your system.
perl: warning: Falling back to the standard locale ("C").

Parameters: -s BestFS -q 0 -d 10 ./model.pnml ./ReachabilityFireability.xml -x 13

Parameters: -s BFS -q 0 -d 10 ./model.pnml ./ReachabilityFireability.xml -x 13

Parameters: -s BestFS -d 10 ./model.pnml ./ReachabilityFireability.xml -x 13

Parameters: -s DFS -q 0 -d 10 ./model.pnml ./ReachabilityFireability.xml -x 13

Search=HEUR,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=ENABLED,QSTimeout=30,Siphon_Trap=DISABLED,LPSolve_Timeout=10


Search=BFS,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=DISABLED,Siphon_Trap=DISABLED,LPSolve_Timeout=10
Size of net before structural reductions: 621 places, 4771 transitions
Size of net after structural reductions: 621 places, 4771 transitions
Structural reduction finished after 0.11128 seconds

Net reduction is enabled.
Removed transitions: 0
Removed places: 0
Applications of rule A: 0
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0

Search=DFS,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=DISABLED,Siphon_Trap=DISABLED,LPSolve_Timeout=10
Size of net before structural reductions: 621 places, 4771 transitions
Size of net after structural reductions: 621 places, 4771 transitions
Structural reduction finished after 0.113308 seconds

Net reduction is enabled.
Removed transitions: 0
Removed places: 0
Applications of rule A: 0
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0

Search=HEUR,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=DISABLED,Siphon_Trap=DISABLED,LPSolve_Timeout=10
Size of net before structural reductions: 621 places, 4771 transitions
Size of net after structural reductions: 621 places, 4771 transitions
Structural reduction finished after 0.112786 seconds

Net reduction is enabled.
Removed transitions: 0
Removed places: 0
Applications of rule A: 0
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0


Query is NOT satisfied.

STATS:
discovered states: 202
explored states: 202
expanded states: 36
max tokens: 50

parallel: This job succeeded:
/home/mcc/BenchKit/start.sh 14500000 /home/mcc/BenchKit/bin/verifypn-linux64 -s\ DFS\ -q\ 0\ -d\ 10 ./model.pnml ./ReachabilityFireability.xml -x 13

FORMULA SafeBus-COL-15-ReachabilityFireability-12 FALSE TECHNIQUES COLLATERAL_PROCESSING EXPLICIT STRUCTURAL_REDUCTION STATE_COMPRESSION STUBBORN_SETS
------------------- QUERY 14 ----------------------
Solution found
perl: warning: Setting locale failed.
perl: warning: Please check that your locale settings:
LANGUAGE = (unset),
LC_ALL = (unset),
LANG = "en_US.UTF-8"
are supported and installed on your system.
perl: warning: Falling back to the standard locale ("C").
perl: warning: Setting locale failed.
perl: warning: Please check that your locale settings:
LANGUAGE = (unset),
LC_ALL = (unset),
LANG = "en_US.UTF-8"
are supported and installed on your system.
perl: warning: Falling back to the standard locale ("C").

Parameters: -s BestFS -d 10 ./model.pnml ./ReachabilityFireability.xml -x 14

Parameters: -s BFS -q 0 -d 10 ./model.pnml ./ReachabilityFireability.xml -x 14

Parameters: -s BestFS -q 0 -d 10 ./model.pnml ./ReachabilityFireability.xml -x 14

Parameters: -s DFS -q 0 -d 10 ./model.pnml ./ReachabilityFireability.xml -x 14

Search=DFS,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=DISABLED,Siphon_Trap=DISABLED,LPSolve_Timeout=10
Size of net before structural reductions: 621 places, 4771 transitions
Size of net after structural reductions: 621 places, 4771 transitions
Structural reduction finished after 0.112343 seconds

Net reduction is enabled.
Removed transitions: 0
Removed places: 0
Applications of rule A: 0
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0

Search=BFS,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=DISABLED,Siphon_Trap=DISABLED,LPSolve_Timeout=10
Size of net before structural reductions: 621 places, 4771 transitions
Size of net after structural reductions: 621 places, 4771 transitions
Structural reduction finished after 0.109731 seconds

Net reduction is enabled.
Removed transitions: 0
Removed places: 0
Applications of rule A: 0
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0

Search=HEUR,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=DISABLED,Siphon_Trap=DISABLED,LPSolve_Timeout=10
Size of net before structural reductions: 621 places, 4771 transitions
Size of net after structural reductions: 621 places, 4771 transitions
Structural reduction finished after 0.112365 seconds

Net reduction is enabled.
Removed transitions: 0
Removed places: 0
Applications of rule A: 0
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0


Query is satisfied.

STATS:
discovered states: 160
explored states: 160
expanded states: 20
max tokens: 50



Query is satisfied.

STATS:
discovered states: 173
explored states: 173
expanded states: 20
max tokens: 50

parallel: This job succeeded:
/home/mcc/BenchKit/start.sh 14500000 /home/mcc/BenchKit/bin/verifypn-linux64 -s\ BestFS\ -q\ 0\ -d\ 10 ./model.pnml ./ReachabilityFireability.xml -x 14

FORMULA SafeBus-COL-15-ReachabilityFireability-13 TRUE TECHNIQUES COLLATERAL_PROCESSING EXPLICIT STRUCTURAL_REDUCTION STATE_COMPRESSION STUBBORN_SETS
------------------- QUERY 15 ----------------------
No solution found
perl: warning: Setting locale failed.
perl: warning: Please check that your locale settings:
LANGUAGE = (unset),
LC_ALL = (unset),
LANG = "en_US.UTF-8"
are supported and installed on your system.
perl: warning: Falling back to the standard locale ("C").
perl: warning: Setting locale failed.
perl: warning: Please check that your locale settings:
LANGUAGE = (unset),
LC_ALL = (unset),
LANG = "en_US.UTF-8"
are supported and installed on your system.
perl: warning: Falling back to the standard locale ("C").

Parameters: -s BFS -q 0 -d 10 ./model.pnml ./ReachabilityFireability.xml -x 15

Parameters: -s BestFS -d 10 ./model.pnml ./ReachabilityFireability.xml -x 15

Parameters: -s BestFS -q 0 -d 10 ./model.pnml ./ReachabilityFireability.xml -x 15

Parameters: -s DFS -q 0 -d 10 ./model.pnml ./ReachabilityFireability.xml -x 15

Search=HEUR,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=ENABLED,QSTimeout=30,Siphon_Trap=DISABLED,LPSolve_Timeout=10


Search=BFS,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=DISABLED,Siphon_Trap=DISABLED,LPSolve_Timeout=10
Size of net before structural reductions: 621 places, 4771 transitions
Size of net after structural reductions: 621 places, 4771 transitions
Structural reduction finished after 0.111089 seconds

Net reduction is enabled.
Removed transitions: 0
Removed places: 0
Applications of rule A: 0
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0

Search=HEUR,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=DISABLED,Siphon_Trap=DISABLED,LPSolve_Timeout=10
Size of net before structural reductions: 621 places, 4771 transitions
Size of net after structural reductions: 621 places, 4771 transitions
Structural reduction finished after 0.112781 seconds

Net reduction is enabled.
Removed transitions: 0
Removed places: 0
Applications of rule A: 0
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0

Search=DFS,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=DISABLED,Siphon_Trap=DISABLED,LPSolve_Timeout=10
Size of net before structural reductions: 621 places, 4771 transitions
Size of net after structural reductions: 621 places, 4771 transitions
Structural reduction finished after 0.111549 seconds

Net reduction is enabled.
Removed transitions: 0
Removed places: 0
Applications of rule A: 0
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0
Query before reduction: EF (((not (not (((wait_ack_14_15 >= 1) and (T_out >= 1)) or (((wait_ack_13_15 >= 1) and (T_out >= 1)) or (((wait_ack_12_15 >= 1) and (T_out >= 1)) or (((wait_ack_11_15 >= 1) and (T_out >= 1)) or (((wait_ack_10_15 >= 1) and (T_out >= 1)) or (((wait_ack_9_15 >= 1) and (T_out >= 1)) or (((wait_ack_8_15 >= 1) and (T_out >= 1)) or (((wait_ack_7_15 >= 1) and (T_out >= 1)) or (((wait_ack_6_15 >= 1) and (T_out >= 1)) or (((wait_ack_5_15 >= 1) and (T_out >= 1)) or (((wait_ack_4_15 >= 1) and (T_out >= 1)) or (((wait_ack_3_15 >= 1) and (T_out >= 1)) or (((wait_ack_2_15 >= 1) and (T_out >= 1)) or (((wait_ack_1_15 >= 1) and (T_out >= 1)) or (((wait_ack_15_14 >= 1) and (T_out >= 1)) or (((wait_ack_13_14 >= 1) and (T_out >= 1)) or (((wait_ack_12_14 >= 1) and (T_out >= 1)) or (((wait_ack_11_14 >= 1) and (T_out >= 1)) or (((wait_ack_10_14 >= 1) and (T_out >= 1)) or (((wait_ack_9_14 >= 1) and (T_out >= 1)) or (((wait_ack_8_14 >= 1) and (T_out >= 1)) or (((wait_ack_7_14 >= 1) and (T_out >= 1)) or (((wait_ack_6_14 >= 1) and (T_out >= 1)) or (((wait_ack_5_14 >= 1) and (T_out >= 1)) or (((wait_ack_4_14 >= 1) and (T_out >= 1)) or (((wait_ack_3_14 >= 1) and (T_out >= 1)) or (((wait_ack_2_14 >= 1) and (T_out >= 1)) or (((wait_ack_1_14 >= 1) and (T_out >= 1)) or (((wait_ack_15_13 >= 1) and (T_out >= 1)) or (((wait_ack_14_13 >= 1) and (T_out >= 1)) or (((wait_ack_12_13 >= 1) and (T_out >= 1)) or (((wait_ack_11_13 >= 1) and (T_out >= 1)) or (((wait_ack_10_13 >= 1) and (T_out >= 1)) or (((wait_ack_9_13 >= 1) and (T_out >= 1)) or (((wait_ack_8_13 >= 1) and (T_out >= 1)) or (((wait_ack_7_13 >= 1) and (T_out >= 1)) or (((wait_ack_6_13 >= 1) and (T_out >= 1)) or (((wait_ack_5_13 >= 1) and (T_out >= 1)) or (((wait_ack_4_13 >= 1) and (T_out >= 1)) or (((wait_ack_3_13 >= 1) and (T_out >= 1)) or (((wait_ack_2_13 >= 1) and (T_out >= 1)) or (((wait_ack_1_13 >= 1) and (T_out >= 1)) or (((wait_ack_15_12 >= 1) and (T_out >= 1)) or (((wait_ack_14_12 >= 1) and (T_out >= 1)) or (((wait_ack_13_12 >= 1) and (T_out >= 1)) or (((wait_ack_11_12 >= 1) and (T_out >= 1)) or (((wait_ack_10_12 >= 1) and (T_out >= 1)) or (((wait_ack_9_12 >= 1) and (T_out >= 1)) or (((wait_ack_8_12 >= 1) and (T_out >= 1)) or (((wait_ack_7_12 >= 1) and (T_out >= 1)) or (((wait_ack_6_12 >= 1) and (T_out >= 1)) or (((wait_ack_5_12 >= 1) and (T_out >= 1)) or (((wait_ack_4_12 >= 1) and (T_out >= 1)) or (((wait_ack_3_12 >= 1) and (T_out >= 1)) or (((wait_ack_2_12 >= 1) and (T_out >= 1)) or (((wait_ack_1_12 >= 1) and (T_out >= 1)) or (((wait_ack_15_11 >= 1) and (T_out >= 1)) or (((wait_ack_14_11 >= 1) and (T_out >= 1)) or (((wait_ack_13_11 >= 1) and (T_out >= 1)) or (((wait_ack_12_11 >= 1) and (T_out >= 1)) or (((wait_ack_10_11 >= 1) and (T_out >= 1)) or (((wait_ack_9_11 >= 1) and (T_out >= 1)) or (((wait_ack_8_11 >= 1) and (T_out >= 1)) or (((wait_ack_7_11 >= 1) and (T_out >= 1)) or (((wait_ack_6_11 >= 1) and (T_out >= 1)) or (((wait_ack_5_11 >= 1) and (T_out >= 1)) or (((wait_ack_4_11 >= 1) and (T_out >= 1)) or (((wait_ack_3_11 >= 1) and (T_out >= 1)) or (((wait_ack_2_11 >= 1) and (T_out >= 1)) or (((wait_ack_1_11 >= 1) and (T_out >= 1)) or (((wait_ack_15_10 >= 1) and (T_out >= 1)) or (((wait_ack_14_10 >= 1) and (T_out >= 1)) or (((wait_ack_13_10 >= 1) and (T_out >= 1)) or (((wait_ack_12_10 >= 1) and (T_out >= 1)) or (((wait_ack_11_10 >= 1) and (T_out >= 1)) or (((wait_ack_9_10 >= 1) and (T_out >= 1)) or (((wait_ack_8_10 >= 1) and (T_out >= 1)) or (((wait_ack_7_10 >= 1) and (T_out >= 1)) or (((wait_ack_6_10 >= 1) and (T_out >= 1)) or (((wait_ack_5_10 >= 1) and (T_out >= 1)) or (((wait_ack_4_10 >= 1) and (T_out >= 1)) or (((wait_ack_3_10 >= 1) and (T_out >= 1)) or (((wait_ack_2_10 >= 1) and (T_out >= 1)) or (((wait_ack_1_10 >= 1) and (T_out >= 1)) or (((wait_ack_15_9 >= 1) and (T_out >= 1)) or (((wait_ack_14_9 >= 1) and (T_out >= 1)) or (((wait_ack_13_9 >= 1) and (T_out >= 1)) or (((wait_ack_12_9 >= 1) and (T_out >= 1)) or (((wait_ack_11_9 >= 1) and (T_out >= 1)) or (((wait_ack_10_9 >= 1) and (T_out >= 1)) or (((wait_ack_8_9 >= 1) and (T_out >= 1)) or (((wait_ack_7_9 >= 1) and (T_out >= 1)) or (((wait_ack_6_9 >= 1) and (T_out >= 1)) or (((wait_ack_5_9 >= 1) and (T_out >= 1)) or (((wait_ack_4_9 >= 1) and (T_out >= 1)) or (((wait_ack_3_9 >= 1) and (T_out >= 1)) or (((wait_ack_2_9 >= 1) and (T_out >= 1)) or (((wait_ack_1_9 >= 1) and (T_out >= 1)) or (((wait_ack_15_8 >= 1) and (T_out >= 1)) or (((wait_ack_14_8 >= 1) and (T_out >= 1)) or (((wait_ack_13_8 >= 1) and (T_out >= 1)) or (((wait_ack_12_8 >= 1) and (T_out >= 1)) or (((wait_ack_11_8 >= 1) and (T_out >= 1)) or (((wait_ack_10_8 >= 1) and (T_out >= 1)) or (((wait_ack_9_8 >= 1) and (T_out >= 1)) or (((wait_ack_7_8 >= 1) and (T_out >= 1)) or (((wait_ack_6_8 >= 1) and (T_out >= 1)) or (((wait_ack_5_8 >= 1) and (T_out >= 1)) or (((wait_ack_4_8 >= 1) and (T_out >= 1)) or (((wait_ack_3_8 >= 1) and (T_out >= 1)) or (((wait_ack_2_8 >= 1) and (T_out >= 1)) or (((wait_ack_1_8 >= 1) and (T_out >= 1)) or (((wait_ack_15_7 >= 1) and (T_out >= 1)) or (((wait_ack_14_7 >= 1) and (T_out >= 1)) or (((wait_ack_13_7 >= 1) and (T_out >= 1)) or (((wait_ack_12_7 >= 1) and (T_out >= 1)) or (((wait_ack_11_7 >= 1) and (T_out >= 1)) or (((wait_ack_10_7 >= 1) and (T_out >= 1)) or (((wait_ack_9_7 >= 1) and (T_out >= 1)) or (((wait_ack_8_7 >= 1) and (T_out >= 1)) or (((wait_ack_6_7 >= 1) and (T_out >= 1)) or (((wait_ack_5_7 >= 1) and (T_out >= 1)) or (((wait_ack_4_7 >= 1) and (T_out >= 1)) or (((wait_ack_3_7 >= 1) and (T_out >= 1)) or (((wait_ack_2_7 >= 1) and (T_out >= 1)) or (((wait_ack_1_7 >= 1) and (T_out >= 1)) or (((wait_ack_15_6 >= 1) and (T_out >= 1)) or (((wait_ack_14_6 >= 1) and (T_out >= 1)) or (((wait_ack_13_6 >= 1) and (T_out >= 1)) or (((wait_ack_12_6 >= 1) and (T_out >= 1)) or (((wait_ack_11_6 >= 1) and (T_out >= 1)) or (((wait_ack_10_6 >= 1) and (T_out >= 1)) or (((wait_ack_9_6 >= 1) and (T_out >= 1)) or (((wait_ack_8_6 >= 1) and (T_out >= 1)) or (((wait_ack_7_6 >= 1) and (T_out >= 1)) or (((wait_ack_5_6 >= 1) and (T_out >= 1)) or (((wait_ack_4_6 >= 1) and (T_out >= 1)) or (((wait_ack_3_6 >= 1) and (T_out >= 1)) or (((wait_ack_2_6 >= 1) and (T_out >= 1)) or (((wait_ack_1_6 >= 1) and (T_out >= 1)) or (((wait_ack_15_5 >= 1) and (T_out >= 1)) or (((wait_ack_14_5 >= 1) and (T_out >= 1)) or (((wait_ack_13_5 >= 1) and (T_out >= 1)) or (((wait_ack_12_5 >= 1) and (T_out >= 1)) or (((wait_ack_11_5 >= 1) and (T_out >= 1)) or (((wait_ack_10_5 >= 1) and (T_out >= 1)) or (((wait_ack_9_5 >= 1) and (T_out >= 1)) or (((wait_ack_8_5 >= 1) and (T_out >= 1)) or (((wait_ack_7_5 >= 1) and (T_out >= 1)) or (((wait_ack_6_5 >= 1) and (T_out >= 1)) or (((wait_ack_4_5 >= 1) and (T_out >= 1)) or (((wait_ack_3_5 >= 1) and (T_out >= 1)) or (((wait_ack_2_5 >= 1) and (T_out >= 1)) or (((wait_ack_1_5 >= 1) and (T_out >= 1)) or (((wait_ack_15_4 >= 1) and (T_out >= 1)) or (((wait_ack_14_4 >= 1) and (T_out >= 1)) or (((wait_ack_13_4 >= 1) and (T_out >= 1)) or (((wait_ack_12_4 >= 1) and (T_out >= 1)) or (((wait_ack_11_4 >= 1) and (T_out >= 1)) or (((wait_ack_10_4 >= 1) and (T_out >= 1)) or (((wait_ack_9_4 >= 1) and (T_out >= 1)) or (((wait_ack_8_4 >= 1) and (T_out >= 1)) or (((wait_ack_7_4 >= 1) and (T_out >= 1)) or (((wait_ack_6_4 >= 1) and (T_out >= 1)) or (((wait_ack_5_4 >= 1) and (T_out >= 1)) or (((wait_ack_3_4 >= 1) and (T_out >= 1)) or (((wait_ack_2_4 >= 1) and (T_out >= 1)) or (((wait_ack_1_4 >= 1) and (T_out >= 1)) or (((wait_ack_15_3 >= 1) and (T_out >= 1)) or (((wait_ack_14_3 >= 1) and (T_out >= 1)) or (((wait_ack_13_3 >= 1) and (T_out >= 1)) or (((wait_ack_12_3 >= 1) and (T_out >= 1)) or (((wait_ack_11_3 >= 1) and (T_out >= 1)) or (((wait_ack_10_3 >= 1) and (T_out >= 1)) or (((wait_ack_9_3 >= 1) and (T_out >= 1)) or (((wait_ack_8_3 >= 1) and (T_out >= 1)) or (((wait_ack_7_3 >= 1) and (T_out >= 1)) or (((wait_ack_6_3 >= 1) and (T_out >= 1)) or (((wait_ack_5_3 >= 1) and (T_out >= 1)) or (((wait_ack_4_3 >= 1) and (T_out >= 1)) or (((wait_ack_2_3 >= 1) and (T_out >= 1)) or (((wait_ack_1_3 >= 1) and (T_out >= 1)) or (((wait_ack_15_2 >= 1) and (T_out >= 1)) or (((wait_ack_14_2 >= 1) and (T_out >= 1)) or (((wait_ack_13_2 >= 1) and (T_out >= 1)) or (((wait_ack_12_2 >= 1) and (T_out >= 1)) or (((wait_ack_11_2 >= 1) and (T_out >= 1)) or (((wait_ack_10_2 >= 1) and (T_out >= 1)) or (((wait_ack_9_2 >= 1) and (T_out >= 1)) or (((wait_ack_8_2 >= 1) and (T_out >= 1)) or (((wait_ack_7_2 >= 1) and (T_out >= 1)) or (((wait_ack_6_2 >= 1) and (T_out >= 1)) or (((wait_ack_5_2 >= 1) and (T_out >= 1)) or (((wait_ack_4_2 >= 1) and (T_out >= 1)) or (((wait_ack_3_2 >= 1) and (T_out >= 1)) or (((wait_ack_1_2 >= 1) and (T_out >= 1)) or (((wait_ack_15_1 >= 1) and (T_out >= 1)) or (((wait_ack_14_1 >= 1) and (T_out >= 1)) or (((wait_ack_13_1 >= 1) and (T_out >= 1)) or (((wait_ack_12_1 >= 1) and (T_out >= 1)) or (((wait_ack_11_1 >= 1) and (T_out >= 1)) or (((wait_ack_10_1 >= 1) and (T_out >= 1)) or (((wait_ack_9_1 >= 1) and (T_out >= 1)) or (((wait_ack_8_1 >= 1) and (T_out >= 1)) or (((wait_ack_7_1 >= 1) and (T_out >= 1)) or (((wait_ack_6_1 >= 1) and (T_out >= 1)) or (((wait_ack_5_1 >= 1) and (T_out >= 1)) or (((wait_ack_4_1 >= 1) and (T_out >= 1)) or (((wait_ack_3_1 >= 1) and (T_out >= 1)) or ((wait_ack_2_1 >= 1) and (T_out >= 1))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))) or (((((wait_msg_15 >= 1) and (MSG_15 >= 1)) or (((wait_msg_14 >= 1) and (MSG_14 >= 1)) or (((wait_msg_13 >= 1) and (MSG_13 >= 1)) or (((wait_msg_12 >= 1) and (MSG_12 >= 1)) or (((wait_msg_11 >= 1) and (MSG_11 >= 1)) or (((wait_msg_10 >= 1) and (MSG_10 >= 1)) or (((wait_msg_9 >= 1) and (MSG_9 >= 1)) or (((wait_msg_8 >= 1) and (MSG_8 >= 1)) or (((wait_msg_7 >= 1) and (MSG_7 >= 1)) or (((wait_msg_6 >= 1) and (MSG_6 >= 1)) or (((wait_msg_5 >= 1) and (MSG_5 >= 1)) or (((wait_msg_4 >= 1) and (MSG_4 >= 1)) or (((wait_msg_3 >= 1) and (MSG_3 >= 1)) or (((wait_msg_2 >= 1) and (MSG_2 >= 1)) or ((wait_msg_1 >= 1) and (MSG_1 >= 1)))))))))))))))) or (((Cpt1_15 >= 1) and (listen_15 >= 1)) or (((Cpt1_15 >= 1) and (listen_14 >= 1)) or (((Cpt1_15 >= 1) and (listen_13 >= 1)) or (((Cpt1_15 >= 1) and (listen_12 >= 1)) or (((Cpt1_15 >= 1) and (listen_11 >= 1)) or (((Cpt1_15 >= 1) and (listen_10 >= 1)) or (((Cpt1_15 >= 1) and (listen_9 >= 1)) or (((Cpt1_15 >= 1) and (listen_8 >= 1)) or (((Cpt1_15 >= 1) and (listen_7 >= 1)) or (((Cpt1_15 >= 1) and (listen_6 >= 1)) or (((Cpt1_15 >= 1) and (listen_5 >= 1)) or (((Cpt1_15 >= 1) and (listen_4 >= 1)) or (((Cpt1_15 >= 1) and (listen_3 >= 1)) or (((Cpt1_15 >= 1) and (listen_2 >= 1)) or (((Cpt1_15 >= 1) and (listen_1 >= 1)) or (((Cpt1_14 >= 1) and (listen_15 >= 1)) or (((Cpt1_14 >= 1) and (listen_14 >= 1)) or (((Cpt1_14 >= 1) and (listen_13 >= 1)) or (((Cpt1_14 >= 1) and (listen_12 >= 1)) or (((Cpt1_14 >= 1) and (listen_11 >= 1)) or (((Cpt1_14 >= 1) and (listen_10 >= 1)) or (((Cpt1_14 >= 1) and (listen_9 >= 1)) or (((Cpt1_14 >= 1) and (listen_8 >= 1)) or (((Cpt1_14 >= 1) and (listen_7 >= 1)) or (((Cpt1_14 >= 1) and (listen_6 >= 1)) or (((Cpt1_14 >= 1) and (listen_5 >= 1)) or (((Cpt1_14 >= 1) and (listen_4 >= 1)) or (((Cpt1_14 >= 1) and (listen_3 >= 1)) or (((Cpt1_14 >= 1) and (listen_2 >= 1)) or (((Cpt1_14 >= 1) and (listen_1 >= 1)) or (((Cpt1_13 >= 1) and (listen_15 >= 1)) or (((Cpt1_13 >= 1) and (listen_14 >= 1)) or (((Cpt1_13 >= 1) and (listen_13 >= 1)) or (((Cpt1_13 >= 1) and (listen_12 >= 1)) or (((Cpt1_13 >= 1) and (listen_11 >= 1)) or (((Cpt1_13 >= 1) and (listen_10 >= 1)) or (((Cpt1_13 >= 1) and (listen_9 >= 1)) or (((Cpt1_13 >= 1) and (listen_8 >= 1)) or (((Cpt1_13 >= 1) and (listen_7 >= 1)) or (((Cpt1_13 >= 1) and (listen_6 >= 1)) or (((Cpt1_13 >= 1) and (listen_5 >= 1)) or (((Cpt1_13 >= 1) and (listen_4 >= 1)) or (((Cpt1_13 >= 1) and (listen_3 >= 1)) or (((Cpt1_13 >= 1) and (listen_2 >= 1)) or (((Cpt1_13 >= 1) and (listen_1 >= 1)) or (((Cpt1_12 >= 1) and (listen_15 >= 1)) or (((Cpt1_12 >= 1) and (listen_14 >= 1)) or (((Cpt1_12 >= 1) and (listen_13 >= 1)) or (((Cpt1_12 >= 1) and (listen_12 >= 1)) or (((Cpt1_12 >= 1) and (listen_11 >= 1)) or (((Cpt1_12 >= 1) and (listen_10 >= 1)) or (((Cpt1_12 >= 1) and (listen_9 >= 1)) or (((Cpt1_12 >= 1) and (listen_8 >= 1)) or (((Cpt1_12 >= 1) and (listen_7 >= 1)) or (((Cpt1_12 >= 1) and (listen_6 >= 1)) or (((Cpt1_12 >= 1) and (listen_5 >= 1)) or (((Cpt1_12 >= 1) and (listen_4 >= 1)) or (((Cpt1_12 >= 1) and (listen_3 >= 1)) or (((Cpt1_12 >= 1) and (listen_2 >= 1)) or (((Cpt1_12 >= 1) and (listen_1 >= 1)) or (((Cpt1_11 >= 1) and (listen_15 >= 1)) or (((Cpt1_11 >= 1) and (listen_14 >= 1)) or (((Cpt1_11 >= 1) and (listen_13 >= 1)) or (((Cpt1_11 >= 1) and (listen_12 >= 1)) or (((Cpt1_11 >= 1) and (listen_11 >= 1)) or (((Cpt1_11 >= 1) and (listen_10 >= 1)) or (((Cpt1_11 >= 1) and (listen_9 >= 1)) or (((Cpt1_11 >= 1) and (listen_8 >= 1)) or (((Cpt1_11 >= 1) and (listen_7 >= 1)) or (((Cpt1_11 >= 1) and (listen_6 >= 1)) or (((Cpt1_11 >= 1) and (listen_5 >= 1)) or (((Cpt1_11 >= 1) and (listen_4 >= 1)) or (((Cpt1_11 >= 1) and (listen_3 >= 1)) or (((Cpt1_11 >= 1) and (listen_2 >= 1)) or (((Cpt1_11 >= 1) and (listen_1 >= 1)) or (((Cpt1_10 >= 1) and (listen_15 >= 1)) or (((Cpt1_10 >= 1) and (listen_14 >= 1)) or (((Cpt1_10 >= 1) and (listen_13 >= 1)) or (((Cpt1_10 >= 1) and (listen_12 >= 1)) or (((Cpt1_10 >= 1) and (listen_11 >= 1)) or (((Cpt1_10 >= 1) and (listen_10 >= 1)) or (((Cpt1_10 >= 1) and (listen_9 >= 1)) or (((Cpt1_10 >= 1) and (listen_8 >= 1)) or (((Cpt1_10 >= 1) and (listen_7 >= 1)) or (((Cpt1_10 >= 1) and (listen_6 >= 1)) or (((Cpt1_10 >= 1) and (listen_5 >= 1)) or (((Cpt1_10 >= 1) and (listen_4 >= 1)) or (((Cpt1_10 >= 1) and (listen_3 >= 1)) or (((Cpt1_10 >= 1) and (listen_2 >= 1)) or (((Cpt1_10 >= 1) and (listen_1 >= 1)) or (((Cpt1_9 >= 1) and (listen_15 >= 1)) or (((Cpt1_9 >= 1) and (listen_14 >= 1)) or (((Cpt1_9 >= 1) and (listen_13 >= 1)) or (((Cpt1_9 >= 1) and (listen_12 >= 1)) or (((Cpt1_9 >= 1) and (listen_11 >= 1)) or (((Cpt1_9 >= 1) and (listen_10 >= 1)) or (((Cpt1_9 >= 1) and (listen_9 >= 1)) or (((Cpt1_9 >= 1) and (listen_8 >= 1)) or (((Cpt1_9 >= 1) and (listen_7 >= 1)) or (((Cpt1_9 >= 1) and (listen_6 >= 1)) or (((Cpt1_9 >= 1) and (listen_5 >= 1)) or (((Cpt1_9 >= 1) and (listen_4 >= 1)) or (((Cpt1_9 >= 1) and (listen_3 >= 1)) or (((Cpt1_9 >= 1) and (listen_2 >= 1)) or (((Cpt1_9 >= 1) and (listen_1 >= 1)) or (((Cpt1_8 >= 1) and (listen_15 >= 1)) or (((Cpt1_8 >= 1) and (listen_14 >= 1)) or (((Cpt1_8 >= 1) and (listen_13 >= 1)) or (((Cpt1_8 >= 1) and (listen_12 >= 1)) or (((Cpt1_8 >= 1) and (listen_11 >= 1)) or (((Cpt1_8 >= 1) and (listen_10 >= 1)) or (((Cpt1_8 >= 1) and (listen_9 >= 1)) or (((Cpt1_8 >= 1) and (listen_8 >= 1)) or (((Cpt1_8 >= 1) and (listen_7 >= 1)) or (((Cpt1_8 >= 1) and (listen_6 >= 1)) or (((Cpt1_8 >= 1) and (listen_5 >= 1)) or (((Cpt1_8 >= 1) and (listen_4 >= 1)) or (((Cpt1_8 >= 1) and (listen_3 >= 1)) or (((Cpt1_8 >= 1) and (listen_2 >= 1)) or (((Cpt1_8 >= 1) and (listen_1 >= 1)) or (((Cpt1_7 >= 1) and (listen_15 >= 1)) or (((Cpt1_7 >= 1) and (listen_14 >= 1)) or (((Cpt1_7 >= 1) and (listen_13 >= 1)) or (((Cpt1_7 >= 1) and (listen_12 >= 1)) or (((Cpt1_7 >= 1) and (listen_11 >= 1)) or (((Cpt1_7 >= 1) and (listen_10 >= 1)) or (((Cpt1_7 >= 1) and (listen_9 >= 1)) or (((Cpt1_7 >= 1) and (listen_8 >= 1)) or (((Cpt1_7 >= 1) and (listen_7 >= 1)) or (((Cpt1_7 >= 1) and (listen_6 >= 1)) or (((Cpt1_7 >= 1) and (listen_5 >= 1)) or (((Cpt1_7 >= 1) and (listen_4 >= 1)) or (((Cpt1_7 >= 1) and (listen_3 >= 1)) or (((Cpt1_7 >= 1) and (listen_2 >= 1)) or (((Cpt1_7 >= 1) and (listen_1 >= 1)) or (((Cpt1_6 >= 1) and (listen_15 >= 1)) or (((Cpt1_6 >= 1) and (listen_14 >= 1)) or (((Cpt1_6 >= 1) and (listen_13 >= 1)) or (((Cpt1_6 >= 1) and (listen_12 >= 1)) or (((Cpt1_6 >= 1) and (listen_11 >= 1)) or (((Cpt1_6 >= 1) and (listen_10 >= 1)) or (((Cpt1_6 >= 1) and (listen_9 >= 1)) or (((Cpt1_6 >= 1) and (listen_8 >= 1)) or (((Cpt1_6 >= 1) and (listen_7 >= 1)) or (((Cpt1_6 >= 1) and (listen_6 >= 1)) or (((Cpt1_6 >= 1) and (listen_5 >= 1)) or (((Cpt1_6 >= 1) and (listen_4 >= 1)) or (((Cpt1_6 >= 1) and (listen_3 >= 1)) or (((Cpt1_6 >= 1) and (listen_2 >= 1)) or (((Cpt1_6 >= 1) and (listen_1 >= 1)) or (((Cpt1_5 >= 1) and (listen_15 >= 1)) or (((Cpt1_5 >= 1) and (listen_14 >= 1)) or (((Cpt1_5 >= 1) and (listen_13 >= 1)) or (((Cpt1_5 >= 1) and (listen_12 >= 1)) or (((Cpt1_5 >= 1) and (listen_11 >= 1)) or (((Cpt1_5 >= 1) and (listen_10 >= 1)) or (((Cpt1_5 >= 1) and (listen_9 >= 1)) or (((Cpt1_5 >= 1) and (listen_8 >= 1)) or (((Cpt1_5 >= 1) and (listen_7 >= 1)) or (((Cpt1_5 >= 1) and (listen_6 >= 1)) or (((Cpt1_5 >= 1) and (listen_5 >= 1)) or (((Cpt1_5 >= 1) and (listen_4 >= 1)) or (((Cpt1_5 >= 1) and (listen_3 >= 1)) or (((Cpt1_5 >= 1) and (listen_2 >= 1)) or (((Cpt1_5 >= 1) and (listen_1 >= 1)) or (((Cpt1_4 >= 1) and (listen_15 >= 1)) or (((Cpt1_4 >= 1) and (listen_14 >= 1)) or (((Cpt1_4 >= 1) and (listen_13 >= 1)) or (((Cpt1_4 >= 1) and (listen_12 >= 1)) or (((Cpt1_4 >= 1) and (listen_11 >= 1)) or (((Cpt1_4 >= 1) and (listen_10 >= 1)) or (((Cpt1_4 >= 1) and (listen_9 >= 1)) or (((Cpt1_4 >= 1) and (listen_8 >= 1)) or (((Cpt1_4 >= 1) and (listen_7 >= 1)) or (((Cpt1_4 >= 1) and (listen_6 >= 1)) or (((Cpt1_4 >= 1) and (listen_5 >= 1)) or (((Cpt1_4 >= 1) and (listen_4 >= 1)) or (((Cpt1_4 >= 1) and (listen_3 >= 1)) or (((Cpt1_4 >= 1) and (listen_2 >= 1)) or (((Cpt1_4 >= 1) and (listen_1 >= 1)) or (((Cpt1_3 >= 1) and (listen_15 >= 1)) or (((Cpt1_3 >= 1) and (listen_14 >= 1)) or (((Cpt1_3 >= 1) and (listen_13 >= 1)) or (((Cpt1_3 >= 1) and (listen_12 >= 1)) or (((Cpt1_3 >= 1) and (listen_11 >= 1)) or (((Cpt1_3 >= 1) and (listen_10 >= 1)) or (((Cpt1_3 >= 1) and (listen_9 >= 1)) or (((Cpt1_3 >= 1) and (listen_8 >= 1)) or (((Cpt1_3 >= 1) and (listen_7 >= 1)) or (((Cpt1_3 >= 1) and (listen_6 >= 1)) or (((Cpt1_3 >= 1) and (listen_5 >= 1)) or (((Cpt1_3 >= 1) and (listen_4 >= 1)) or (((Cpt1_3 >= 1) and (listen_3 >= 1)) or (((Cpt1_3 >= 1) and (listen_2 >= 1)) or (((Cpt1_3 >= 1) and (listen_1 >= 1)) or (((Cpt1_2 >= 1) and (listen_15 >= 1)) or (((Cpt1_2 >= 1) and (listen_14 >= 1)) or (((Cpt1_2 >= 1) and (listen_13 >= 1)) or (((Cpt1_2 >= 1) and (listen_12 >= 1)) or (((Cpt1_2 >= 1) and (listen_11 >= 1)) or (((Cpt1_2 >= 1) and (listen_10 >= 1)) or (((Cpt1_2 >= 1) and (listen_9 >= 1)) or (((Cpt1_2 >= 1) and (listen_8 >= 1)) or (((Cpt1_2 >= 1) and (listen_7 >= 1)) or (((Cpt1_2 >= 1) and (listen_6 >= 1)) or (((Cpt1_2 >= 1) and (listen_5 >= 1)) or (((Cpt1_2 >= 1) and (listen_4 >= 1)) or (((Cpt1_2 >= 1) and (listen_3 >= 1)) or (((Cpt1_2 >= 1) and (listen_2 >= 1)) or (((Cpt1_2 >= 1) and (listen_1 >= 1)) or (((Cpt1_1 >= 1) and (listen_15 >= 1)) or (((Cpt1_1 >= 1) and (listen_14 >= 1)) or (((Cpt1_1 >= 1) and (listen_13 >= 1)) or (((Cpt1_1 >= 1) and (listen_12 >= 1)) or (((Cpt1_1 >= 1) and (listen_11 >= 1)) or (((Cpt1_1 >= 1) and (listen_10 >= 1)) or (((Cpt1_1 >= 1) and (listen_9 >= 1)) or (((Cpt1_1 >= 1) and (listen_8 >= 1)) or (((Cpt1_1 >= 1) and (listen_7 >= 1)) or (((Cpt1_1 >= 1) and (listen_6 >= 1)) or (((Cpt1_1 >= 1) and (listen_5 >= 1)) or (((Cpt1_1 >= 1) and (listen_4 >= 1)) or (((Cpt1_1 >= 1) and (listen_3 >= 1)) or (((Cpt1_1 >= 1) and (listen_2 >= 1)) or ((Cpt1_1 >= 1) and (listen_1 >= 1))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))) and ((((wait_cable_14 >= 1) and ((msgl_15 >= 1) and (PMC_14 >= 1))) or (((wait_cable_13 >= 1) and ((msgl_15 >= 1) and (PMC_13 >= 1))) or (((wait_cable_12 >= 1) and ((msgl_15 >= 1) and (PMC_12 >= 1))) or (((wait_cable_11 >= 1) and ((msgl_15 >= 1) and (PMC_11 >= 1))) or (((wait_cable_10 >= 1) and ((msgl_15 >= 1) and (PMC_10 >= 1))) or (((wait_cable_9 >= 1) and ((msgl_15 >= 1) and (PMC_9 >= 1))) or (((wait_cable_8 >= 1) and ((msgl_15 >= 1) and (PMC_8 >= 1))) or (((wait_cable_7 >= 1) and ((msgl_15 >= 1) and (PMC_7 >= 1))) or (((wait_cable_6 >= 1) and ((msgl_15 >= 1) and (PMC_6 >= 1))) or (((wait_cable_5 >= 1) and ((msgl_15 >= 1) and (PMC_5 >= 1))) or (((wait_cable_4 >= 1) and ((msgl_15 >= 1) and (PMC_4 >= 1))) or (((wait_cable_3 >= 1) and ((msgl_15 >= 1) and (PMC_3 >= 1))) or (((wait_cable_2 >= 1) and ((msgl_15 >= 1) and (PMC_2 >= 1))) or (((wait_cable_1 >= 1) and ((msgl_15 >= 1) and (PMC_1 >= 1))) or (((wait_cable_15 >= 1) and ((msgl_14 >= 1) and (PMC_15 >= 1))) or (((wait_cable_13 >= 1) and ((msgl_14 >= 1) and (PMC_13 >= 1))) or (((wait_cable_12 >= 1) and ((msgl_14 >= 1) and (PMC_12 >= 1))) or (((wait_cable_11 >= 1) and ((msgl_14 >= 1) and (PMC_11 >= 1))) or (((wait_cable_10 >= 1) and ((msgl_14 >= 1) and (PMC_10 >= 1))) or (((wait_cable_9 >= 1) and ((msgl_14 >= 1) and (PMC_9 >= 1))) or (((wait_cable_8 >= 1) and ((msgl_14 >= 1) and (PMC_8 >= 1))) or (((wait_cable_7 >= 1) and ((msgl_14 >= 1) and (PMC_7 >= 1))) or (((wait_cable_6 >= 1) and ((msgl_14 >= 1) and (PMC_6 >= 1))) or (((wait_cable_5 >= 1) and ((msgl_14 >= 1) and (PMC_5 >= 1))) or (((wait_cable_4 >= 1) and ((msgl_14 >= 1) and (PMC_4 >= 1))) or (((wait_cable_3 >= 1) and ((msgl_14 >= 1) and (PMC_3 >= 1))) or (((wait_cable_2 >= 1) and ((msgl_14 >= 1) and (PMC_2 >= 1))) or (((wait_cable_1 >= 1) and ((msgl_14 >= 1) and (PMC_1 >= 1))) or (((wait_cable_15 >= 1) and ((msgl_13 >= 1) and (PMC_15 >= 1))) or (((wait_cable_14 >= 1) and ((msgl_13 >= 1) and (PMC_14 >= 1))) or (((wait_cable_12 >= 1) and ((msgl_13 >= 1) and (PMC_12 >= 1))) or (((wait_cable_11 >= 1) and ((msgl_13 >= 1) and (PMC_11 >= 1))) or (((wait_cable_10 >= 1) and ((msgl_13 >= 1) and (PMC_10 >= 1))) or (((wait_cable_9 >= 1) and ((msgl_13 >= 1) and (PMC_9 >= 1))) or (((wait_cable_8 >= 1) and ((msgl_13 >= 1) and (PMC_8 >= 1))) or (((wait_cable_7 >= 1) and ((msgl_13 >= 1) and (PMC_7 >= 1))) or (((wait_cable_6 >= 1) and ((msgl_13 >= 1) and (PMC_6 >= 1))) or (((wait_cable_5 >= 1) and ((msgl_13 >= 1) and (PMC_5 >= 1))) or (((wait_cable_4 >= 1) and ((msgl_13 >= 1) and (PMC_4 >= 1))) or (((wait_cable_3 >= 1) and ((msgl_13 >= 1) and (PMC_3 >= 1))) or (((wait_cable_2 >= 1) and ((msgl_13 >= 1) and (PMC_2 >= 1))) or (((wait_cable_1 >= 1) and ((msgl_13 >= 1) and (PMC_1 >= 1))) or (((wait_cable_15 >= 1) and ((msgl_12 >= 1) and (PMC_15 >= 1))) or (((wait_cable_14 >= 1) and ((msgl_12 >= 1) and (PMC_14 >= 1))) or (((wait_cable_13 >= 1) and ((msgl_12 >= 1) and (PMC_13 >= 1))) or (((wait_cable_11 >= 1) and ((msgl_12 >= 1) and (PMC_11 >= 1))) or (((wait_cable_10 >= 1) and ((msgl_12 >= 1) and (PMC_10 >= 1))) or (((wait_cable_9 >= 1) and ((msgl_12 >= 1) and (PMC_9 >= 1))) or (((wait_cable_8 >= 1) and ((msgl_12 >= 1) and (PMC_8 >= 1))) or (((wait_cable_7 >= 1) and ((msgl_12 >= 1) and (PMC_7 >= 1))) or (((wait_cable_6 >= 1) and ((msgl_12 >= 1) and (PMC_6 >= 1))) or (((wait_cable_5 >= 1) and ((msgl_12 >= 1) and (PMC_5 >= 1))) or (((wait_cable_4 >= 1) and ((msgl_12 >= 1) and (PMC_4 >= 1))) or (((wait_cable_3 >= 1) and ((msgl_12 >= 1) and (PMC_3 >= 1))) or (((wait_cable_2 >= 1) and ((msgl_12 >= 1) and (PMC_2 >= 1))) or (((wait_cable_1 >= 1) and ((msgl_12 >= 1) and (PMC_1 >= 1))) or (((wait_cable_15 >= 1) and ((msgl_11 >= 1) and (PMC_15 >= 1))) or (((wait_cable_14 >= 1) and ((msgl_11 >= 1) and (PMC_14 >= 1))) or (((wait_cable_13 >= 1) and ((msgl_11 >= 1) and (PMC_13 >= 1))) or (((wait_cable_12 >= 1) and ((msgl_11 >= 1) and (PMC_12 >= 1))) or (((wait_cable_10 >= 1) and ((msgl_11 >= 1) and (PMC_10 >= 1))) or (((wait_cable_9 >= 1) and ((msgl_11 >= 1) and (PMC_9 >= 1))) or (((wait_cable_8 >= 1) and ((msgl_11 >= 1) and (PMC_8 >= 1))) or (((wait_cable_7 >= 1) and ((msgl_11 >= 1) and (PMC_7 >= 1))) or (((wait_cable_6 >= 1) and ((msgl_11 >= 1) and (PMC_6 >= 1))) or (((wait_cable_5 >= 1) and ((msgl_11 >= 1) and (PMC_5 >= 1))) or (((wait_cable_4 >= 1) and ((msgl_11 >= 1) and (PMC_4 >= 1))) or (((wait_cable_3 >= 1) and ((msgl_11 >= 1) and (PMC_3 >= 1))) or (((wait_cable_2 >= 1) and ((msgl_11 >= 1) and (PMC_2 >= 1))) or (((wait_cable_1 >= 1) and ((msgl_11 >= 1) and (PMC_1 >= 1))) or (((wait_cable_15 >= 1) and ((msgl_10 >= 1) and (PMC_15 >= 1))) or (((wait_cable_14 >= 1) and ((msgl_10 >= 1) and (PMC_14 >= 1))) or (((wait_cable_13 >= 1) and ((msgl_10 >= 1) and (PMC_13 >= 1))) or (((wait_cable_12 >= 1) and ((msgl_10 >= 1) and (PMC_12 >= 1))) or (((wait_cable_11 >= 1) and ((msgl_10 >= 1) and (PMC_11 >= 1))) or (((wait_cable_9 >= 1) and ((msgl_10 >= 1) and (PMC_9 >= 1))) or (((wait_cable_8 >= 1) and ((msgl_10 >= 1) and (PMC_8 >= 1))) or (((wait_cable_7 >= 1) and ((msgl_10 >= 1) and (PMC_7 >= 1))) or (((wait_cable_6 >= 1) and ((msgl_10 >= 1) and (PMC_6 >= 1))) or (((wait_cable_5 >= 1) and ((msgl_10 >= 1) and (PMC_5 >= 1))) or (((wait_cable_4 >= 1) and ((msgl_10 >= 1) and (PMC_4 >= 1))) or (((wait_cable_3 >= 1) and ((msgl_10 >= 1) and (PMC_3 >= 1))) or (((wait_cable_2 >= 1) and ((msgl_10 >= 1) and (PMC_2 >= 1))) or (((wait_cable_1 >= 1) and ((msgl_10 >= 1) and (PMC_1 >= 1))) or (((wait_cable_15 >= 1) and ((msgl_9 >= 1) and (PMC_15 >= 1))) or (((wait_cable_14 >= 1) and ((msgl_9 >= 1) and (PMC_14 >= 1))) or (((wait_cable_13 >= 1) and ((msgl_9 >= 1) and (PMC_13 >= 1))) or (((wait_cable_12 >= 1) and ((msgl_9 >= 1) and (PMC_12 >= 1))) or (((wait_cable_11 >= 1) and ((msgl_9 >= 1) and (PMC_11 >= 1))) or (((wait_cable_10 >= 1) and ((msgl_9 >= 1) and (PMC_10 >= 1))) or (((wait_cable_8 >= 1) and ((msgl_9 >= 1) and (PMC_8 >= 1))) or (((wait_cable_7 >= 1) and ((msgl_9 >= 1) and (PMC_7 >= 1))) or (((wait_cable_6 >= 1) and ((msgl_9 >= 1) and (PMC_6 >= 1))) or (((wait_cable_5 >= 1) and ((msgl_9 >= 1) and (PMC_5 >= 1))) or (((wait_cable_4 >= 1) and ((msgl_9 >= 1) and (PMC_4 >= 1))) or (((wait_cable_3 >= 1) and ((msgl_9 >= 1) and (PMC_3 >= 1))) or (((wait_cable_2 >= 1) and ((msgl_9 >= 1) and (PMC_2 >= 1))) or (((wait_cable_1 >= 1) and ((msgl_9 >= 1) and (PMC_1 >= 1))) or (((wait_cable_15 >= 1) and ((msgl_8 >= 1) and (PMC_15 >= 1))) or (((wait_cable_14 >= 1) and ((msgl_8 >= 1) and (PMC_14 >= 1))) or (((wait_cable_13 >= 1) and ((msgl_8 >= 1) and (PMC_13 >= 1))) or (((wait_cable_12 >= 1) and ((msgl_8 >= 1) and (PMC_12 >= 1))) or (((wait_cable_11 >= 1) and ((msgl_8 >= 1) and (PMC_11 >= 1))) or (((wait_cable_10 >= 1) and ((msgl_8 >= 1) and (PMC_10 >= 1))) or (((wait_cable_9 >= 1) and ((msgl_8 >= 1) and (PMC_9 >= 1))) or (((wait_cable_7 >= 1) and ((msgl_8 >= 1) and (PMC_7 >= 1))) or (((wait_cable_6 >= 1) and ((msgl_8 >= 1) and (PMC_6 >= 1))) or (((wait_cable_5 >= 1) and ((msgl_8 >= 1) and (PMC_5 >= 1))) or (((wait_cable_4 >= 1) and ((msgl_8 >= 1) and (PMC_4 >= 1))) or (((wait_cable_3 >= 1) and ((msgl_8 >= 1) and (PMC_3 >= 1))) or (((wait_cable_2 >= 1) and ((msgl_8 >= 1) and (PMC_2 >= 1))) or (((wait_cable_1 >= 1) and ((msgl_8 >= 1) and (PMC_1 >= 1))) or (((wait_cable_15 >= 1) and ((msgl_7 >= 1) and (PMC_15 >= 1))) or (((wait_cable_14 >= 1) and ((msgl_7 >= 1) and (PMC_14 >= 1))) or (((wait_cable_13 >= 1) and ((msgl_7 >= 1) and (PMC_13 >= 1))) or (((wait_cable_12 >= 1) and ((msgl_7 >= 1) and (PMC_12 >= 1))) or (((wait_cable_11 >= 1) and ((msgl_7 >= 1) and (PMC_11 >= 1))) or (((wait_cable_10 >= 1) and ((msgl_7 >= 1) and (PMC_10 >= 1))) or (((wait_cable_9 >= 1) and ((msgl_7 >= 1) and (PMC_9 >= 1))) or (((wait_cable_8 >= 1) and ((msgl_7 >= 1) and (PMC_8 >= 1))) or (((wait_cable_6 >= 1) and ((msgl_7 >= 1) and (PMC_6 >= 1))) or (((wait_cable_5 >= 1) and ((msgl_7 >= 1) and (PMC_5 >= 1))) or (((wait_cable_4 >= 1) and ((msgl_7 >= 1) and (PMC_4 >= 1))) or (((wait_cable_3 >= 1) and ((msgl_7 >= 1) and (PMC_3 >= 1))) or (((wait_cable_2 >= 1) and ((msgl_7 >= 1) and (PMC_2 >= 1))) or (((wait_cable_1 >= 1) and ((msgl_7 >= 1) and (PMC_1 >= 1))) or (((wait_cable_15 >= 1) and ((msgl_6 >= 1) and (PMC_15 >= 1))) or (((wait_cable_14 >= 1) and ((msgl_6 >= 1) and (PMC_14 >= 1))) or (((wait_cable_13 >= 1) and ((msgl_6 >= 1) and (PMC_13 >= 1))) or (((wait_cable_12 >= 1) and ((msgl_6 >= 1) and (PMC_12 >= 1))) or (((wait_cable_11 >= 1) and ((msgl_6 >= 1) and (PMC_11 >= 1))) or (((wait_cable_10 >= 1) and ((msgl_6 >= 1) and (PMC_10 >= 1))) or (((wait_cable_9 >= 1) and ((msgl_6 >= 1) and (PMC_9 >= 1))) or (((wait_cable_8 >= 1) and ((msgl_6 >= 1) and (PMC_8 >= 1))) or (((wait_cable_7 >= 1) and ((msgl_6 >= 1) and (PMC_7 >= 1))) or (((wait_cable_5 >= 1) and ((msgl_6 >= 1) and (PMC_5 >= 1))) or (((wait_cable_4 >= 1) and ((msgl_6 >= 1) and (PMC_4 >= 1))) or (((wait_cable_3 >= 1) and ((msgl_6 >= 1) and (PMC_3 >= 1))) or (((wait_cable_2 >= 1) and ((msgl_6 >= 1) and (PMC_2 >= 1))) or (((wait_cable_1 >= 1) and ((msgl_6 >= 1) and (PMC_1 >= 1))) or (((wait_cable_15 >= 1) and ((msgl_5 >= 1) and (PMC_15 >= 1))) or (((wait_cable_14 >= 1) and ((msgl_5 >= 1) and (PMC_14 >= 1))) or (((wait_cable_13 >= 1) and ((msgl_5 >= 1) and (PMC_13 >= 1))) or (((wait_cable_12 >= 1) and ((msgl_5 >= 1) and (PMC_12 >= 1))) or (((wait_cable_11 >= 1) and ((msgl_5 >= 1) and (PMC_11 >= 1))) or (((wait_cable_10 >= 1) and ((msgl_5 >= 1) and (PMC_10 >= 1))) or (((wait_cable_9 >= 1) and ((msgl_5 >= 1) and (PMC_9 >= 1))) or (((wait_cable_8 >= 1) and ((msgl_5 >= 1) and (PMC_8 >= 1))) or (((wait_cable_7 >= 1) and ((msgl_5 >= 1) and (PMC_7 >= 1))) or (((wait_cable_6 >= 1) and ((msgl_5 >= 1) and (PMC_6 >= 1))) or (((wait_cable_4 >= 1) and ((msgl_5 >= 1) and (PMC_4 >= 1))) or (((wait_cable_3 >= 1) and ((msgl_5 >= 1) and (PMC_3 >= 1))) or (((wait_cable_2 >= 1) and ((msgl_5 >= 1) and (PMC_2 >= 1))) or (((wait_cable_1 >= 1) and ((msgl_5 >= 1) and (PMC_1 >= 1))) or (((wait_cable_15 >= 1) and ((msgl_4 >= 1) and (PMC_15 >= 1))) or (((wait_cable_14 >= 1) and ((msgl_4 >= 1) and (PMC_14 >= 1))) or (((wait_cable_13 >= 1) and ((msgl_4 >= 1) and (PMC_13 >= 1))) or (((wait_cable_12 >= 1) and ((msgl_4 >= 1) and (PMC_12 >= 1))) or (((wait_cable_11 >= 1) and ((msgl_4 >= 1) and (PMC_11 >= 1))) or (((wait_cable_10 >= 1) and ((msgl_4 >= 1) and (PMC_10 >= 1))) or (((wait_cable_9 >= 1) and ((msgl_4 >= 1) and (PMC_9 >= 1))) or (((wait_cable_8 >= 1) and ((msgl_4 >= 1) and (PMC_8 >= 1))) or (((wait_cable_7 >= 1) and ((msgl_4 >= 1) and (PMC_7 >= 1))) or (((wait_cable_6 >= 1) and ((msgl_4 >= 1) and (PMC_6 >= 1))) or (((wait_cable_5 >= 1) and ((msgl_4 >= 1) and (PMC_5 >= 1))) or (((wait_cable_3 >= 1) and ((msgl_4 >= 1) and (PMC_3 >= 1))) or (((wait_cable_2 >= 1) and ((msgl_4 >= 1) and (PMC_2 >= 1))) or (((wait_cable_1 >= 1) and ((msgl_4 >= 1) and (PMC_1 >= 1))) or (((wait_cable_15 >= 1) and ((msgl_3 >= 1) and (PMC_15 >= 1))) or (((wait_cable_14 >= 1) and ((msgl_3 >= 1) and (PMC_14 >= 1))) or (((wait_cable_13 >= 1) and ((msgl_3 >= 1) and (PMC_13 >= 1))) or (((wait_cable_12 >= 1) and ((msgl_3 >= 1) and (PMC_12 >= 1))) or (((wait_cable_11 >= 1) and ((msgl_3 >= 1) and (PMC_11 >= 1))) or (((wait_cable_10 >= 1) and ((msgl_3 >= 1) and (PMC_10 >= 1))) or (((wait_cable_9 >= 1) and ((msgl_3 >= 1) and (PMC_9 >= 1))) or (((wait_cable_8 >= 1) and ((msgl_3 >= 1) and (PMC_8 >= 1))) or (((wait_cable_7 >= 1) and ((msgl_3 >= 1) and (PMC_7 >= 1))) or (((wait_cable_6 >= 1) and ((msgl_3 >= 1) and (PMC_6 >= 1))) or (((wait_cable_5 >= 1) and ((msgl_3 >= 1) and (PMC_5 >= 1))) or (((wait_cable_4 >= 1) and ((msgl_3 >= 1) and (PMC_4 >= 1))) or (((wait_cable_2 >= 1) and ((msgl_3 >= 1) and (PMC_2 >= 1))) or (((wait_cable_1 >= 1) and ((msgl_3 >= 1) and (PMC_1 >= 1))) or (((wait_cable_15 >= 1) and ((msgl_2 >= 1) and (PMC_15 >= 1))) or (((wait_cable_14 >= 1) and ((msgl_2 >= 1) and (PMC_14 >= 1))) or (((wait_cable_13 >= 1) and ((msgl_2 >= 1) and (PMC_13 >= 1))) or (((wait_cable_12 >= 1) and ((msgl_2 >= 1) and (PMC_12 >= 1))) or (((wait_cable_11 >= 1) and ((msgl_2 >= 1) and (PMC_11 >= 1))) or (((wait_cable_10 >= 1) and ((msgl_2 >= 1) and (PMC_10 >= 1))) or (((wait_cable_9 >= 1) and ((msgl_2 >= 1) and (PMC_9 >= 1))) or (((wait_cable_8 >= 1) and ((msgl_2 >= 1) and (PMC_8 >= 1))) or (((wait_cable_7 >= 1) and ((msgl_2 >= 1) and (PMC_7 >= 1))) or (((wait_cable_6 >= 1) and ((msgl_2 >= 1) and (PMC_6 >= 1))) or (((wait_cable_5 >= 1) and ((msgl_2 >= 1) and (PMC_5 >= 1))) or (((wait_cable_4 >= 1) and ((msgl_2 >= 1) and (PMC_4 >= 1))) or (((wait_cable_3 >= 1) and ((msgl_2 >= 1) and (PMC_3 >= 1))) or (((wait_cable_1 >= 1) and ((msgl_2 >= 1) and (PMC_1 >= 1))) or (((wait_cable_15 >= 1) and ((msgl_1 >= 1) and (PMC_15 >= 1))) or (((wait_cable_14 >= 1) and ((msgl_1 >= 1) and (PMC_14 >= 1))) or (((wait_cable_13 >= 1) and ((msgl_1 >= 1) and (PMC_13 >= 1))) or (((wait_cable_12 >= 1) and ((msgl_1 >= 1) and (PMC_12 >= 1))) or (((wait_cable_11 >= 1) and ((msgl_1 >= 1) and (PMC_11 >= 1))) or (((wait_cable_10 >= 1) and ((msgl_1 >= 1) and (PMC_10 >= 1))) or (((wait_cable_9 >= 1) and ((msgl_1 >= 1) and (PMC_9 >= 1))) or (((wait_cable_8 >= 1) and ((msgl_1 >= 1) and (PMC_8 >= 1))) or (((wait_cable_7 >= 1) and ((msgl_1 >= 1) and (PMC_7 >= 1))) or (((wait_cable_6 >= 1) and ((msgl_1 >= 1) and (PMC_6 >= 1))) or (((wait_cable_5 >= 1) and ((msgl_1 >= 1) and (PMC_5 >= 1))) or (((wait_cable_4 >= 1) and ((msgl_1 >= 1) and (PMC_4 >= 1))) or (((wait_cable_3 >= 1) and ((msgl_1 >= 1) and (PMC_3 >= 1))) or ((wait_cable_2 >= 1) and ((msgl_1 >= 1) and (PMC_2 >= 1)))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))) or (((wait_ack_14_15 >= 1) and (T_out >= 1)) or (((wait_ack_13_15 >= 1) and (T_out >= 1)) or (((wait_ack_12_15 >= 1) and (T_out >= 1)) or (((wait_ack_11_15 >= 1) and (T_out >= 1)) or (((wait_ack_10_15 >= 1) and (T_out >= 1)) or (((wait_ack_9_15 >= 1) and (T_out >= 1)) or (((wait_ack_8_15 >= 1) and (T_out >= 1)) or (((wait_ack_7_15 >= 1) and (T_out >= 1)) or (((wait_ack_6_15 >= 1) and (T_out >= 1)) or (((wait_ack_5_15 >= 1) and (T_out >= 1)) or (((wait_ack_4_15 >= 1) and (T_out >= 1)) or (((wait_ack_3_15 >= 1) and (T_out >= 1)) or (((wait_ack_2_15 >= 1) and (T_out >= 1)) or (((wait_ack_1_15 >= 1) and (T_out >= 1)) or (((wait_ack_15_14 >= 1) and (T_out >= 1)) or (((wait_ack_13_14 >= 1) and (T_out >= 1)) or (((wait_ack_12_14 >= 1) and (T_out >= 1)) or (((wait_ack_11_14 >= 1) and (T_out >= 1)) or (((wait_ack_10_14 >= 1) and (T_out >= 1)) or (((wait_ack_9_14 >= 1) and (T_out >= 1)) or (((wait_ack_8_14 >= 1) and (T_out >= 1)) or (((wait_ack_7_14 >= 1) and (T_out >= 1)) or (((wait_ack_6_14 >= 1) and (T_out >= 1)) or (((wait_ack_5_14 >= 1) and (T_out >= 1)) or (((wait_ack_4_14 >= 1) and (T_out >= 1)) or (((wait_ack_3_14 >= 1) and (T_out >= 1)) or (((wait_ack_2_14 >= 1) and (T_out >= 1)) or (((wait_ack_1_14 >= 1) and (T_out >= 1)) or (((wait_ack_15_13 >= 1) and (T_out >= 1)) or (((wait_ack_14_13 >= 1) and (T_out >= 1)) or (((wait_ack_12_13 >= 1) and (T_out >= 1)) or (((wait_ack_11_13 >= 1) and (T_out >= 1)) or (((wait_ack_10_13 >= 1) and (T_out >= 1)) or (((wait_ack_9_13 >= 1) and (T_out >= 1)) or (((wait_ack_8_13 >= 1) and (T_out >= 1)) or (((wait_ack_7_13 >= 1) and (T_out >= 1)) or (((wait_ack_6_13 >= 1) and (T_out >= 1)) or (((wait_ack_5_13 >= 1) and (T_out >= 1)) or (((wait_ack_4_13 >= 1) and (T_out >= 1)) or (((wait_ack_3_13 >= 1) and (T_out >= 1)) or (((wait_ack_2_13 >= 1) and (T_out >= 1)) or (((wait_ack_1_13 >= 1) and (T_out >= 1)) or (((wait_ack_15_12 >= 1) and (T_out >= 1)) or (((wait_ack_14_12 >= 1) and (T_out >= 1)) or (((wait_ack_13_12 >= 1) and (T_out >= 1)) or (((wait_ack_11_12 >= 1) and (T_out >= 1)) or (((wait_ack_10_12 >= 1) and (T_out >= 1)) or (((wait_ack_9_12 >= 1) and (T_out >= 1)) or (((wait_ack_8_12 >= 1) and (T_out >= 1)) or (((wait_ack_7_12 >= 1) and (T_out >= 1)) or (((wait_ack_6_12 >= 1) and (T_out >= 1)) or (((wait_ack_5_12 >= 1) and (T_out >= 1)) or (((wait_ack_4_12 >= 1) and (T_out >= 1)) or (((wait_ack_3_12 >= 1) and (T_out >= 1)) or (((wait_ack_2_12 >= 1) and (T_out >= 1)) or (((wait_ack_1_12 >= 1) and (T_out >= 1)) or (((wait_ack_15_11 >= 1) and (T_out >= 1)) or (((wait_ack_14_11 >= 1) and (T_out >= 1)) or (((wait_ack_13_11 >= 1) and (T_out >= 1)) or (((wait_ack_12_11 >= 1) and (T_out >= 1)) or (((wait_ack_10_11 >= 1) and (T_out >= 1)) or (((wait_ack_9_11 >= 1) and (T_out >= 1)) or (((wait_ack_8_11 >= 1) and (T_out >= 1)) or (((wait_ack_7_11 >= 1) and (T_out >= 1)) or (((wait_ack_6_11 >= 1) and (T_out >= 1)) or (((wait_ack_5_11 >= 1) and (T_out >= 1)) or (((wait_ack_4_11 >= 1) and (T_out >= 1)) or (((wait_ack_3_11 >= 1) and (T_out >= 1)) or (((wait_ack_2_11 >= 1) and (T_out >= 1)) or (((wait_ack_1_11 >= 1) and (T_out >= 1)) or (((wait_ack_15_10 >= 1) and (T_out >= 1)) or (((wait_ack_14_10 >= 1) and (T_out >= 1)) or (((wait_ack_13_10 >= 1) and (T_out >= 1)) or (((wait_ack_12_10 >= 1) and (T_out >= 1)) or (((wait_ack_11_10 >= 1) and (T_out >= 1)) or (((wait_ack_9_10 >= 1) and (T_out >= 1)) or (((wait_ack_8_10 >= 1) and (T_out >= 1)) or (((wait_ack_7_10 >= 1) and (T_out >= 1)) or (((wait_ack_6_10 >= 1) and (T_out >= 1)) or (((wait_ack_5_10 >= 1) and (T_out >= 1)) or (((wait_ack_4_10 >= 1) and (T_out >= 1)) or (((wait_ack_3_10 >= 1) and (T_out >= 1)) or (((wait_ack_2_10 >= 1) and (T_out >= 1)) or (((wait_ack_1_10 >= 1) and (T_out >= 1)) or (((wait_ack_15_9 >= 1) and (T_out >= 1)) or (((wait_ack_14_9 >= 1) and (T_out >= 1)) or (((wait_ack_13_9 >= 1) and (T_out >= 1)) or (((wait_ack_12_9 >= 1) and (T_out >= 1)) or (((wait_ack_11_9 >= 1) and (T_out >= 1)) or (((wait_ack_10_9 >= 1) and (T_out >= 1)) or (((wait_ack_8_9 >= 1) and (T_out >= 1)) or (((wait_ack_7_9 >= 1) and (T_out >= 1)) or (((wait_ack_6_9 >= 1) and (T_out >= 1)) or (((wait_ack_5_9 >= 1) and (T_out >= 1)) or (((wait_ack_4_9 >= 1) and (T_out >= 1)) or (((wait_ack_3_9 >= 1) and (T_out >= 1)) or (((wait_ack_2_9 >= 1) and (T_out >= 1)) or (((wait_ack_1_9 >= 1) and (T_out >= 1)) or (((wait_ack_15_8 >= 1) and (T_out >= 1)) or (((wait_ack_14_8 >= 1) and (T_out >= 1)) or (((wait_ack_13_8 >= 1) and (T_out >= 1)) or (((wait_ack_12_8 >= 1) and (T_out >= 1)) or (((wait_ack_11_8 >= 1) and (T_out >= 1)) or (((wait_ack_10_8 >= 1) and (T_out >= 1)) or (((wait_ack_9_8 >= 1) and (T_out >= 1)) or (((wait_ack_7_8 >= 1) and (T_out >= 1)) or (((wait_ack_6_8 >= 1) and (T_out >= 1)) or (((wait_ack_5_8 >= 1) and (T_out >= 1)) or (((wait_ack_4_8 >= 1) and (T_out >= 1)) or (((wait_ack_3_8 >= 1) and (T_out >= 1)) or (((wait_ack_2_8 >= 1) and (T_out >= 1)) or (((wait_ack_1_8 >= 1) and (T_out >= 1)) or (((wait_ack_15_7 >= 1) and (T_out >= 1)) or (((wait_ack_14_7 >= 1) and (T_out >= 1)) or (((wait_ack_13_7 >= 1) and (T_out >= 1)) or (((wait_ack_12_7 >= 1) and (T_out >= 1)) or (((wait_ack_11_7 >= 1) and (T_out >= 1)) or (((wait_ack_10_7 >= 1) and (T_out >= 1)) or (((wait_ack_9_7 >= 1) and (T_out >= 1)) or (((wait_ack_8_7 >= 1) and (T_out >= 1)) or (((wait_ack_6_7 >= 1) and (T_out >= 1)) or (((wait_ack_5_7 >= 1) and (T_out >= 1)) or (((wait_ack_4_7 >= 1) and (T_out >= 1)) or (((wait_ack_3_7 >= 1) and (T_out >= 1)) or (((wait_ack_2_7 >= 1) and (T_out >= 1)) or (((wait_ack_1_7 >= 1) and (T_out >= 1)) or (((wait_ack_15_6 >= 1) and (T_out >= 1)) or (((wait_ack_14_6 >= 1) and (T_out >= 1)) or (((wait_ack_13_6 >= 1) and (T_out >= 1)) or (((wait_ack_12_6 >= 1) and (T_out >= 1)) or (((wait_ack_11_6 >= 1) and (T_out >= 1)) or (((wait_ack_10_6 >= 1) and (T_out >= 1)) or (((wait_ack_9_6 >= 1) and (T_out >= 1)) or (((wait_ack_8_6 >= 1) and (T_out >= 1)) or (((wait_ack_7_6 >= 1) and (T_out >= 1)) or (((wait_ack_5_6 >= 1) and (T_out >= 1)) or (((wait_ack_4_6 >= 1) and (T_out >= 1)) or (((wait_ack_3_6 >= 1) and (T_out >= 1)) or (((wait_ack_2_6 >= 1) and (T_out >= 1)) or (((wait_ack_1_6 >= 1) and (T_out >= 1)) or (((wait_ack_15_5 >= 1) and (T_out >= 1)) or (((wait_ack_14_5 >= 1) and (T_out >= 1)) or (((wait_ack_13_5 >= 1) and (T_out >= 1)) or (((wait_ack_12_5 >= 1) and (T_out >= 1)) or (((wait_ack_11_5 >= 1) and (T_out >= 1)) or (((wait_ack_10_5 >= 1) and (T_out >= 1)) or (((wait_ack_9_5 >= 1) and (T_out >= 1)) or (((wait_ack_8_5 >= 1) and (T_out >= 1)) or (((wait_ack_7_5 >= 1) and (T_out >= 1)) or (((wait_ack_6_5 >= 1) and (T_out >= 1)) or (((wait_ack_4_5 >= 1) and (T_out >= 1)) or (((wait_ack_3_5 >= 1) and (T_out >= 1)) or (((wait_ack_2_5 >= 1) and (T_out >= 1)) or (((wait_ack_1_5 >= 1) and (T_out >= 1)) or (((wait_ack_15_4 >= 1) and (T_out >= 1)) or (((wait_ack_14_4 >= 1) and (T_out >= 1)) or (((wait_ack_13_4 >= 1) and (T_out >= 1)) or (((wait_ack_12_4 >= 1) and (T_out >= 1)) or (((wait_ack_11_4 >= 1) and (T_out >= 1)) or (((wait_ack_10_4 >= 1) and (T_out >= 1)) or (((wait_ack_9_4 >= 1) and (T_out >= 1)) or (((wait_ack_8_4 >= 1) and (T_out >= 1)) or (((wait_ack_7_4 >= 1) and (T_out >= 1)) or (((wait_ack_6_4 >= 1) and (T_out >= 1)) or (((wait_ack_5_4 >= 1) and (T_out >= 1)) or (((wait_ack_3_4 >= 1) and (T_out >= 1)) or (((wait_ack_2_4 >= 1) and (T_out >= 1)) or (((wait_ack_1_4 >= 1) and (T_out >= 1)) or (((wait_ack_15_3 >= 1) and (T_out >= 1)) or (((wait_ack_14_3 >= 1) and (T_out >= 1)) or (((wait_ack_13_3 >= 1) and (T_out >= 1)) or (((wait_ack_12_3 >= 1) and (T_out >= 1)) or (((wait_ack_11_3 >= 1) and (T_out >= 1)) or (((wait_ack_10_3 >= 1) and (T_out >= 1)) or (((wait_ack_9_3 >= 1) and (T_out >= 1)) or (((wait_ack_8_3 >= 1) and (T_out >= 1)) or (((wait_ack_7_3 >= 1) and (T_out >= 1)) or (((wait_ack_6_3 >= 1) and (T_out >= 1)) or (((wait_ack_5_3 >= 1) and (T_out >= 1)) or (((wait_ack_4_3 >= 1) and (T_out >= 1)) or (((wait_ack_2_3 >= 1) and (T_out >= 1)) or (((wait_ack_1_3 >= 1) and (T_out >= 1)) or (((wait_ack_15_2 >= 1) and (T_out >= 1)) or (((wait_ack_14_2 >= 1) and (T_out >= 1)) or (((wait_ack_13_2 >= 1) and (T_out >= 1)) or (((wait_ack_12_2 >= 1) and (T_out >= 1)) or (((wait_ack_11_2 >= 1) and (T_out >= 1)) or (((wait_ack_10_2 >= 1) and (T_out >= 1)) or (((wait_ack_9_2 >= 1) and (T_out >= 1)) or (((wait_ack_8_2 >= 1) and (T_out >= 1)) or (((wait_ack_7_2 >= 1) and (T_out >= 1)) or (((wait_ack_6_2 >= 1) and (T_out >= 1)) or (((wait_ack_5_2 >= 1) and (T_out >= 1)) or (((wait_ack_4_2 >= 1) and (T_out >= 1)) or (((wait_ack_3_2 >= 1) and (T_out >= 1)) or (((wait_ack_1_2 >= 1) and (T_out >= 1)) or (((wait_ack_15_1 >= 1) and (T_out >= 1)) or (((wait_ack_14_1 >= 1) and (T_out >= 1)) or (((wait_ack_13_1 >= 1) and (T_out >= 1)) or (((wait_ack_12_1 >= 1) and (T_out >= 1)) or (((wait_ack_11_1 >= 1) and (T_out >= 1)) or (((wait_ack_10_1 >= 1) and (T_out >= 1)) or (((wait_ack_9_1 >= 1) and (T_out >= 1)) or (((wait_ack_8_1 >= 1) and (T_out >= 1)) or (((wait_ack_7_1 >= 1) and (T_out >= 1)) or (((wait_ack_6_1 >= 1) and (T_out >= 1)) or (((wait_ack_5_1 >= 1) and (T_out >= 1)) or (((wait_ack_4_1 >= 1) and (T_out >= 1)) or (((wait_ack_3_1 >= 1) and (T_out >= 1)) or ((wait_ack_2_1 >= 1) and (T_out >= 1)))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))) and ((((((cable_free >= 1) and ((FMCb >= 1) and ((AMC_15_15 >= 1) and (Cpt2_15 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_14_15 >= 1) and (Cpt2_15 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_13_15 >= 1) and (Cpt2_15 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_12_15 >= 1) and (Cpt2_15 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_11_15 >= 1) and (Cpt2_15 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_10_15 >= 1) and (Cpt2_15 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_9_15 >= 1) and (Cpt2_15 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_8_15 >= 1) and (Cpt2_15 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_7_15 >= 1) and (Cpt2_15 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_6_15 >= 1) and (Cpt2_15 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_5_15 >= 1) and (Cpt2_15 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_4_15 >= 1) and (Cpt2_15 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_3_15 >= 1) and (Cpt2_15 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_2_15 >= 1) and (Cpt2_15 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_1_15 >= 1) and (Cpt2_15 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_15_14 >= 1) and (Cpt2_14 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_14_14 >= 1) and (Cpt2_14 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_13_14 >= 1) and (Cpt2_14 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_12_14 >= 1) and (Cpt2_14 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_11_14 >= 1) and (Cpt2_14 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_10_14 >= 1) and (Cpt2_14 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_9_14 >= 1) and (Cpt2_14 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_8_14 >= 1) and (Cpt2_14 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_7_14 >= 1) and (Cpt2_14 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_6_14 >= 1) and (Cpt2_14 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_5_14 >= 1) and (Cpt2_14 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_4_14 >= 1) and (Cpt2_14 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_3_14 >= 1) and (Cpt2_14 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_2_14 >= 1) and (Cpt2_14 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_1_14 >= 1) and (Cpt2_14 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_15_13 >= 1) and (Cpt2_13 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_14_13 >= 1) and (Cpt2_13 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_13_13 >= 1) and (Cpt2_13 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_12_13 >= 1) and (Cpt2_13 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_11_13 >= 1) and (Cpt2_13 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_10_13 >= 1) and (Cpt2_13 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_9_13 >= 1) and (Cpt2_13 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_8_13 >= 1) and (Cpt2_13 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_7_13 >= 1) and (Cpt2_13 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_6_13 >= 1) and (Cpt2_13 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_5_13 >= 1) and (Cpt2_13 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_4_13 >= 1) and (Cpt2_13 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_3_13 >= 1) and (Cpt2_13 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_2_13 >= 1) and (Cpt2_13 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_1_13 >= 1) and (Cpt2_13 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_15_12 >= 1) and (Cpt2_12 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_14_12 >= 1) and (Cpt2_12 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_13_12 >= 1) and (Cpt2_12 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_12_12 >= 1) and (Cpt2_12 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_11_12 >= 1) and (Cpt2_12 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_10_12 >= 1) and (Cpt2_12 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_9_12 >= 1) and (Cpt2_12 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_8_12 >= 1) and (Cpt2_12 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_7_12 >= 1) and (Cpt2_12 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_6_12 >= 1) and (Cpt2_12 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_5_12 >= 1) and (Cpt2_12 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_4_12 >= 1) and (Cpt2_12 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_3_12 >= 1) and (Cpt2_12 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_2_12 >= 1) and (Cpt2_12 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_1_12 >= 1) and (Cpt2_12 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_15_11 >= 1) and (Cpt2_11 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_14_11 >= 1) and (Cpt2_11 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_13_11 >= 1) and (Cpt2_11 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_12_11 >= 1) and (Cpt2_11 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_11_11 >= 1) and (Cpt2_11 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_10_11 >= 1) and (Cpt2_11 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_9_11 >= 1) and (Cpt2_11 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_8_11 >= 1) and (Cpt2_11 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_7_11 >= 1) and (Cpt2_11 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_6_11 >= 1) and (Cpt2_11 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_5_11 >= 1) and (Cpt2_11 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_4_11 >= 1) and (Cpt2_11 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_3_11 >= 1) and (Cpt2_11 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_2_11 >= 1) and (Cpt2_11 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_1_11 >= 1) and (Cpt2_11 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_15_10 >= 1) and (Cpt2_10 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_14_10 >= 1) and (Cpt2_10 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_13_10 >= 1) and (Cpt2_10 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_12_10 >= 1) and (Cpt2_10 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_11_10 >= 1) and (Cpt2_10 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_10_10 >= 1) and (Cpt2_10 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_9_10 >= 1) and (Cpt2_10 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_8_10 >= 1) and (Cpt2_10 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_7_10 >= 1) and (Cpt2_10 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_6_10 >= 1) and (Cpt2_10 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_5_10 >= 1) and (Cpt2_10 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_4_10 >= 1) and (Cpt2_10 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_3_10 >= 1) and (Cpt2_10 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_2_10 >= 1) and (Cpt2_10 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_1_10 >= 1) and (Cpt2_10 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_15_9 >= 1) and (Cpt2_9 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_14_9 >= 1) and (Cpt2_9 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_13_9 >= 1) and (Cpt2_9 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_12_9 >= 1) and (Cpt2_9 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_11_9 >= 1) and (Cpt2_9 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_10_9 >= 1) and (Cpt2_9 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_9_9 >= 1) and (Cpt2_9 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_8_9 >= 1) and (Cpt2_9 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_7_9 >= 1) and (Cpt2_9 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_6_9 >= 1) and (Cpt2_9 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_5_9 >= 1) and (Cpt2_9 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_4_9 >= 1) and (Cpt2_9 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_3_9 >= 1) and (Cpt2_9 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_2_9 >= 1) and (Cpt2_9 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_1_9 >= 1) and (Cpt2_9 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_15_8 >= 1) and (Cpt2_8 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_14_8 >= 1) and (Cpt2_8 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_13_8 >= 1) and (Cpt2_8 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_12_8 >= 1) and (Cpt2_8 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_11_8 >= 1) and (Cpt2_8 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_10_8 >= 1) and (Cpt2_8 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_9_8 >= 1) and (Cpt2_8 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_8_8 >= 1) and (Cpt2_8 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_7_8 >= 1) and (Cpt2_8 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_6_8 >= 1) and (Cpt2_8 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_5_8 >= 1) and (Cpt2_8 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_4_8 >= 1) and (Cpt2_8 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_3_8 >= 1) and (Cpt2_8 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_2_8 >= 1) and (Cpt2_8 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_1_8 >= 1) and (Cpt2_8 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_15_7 >= 1) and (Cpt2_7 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_14_7 >= 1) and (Cpt2_7 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_13_7 >= 1) and (Cpt2_7 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_12_7 >= 1) and (Cpt2_7 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_11_7 >= 1) and (Cpt2_7 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_10_7 >= 1) and (Cpt2_7 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_9_7 >= 1) and (Cpt2_7 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_8_7 >= 1) and (Cpt2_7 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_7_7 >= 1) and (Cpt2_7 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_6_7 >= 1) and (Cpt2_7 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_5_7 >= 1) and (Cpt2_7 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_4_7 >= 1) and (Cpt2_7 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_3_7 >= 1) and (Cpt2_7 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_2_7 >= 1) and (Cpt2_7 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_1_7 >= 1) and (Cpt2_7 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_15_6 >= 1) and (Cpt2_6 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_14_6 >= 1) and (Cpt2_6 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_13_6 >= 1) and (Cpt2_6 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_12_6 >= 1) and (Cpt2_6 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_11_6 >= 1) and (Cpt2_6 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_10_6 >= 1) and (Cpt2_6 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_9_6 >= 1) and (Cpt2_6 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_8_6 >= 1) and (Cpt2_6 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_7_6 >= 1) and (Cpt2_6 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_6_6 >= 1) and (Cpt2_6 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_5_6 >= 1) and (Cpt2_6 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_4_6 >= 1) and (Cpt2_6 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_3_6 >= 1) and (Cpt2_6 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_2_6 >= 1) and (Cpt2_6 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_1_6 >= 1) and (Cpt2_6 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_15_5 >= 1) and (Cpt2_5 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_14_5 >= 1) and (Cpt2_5 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_13_5 >= 1) and (Cpt2_5 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_12_5 >= 1) and (Cpt2_5 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_11_5 >= 1) and (Cpt2_5 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_10_5 >= 1) and (Cpt2_5 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_9_5 >= 1) and (Cpt2_5 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_8_5 >= 1) and (Cpt2_5 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_7_5 >= 1) and (Cpt2_5 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_6_5 >= 1) and (Cpt2_5 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_5_5 >= 1) and (Cpt2_5 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_4_5 >= 1) and (Cpt2_5 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_3_5 >= 1) and (Cpt2_5 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_2_5 >= 1) and (Cpt2_5 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_1_5 >= 1) and (Cpt2_5 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_15_4 >= 1) and (Cpt2_4 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_14_4 >= 1) and (Cpt2_4 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_13_4 >= 1) and (Cpt2_4 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_12_4 >= 1) and (Cpt2_4 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_11_4 >= 1) and (Cpt2_4 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_10_4 >= 1) and (Cpt2_4 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_9_4 >= 1) and (Cpt2_4 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_8_4 >= 1) and (Cpt2_4 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_7_4 >= 1) and (Cpt2_4 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_6_4 >= 1) and (Cpt2_4 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_5_4 >= 1) and (Cpt2_4 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_4_4 >= 1) and (Cpt2_4 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_3_4 >= 1) and (Cpt2_4 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_2_4 >= 1) and (Cpt2_4 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_1_4 >= 1) and (Cpt2_4 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_15_3 >= 1) and (Cpt2_3 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_14_3 >= 1) and (Cpt2_3 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_13_3 >= 1) and (Cpt2_3 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_12_3 >= 1) and (Cpt2_3 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_11_3 >= 1) and (Cpt2_3 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_10_3 >= 1) and (Cpt2_3 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_9_3 >= 1) and (Cpt2_3 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_8_3 >= 1) and (Cpt2_3 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_7_3 >= 1) and (Cpt2_3 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_6_3 >= 1) and (Cpt2_3 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_5_3 >= 1) and (Cpt2_3 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_4_3 >= 1) and (Cpt2_3 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_3_3 >= 1) and (Cpt2_3 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_2_3 >= 1) and (Cpt2_3 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_1_3 >= 1) and (Cpt2_3 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_15_2 >= 1) and (Cpt2_2 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_14_2 >= 1) and (Cpt2_2 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_13_2 >= 1) and (Cpt2_2 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_12_2 >= 1) and (Cpt2_2 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_11_2 >= 1) and (Cpt2_2 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_10_2 >= 1) and (Cpt2_2 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_9_2 >= 1) and (Cpt2_2 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_8_2 >= 1) and (Cpt2_2 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_7_2 >= 1) and (Cpt2_2 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_6_2 >= 1) and (Cpt2_2 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_5_2 >= 1) and (Cpt2_2 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_4_2 >= 1) and (Cpt2_2 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_3_2 >= 1) and (Cpt2_2 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_2_2 >= 1) and (Cpt2_2 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_1_2 >= 1) and (Cpt2_2 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_15_1 >= 1) and (Cpt2_1 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_14_1 >= 1) and (Cpt2_1 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_13_1 >= 1) and (Cpt2_1 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_12_1 >= 1) and (Cpt2_1 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_11_1 >= 1) and (Cpt2_1 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_10_1 >= 1) and (Cpt2_1 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_9_1 >= 1) and (Cpt2_1 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_8_1 >= 1) and (Cpt2_1 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_7_1 >= 1) and (Cpt2_1 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_6_1 >= 1) and (Cpt2_1 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_5_1 >= 1) and (Cpt2_1 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_4_1 >= 1) and (Cpt2_1 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_3_1 >= 1) and (Cpt2_1 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_2_1 >= 1) and (Cpt2_1 >= 1)))) or ((cable_free >= 1) and ((FMCb >= 1) and ((AMC_1_1 >= 1) and (Cpt2_1 >= 1)))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))) and (((Cpt1_15 >= 1) and (listen_15 >= 1)) or (((Cpt1_15 >= 1) and (listen_14 >= 1)) or (((Cpt1_15 >= 1) and (listen_13 >= 1)) or (((Cpt1_15 >= 1) and (listen_12 >= 1)) or (((Cpt1_15 >= 1) and (listen_11 >= 1)) or (((Cpt1_15 >= 1) and (listen_10 >= 1)) or (((Cpt1_15 >= 1) and (listen_9 >= 1)) or (((Cpt1_15 >= 1) and (listen_8 >= 1)) or (((Cpt1_15 >= 1) and (listen_7 >= 1)) or (((Cpt1_15 >= 1) and (listen_6 >= 1)) or (((Cpt1_15 >= 1) and (listen_5 >= 1)) or (((Cpt1_15 >= 1) and (listen_4 >= 1)) or (((Cpt1_15 >= 1) and (listen_3 >= 1)) or (((Cpt1_15 >= 1) and (listen_2 >= 1)) or (((Cpt1_15 >= 1) and (listen_1 >= 1)) or (((Cpt1_14 >= 1) and (listen_15 >= 1)) or (((Cpt1_14 >= 1) and (listen_14 >= 1)) or (((Cpt1_14 >= 1) and (listen_13 >= 1)) or (((Cpt1_14 >= 1) and (listen_12 >= 1)) or (((Cpt1_14 >= 1) and (listen_11 >= 1)) or (((Cpt1_14 >= 1) and (listen_10 >= 1)) or (((Cpt1_14 >= 1) and (listen_9 >= 1)) or (((Cpt1_14 >= 1) and (listen_8 >= 1)) or (((Cpt1_14 >= 1) and (listen_7 >= 1)) or (((Cpt1_14 >= 1) and (listen_6 >= 1)) or (((Cpt1_14 >= 1) and (listen_5 >= 1)) or (((Cpt1_14 >= 1) and (listen_4 >= 1)) or (((Cpt1_14 >= 1) and (listen_3 >= 1)) or (((Cpt1_14 >= 1) and (listen_2 >= 1)) or (((Cpt1_14 >= 1) and (listen_1 >= 1)) or (((Cpt1_13 >= 1) and (listen_15 >= 1)) or (((Cpt1_13 >= 1) and (listen_14 >= 1)) or (((Cpt1_13 >= 1) and (listen_13 >= 1)) or (((Cpt1_13 >= 1) and (listen_12 >= 1)) or (((Cpt1_13 >= 1) and (listen_11 >= 1)) or (((Cpt1_13 >= 1) and (listen_10 >= 1)) or (((Cpt1_13 >= 1) and (listen_9 >= 1)) or (((Cpt1_13 >= 1) and (listen_8 >= 1)) or (((Cpt1_13 >= 1) and (listen_7 >= 1)) or (((Cpt1_13 >= 1) and (listen_6 >= 1)) or (((Cpt1_13 >= 1) and (listen_5 >= 1)) or (((Cpt1_13 >= 1) and (listen_4 >= 1)) or (((Cpt1_13 >= 1) and (listen_3 >= 1)) or (((Cpt1_13 >= 1) and (listen_2 >= 1)) or (((Cpt1_13 >= 1) and (listen_1 >= 1)) or (((Cpt1_12 >= 1) and (listen_15 >= 1)) or (((Cpt1_12 >= 1) and (listen_14 >= 1)) or (((Cpt1_12 >= 1) and (listen_13 >= 1)) or (((Cpt1_12 >= 1) and (listen_12 >= 1)) or (((Cpt1_12 >= 1) and (listen_11 >= 1)) or (((Cpt1_12 >= 1) and (listen_10 >= 1)) or (((Cpt1_12 >= 1) and (listen_9 >= 1)) or (((Cpt1_12 >= 1) and (listen_8 >= 1)) or (((Cpt1_12 >= 1) and (listen_7 >= 1)) or (((Cpt1_12 >= 1) and (listen_6 >= 1)) or (((Cpt1_12 >= 1) and (listen_5 >= 1)) or (((Cpt1_12 >= 1) and (listen_4 >= 1)) or (((Cpt1_12 >= 1) and (listen_3 >= 1)) or (((Cpt1_12 >= 1) and (listen_2 >= 1)) or (((Cpt1_12 >= 1) and (listen_1 >= 1)) or (((Cpt1_11 >= 1) and (listen_15 >= 1)) or (((Cpt1_11 >= 1) and (listen_14 >= 1)) or (((Cpt1_11 >= 1) and (listen_13 >= 1)) or (((Cpt1_11 >= 1) and (listen_12 >= 1)) or (((Cpt1_11 >= 1) and (listen_11 >= 1)) or (((Cpt1_11 >= 1) and (listen_10 >= 1)) or (((Cpt1_11 >= 1) and (listen_9 >= 1)) or (((Cpt1_11 >= 1) and (listen_8 >= 1)) or (((Cpt1_11 >= 1) and (listen_7 >= 1)) or (((Cpt1_11 >= 1) and (listen_6 >= 1)) or (((Cpt1_11 >= 1) and (listen_5 >= 1)) or (((Cpt1_11 >= 1) and (listen_4 >= 1)) or (((Cpt1_11 >= 1) and (listen_3 >= 1)) or (((Cpt1_11 >= 1) and (listen_2 >= 1)) or (((Cpt1_11 >= 1) and (listen_1 >= 1)) or (((Cpt1_10 >= 1) and (listen_15 >= 1)) or (((Cpt1_10 >= 1) and (listen_14 >= 1)) or (((Cpt1_10 >= 1) and (listen_13 >= 1)) or (((Cpt1_10 >= 1) and (listen_12 >= 1)) or (((Cpt1_10 >= 1) and (listen_11 >= 1)) or (((Cpt1_10 >= 1) and (listen_10 >= 1)) or (((Cpt1_10 >= 1) and (listen_9 >= 1)) or (((Cpt1_10 >= 1) and (listen_8 >= 1)) or (((Cpt1_10 >= 1) and (listen_7 >= 1)) or (((Cpt1_10 >= 1) and (listen_6 >= 1)) or (((Cpt1_10 >= 1) and (listen_5 >= 1)) or (((Cpt1_10 >= 1) and (listen_4 >= 1)) or (((Cpt1_10 >= 1) and (listen_3 >= 1)) or (((Cpt1_10 >= 1) and (listen_2 >= 1)) or (((Cpt1_10 >= 1) and (listen_1 >= 1)) or (((Cpt1_9 >= 1) and (listen_15 >= 1)) or (((Cpt1_9 >= 1) and (listen_14 >= 1)) or (((Cpt1_9 >= 1) and (listen_13 >= 1)) or (((Cpt1_9 >= 1) and (listen_12 >= 1)) or (((Cpt1_9 >= 1) and (listen_11 >= 1)) or (((Cpt1_9 >= 1) and (listen_10 >= 1)) or (((Cpt1_9 >= 1) and (listen_9 >= 1)) or (((Cpt1_9 >= 1) and (listen_8 >= 1)) or (((Cpt1_9 >= 1) and (listen_7 >= 1)) or (((Cpt1_9 >= 1) and (listen_6 >= 1)) or (((Cpt1_9 >= 1) and (listen_5 >= 1)) or (((Cpt1_9 >= 1) and (listen_4 >= 1)) or (((Cpt1_9 >= 1) and (listen_3 >= 1)) or (((Cpt1_9 >= 1) and (listen_2 >= 1)) or (((Cpt1_9 >= 1) and (listen_1 >= 1)) or (((Cpt1_8 >= 1) and (listen_15 >= 1)) or (((Cpt1_8 >= 1) and (listen_14 >= 1)) or (((Cpt1_8 >= 1) and (listen_13 >= 1)) or (((Cpt1_8 >= 1) and (listen_12 >= 1)) or (((Cpt1_8 >= 1) and (listen_11 >= 1)) or (((Cpt1_8 >= 1) and (listen_10 >= 1)) or (((Cpt1_8 >= 1) and (listen_9 >= 1)) or (((Cpt1_8 >= 1) and (listen_8 >= 1)) or (((Cpt1_8 >= 1) and (listen_7 >= 1)) or (((Cpt1_8 >= 1) and (listen_6 >= 1)) or (((Cpt1_8 >= 1) and (listen_5 >= 1)) or (((Cpt1_8 >= 1) and (listen_4 >= 1)) or (((Cpt1_8 >= 1) and (listen_3 >= 1)) or (((Cpt1_8 >= 1) and (listen_2 >= 1)) or (((Cpt1_8 >= 1) and (listen_1 >= 1)) or (((Cpt1_7 >= 1) and (listen_15 >= 1)) or (((Cpt1_7 >= 1) and (listen_14 >= 1)) or (((Cpt1_7 >= 1) and (listen_13 >= 1)) or (((Cpt1_7 >= 1) and (listen_12 >= 1)) or (((Cpt1_7 >= 1) and (listen_11 >= 1)) or (((Cpt1_7 >= 1) and (listen_10 >= 1)) or (((Cpt1_7 >= 1) and (listen_9 >= 1)) or (((Cpt1_7 >= 1) and (listen_8 >= 1)) or (((Cpt1_7 >= 1) and (listen_7 >= 1)) or (((Cpt1_7 >= 1) and (listen_6 >= 1)) or (((Cpt1_7 >= 1) and (listen_5 >= 1)) or (((Cpt1_7 >= 1) and (listen_4 >= 1)) or (((Cpt1_7 >= 1) and (listen_3 >= 1)) or (((Cpt1_7 >= 1) and (listen_2 >= 1)) or (((Cpt1_7 >= 1) and (listen_1 >= 1)) or (((Cpt1_6 >= 1) and (listen_15 >= 1)) or (((Cpt1_6 >= 1) and (listen_14 >= 1)) or (((Cpt1_6 >= 1) and (listen_13 >= 1)) or (((Cpt1_6 >= 1) and (listen_12 >= 1)) or (((Cpt1_6 >= 1) and (listen_11 >= 1)) or (((Cpt1_6 >= 1) and (listen_10 >= 1)) or (((Cpt1_6 >= 1) and (listen_9 >= 1)) or (((Cpt1_6 >= 1) and (listen_8 >= 1)) or (((Cpt1_6 >= 1) and (listen_7 >= 1)) or (((Cpt1_6 >= 1) and (listen_6 >= 1)) or (((Cpt1_6 >= 1) and (listen_5 >= 1)) or (((Cpt1_6 >= 1) and (listen_4 >= 1)) or (((Cpt1_6 >= 1) and (listen_3 >= 1)) or (((Cpt1_6 >= 1) and (listen_2 >= 1)) or (((Cpt1_6 >= 1) and (listen_1 >= 1)) or (((Cpt1_5 >= 1) and (listen_15 >= 1)) or (((Cpt1_5 >= 1) and (listen_14 >= 1)) or (((Cpt1_5 >= 1) and (listen_13 >= 1)) or (((Cpt1_5 >= 1) and (listen_12 >= 1)) or (((Cpt1_5 >= 1) and (listen_11 >= 1)) or (((Cpt1_5 >= 1) and (listen_10 >= 1)) or (((Cpt1_5 >= 1) and (listen_9 >= 1)) or (((Cpt1_5 >= 1) and (listen_8 >= 1)) or (((Cpt1_5 >= 1) and (listen_7 >= 1)) or (((Cpt1_5 >= 1) and (listen_6 >= 1)) or (((Cpt1_5 >= 1) and (listen_5 >= 1)) or (((Cpt1_5 >= 1) and (listen_4 >= 1)) or (((Cpt1_5 >= 1) and (listen_3 >= 1)) or (((Cpt1_5 >= 1) and (listen_2 >= 1)) or (((Cpt1_5 >= 1) and (listen_1 >= 1)) or (((Cpt1_4 >= 1) and (listen_15 >= 1)) or (((Cpt1_4 >= 1) and (listen_14 >= 1)) or (((Cpt1_4 >= 1) and (listen_13 >= 1)) or (((Cpt1_4 >= 1) and (listen_12 >= 1)) or (((Cpt1_4 >= 1) and (listen_11 >= 1)) or (((Cpt1_4 >= 1) and (listen_10 >= 1)) or (((Cpt1_4 >= 1) and (listen_9 >= 1)) or (((Cpt1_4 >= 1) and (listen_8 >= 1)) or (((Cpt1_4 >= 1) and (listen_7 >= 1)) or (((Cpt1_4 >= 1) and (listen_6 >= 1)) or (((Cpt1_4 >= 1) and (listen_5 >= 1)) or (((Cpt1_4 >= 1) and (listen_4 >= 1)) or (((Cpt1_4 >= 1) and (listen_3 >= 1)) or (((Cpt1_4 >= 1) and (listen_2 >= 1)) or (((Cpt1_4 >= 1) and (listen_1 >= 1)) or (((Cpt1_3 >= 1) and (listen_15 >= 1)) or (((Cpt1_3 >= 1) and (listen_14 >= 1)) or (((Cpt1_3 >= 1) and (listen_13 >= 1)) or (((Cpt1_3 >= 1) and (listen_12 >= 1)) or (((Cpt1_3 >= 1) and (listen_11 >= 1)) or (((Cpt1_3 >= 1) and (listen_10 >= 1)) or (((Cpt1_3 >= 1) and (listen_9 >= 1)) or (((Cpt1_3 >= 1) and (listen_8 >= 1)) or (((Cpt1_3 >= 1) and (listen_7 >= 1)) or (((Cpt1_3 >= 1) and (listen_6 >= 1)) or (((Cpt1_3 >= 1) and (listen_5 >= 1)) or (((Cpt1_3 >= 1) and (listen_4 >= 1)) or (((Cpt1_3 >= 1) and (listen_3 >= 1)) or (((Cpt1_3 >= 1) and (listen_2 >= 1)) or (((Cpt1_3 >= 1) and (listen_1 >= 1)) or (((Cpt1_2 >= 1) and (listen_15 >= 1)) or (((Cpt1_2 >= 1) and (listen_14 >= 1)) or (((Cpt1_2 >= 1) and (listen_13 >= 1)) or (((Cpt1_2 >= 1) and (listen_12 >= 1)) or (((Cpt1_2 >= 1) and (listen_11 >= 1)) or (((Cpt1_2 >= 1) and (listen_10 >= 1)) or (((Cpt1_2 >= 1) and (listen_9 >= 1)) or (((Cpt1_2 >= 1) and (listen_8 >= 1)) or (((Cpt1_2 >= 1) and (listen_7 >= 1)) or (((Cpt1_2 >= 1) and (listen_6 >= 1)) or (((Cpt1_2 >= 1) and (listen_5 >= 1)) or (((Cpt1_2 >= 1) and (listen_4 >= 1)) or (((Cpt1_2 >= 1) and (listen_3 >= 1)) or (((Cpt1_2 >= 1) and (listen_2 >= 1)) or (((Cpt1_2 >= 1) and (listen_1 >= 1)) or (((Cpt1_1 >= 1) and (listen_15 >= 1)) or (((Cpt1_1 >= 1) and (listen_14 >= 1)) or (((Cpt1_1 >= 1) and (listen_13 >= 1)) or (((Cpt1_1 >= 1) and (listen_12 >= 1)) or (((Cpt1_1 >= 1) and (listen_11 >= 1)) or (((Cpt1_1 >= 1) and (listen_10 >= 1)) or (((Cpt1_1 >= 1) and (listen_9 >= 1)) or (((Cpt1_1 >= 1) and (listen_8 >= 1)) or (((Cpt1_1 >= 1) and (listen_7 >= 1)) or (((Cpt1_1 >= 1) and (listen_6 >= 1)) or (((Cpt1_1 >= 1) and (listen_5 >= 1)) or (((Cpt1_1 >= 1) and (listen_4 >= 1)) or (((Cpt1_1 >= 1) and (listen_3 >= 1)) or (((Cpt1_1 >= 1) and (listen_2 >= 1)) or ((Cpt1_1 >= 1) and (listen_1 >= 1))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))) and (((R_tout >= 1) and (ACK >= 1)) or (((wait_ack_14_15 >= 1) and (T_out >= 1)) or (((wait_ack_13_15 >= 1) and (T_out >= 1)) or (((wait_ack_12_15 >= 1) and (T_out >= 1)) or (((wait_ack_11_15 >= 1) and (T_out >= 1)) or (((wait_ack_10_15 >= 1) and (T_out >= 1)) or (((wait_ack_9_15 >= 1) and (T_out >= 1)) or (((wait_ack_8_15 >= 1) and (T_out >= 1)) or (((wait_ack_7_15 >= 1) and (T_out >= 1)) or (((wait_ack_6_15 >= 1) and (T_out >= 1)) or (((wait_ack_5_15 >= 1) and (T_out >= 1)) or (((wait_ack_4_15 >= 1) and (T_out >= 1)) or (((wait_ack_3_15 >= 1) and (T_out >= 1)) or (((wait_ack_2_15 >= 1) and (T_out >= 1)) or (((wait_ack_1_15 >= 1) and (T_out >= 1)) or (((wait_ack_15_14 >= 1) and (T_out >= 1)) or (((wait_ack_13_14 >= 1) and (T_out >= 1)) or (((wait_ack_12_14 >= 1) and (T_out >= 1)) or (((wait_ack_11_14 >= 1) and (T_out >= 1)) or (((wait_ack_10_14 >= 1) and (T_out >= 1)) or (((wait_ack_9_14 >= 1) and (T_out >= 1)) or (((wait_ack_8_14 >= 1) and (T_out >= 1)) or (((wait_ack_7_14 >= 1) and (T_out >= 1)) or (((wait_ack_6_14 >= 1) and (T_out >= 1)) or (((wait_ack_5_14 >= 1) and (T_out >= 1)) or (((wait_ack_4_14 >= 1) and (T_out >= 1)) or (((wait_ack_3_14 >= 1) and (T_out >= 1)) or (((wait_ack_2_14 >= 1) and (T_out >= 1)) or (((wait_ack_1_14 >= 1) and (T_out >= 1)) or (((wait_ack_15_13 >= 1) and (T_out >= 1)) or (((wait_ack_14_13 >= 1) and (T_out >= 1)) or (((wait_ack_12_13 >= 1) and (T_out >= 1)) or (((wait_ack_11_13 >= 1) and (T_out >= 1)) or (((wait_ack_10_13 >= 1) and (T_out >= 1)) or (((wait_ack_9_13 >= 1) and (T_out >= 1)) or (((wait_ack_8_13 >= 1) and (T_out >= 1)) or (((wait_ack_7_13 >= 1) and (T_out >= 1)) or (((wait_ack_6_13 >= 1) and (T_out >= 1)) or (((wait_ack_5_13 >= 1) and (T_out >= 1)) or (((wait_ack_4_13 >= 1) and (T_out >= 1)) or (((wait_ack_3_13 >= 1) and (T_out >= 1)) or (((wait_ack_2_13 >= 1) and (T_out >= 1)) or (((wait_ack_1_13 >= 1) and (T_out >= 1)) or (((wait_ack_15_12 >= 1) and (T_out >= 1)) or (((wait_ack_14_12 >= 1) and (T_out >= 1)) or (((wait_ack_13_12 >= 1) and (T_out >= 1)) or (((wait_ack_11_12 >= 1) and (T_out >= 1)) or (((wait_ack_10_12 >= 1) and (T_out >= 1)) or (((wait_ack_9_12 >= 1) and (T_out >= 1)) or (((wait_ack_8_12 >= 1) and (T_out >= 1)) or (((wait_ack_7_12 >= 1) and (T_out >= 1)) or (((wait_ack_6_12 >= 1) and (T_out >= 1)) or (((wait_ack_5_12 >= 1) and (T_out >= 1)) or (((wait_ack_4_12 >= 1) and (T_out >= 1)) or (((wait_ack_3_12 >= 1) and (T_out >= 1)) or (((wait_ack_2_12 >= 1) and (T_out >= 1)) or (((wait_ack_1_12 >= 1) and (T_out >= 1)) or (((wait_ack_15_11 >= 1) and (T_out >= 1)) or (((wait_ack_14_11 >= 1) and (T_out >= 1)) or (((wait_ack_13_11 >= 1) and (T_out >= 1)) or (((wait_ack_12_11 >= 1) and (T_out >= 1)) or (((wait_ack_10_11 >= 1) and (T_out >= 1)) or (((wait_ack_9_11 >= 1) and (T_out >= 1)) or (((wait_ack_8_11 >= 1) and (T_out >= 1)) or (((wait_ack_7_11 >= 1) and (T_out >= 1)) or (((wait_ack_6_11 >= 1) and (T_out >= 1)) or (((wait_ack_5_11 >= 1) and (T_out >= 1)) or (((wait_ack_4_11 >= 1) and (T_out >= 1)) or (((wait_ack_3_11 >= 1) and (T_out >= 1)) or (((wait_ack_2_11 >= 1) and (T_out >= 1)) or (((wait_ack_1_11 >= 1) and (T_out >= 1)) or (((wait_ack_15_10 >= 1) and (T_out >= 1)) or (((wait_ack_14_10 >= 1) and (T_out >= 1)) or (((wait_ack_13_10 >= 1) and (T_out >= 1)) or (((wait_ack_12_10 >= 1) and (T_out >= 1)) or (((wait_ack_11_10 >= 1) and (T_out >= 1)) or (((wait_ack_9_10 >= 1) and (T_out >= 1)) or (((wait_ack_8_10 >= 1) and (T_out >= 1)) or (((wait_ack_7_10 >= 1) and (T_out >= 1)) or (((wait_ack_6_10 >= 1) and (T_out >= 1)) or (((wait_ack_5_10 >= 1) and (T_out >= 1)) or (((wait_ack_4_10 >= 1) and (T_out >= 1)) or (((wait_ack_3_10 >= 1) and (T_out >= 1)) or (((wait_ack_2_10 >= 1) and (T_out >= 1)) or (((wait_ack_1_10 >= 1) and (T_out >= 1)) or (((wait_ack_15_9 >= 1) and (T_out >= 1)) or (((wait_ack_14_9 >= 1) and (T_out >= 1)) or (((wait_ack_13_9 >= 1) and (T_out >= 1)) or (((wait_ack_12_9 >= 1) and (T_out >= 1)) or (((wait_ack_11_9 >= 1) and (T_out >= 1)) or (((wait_ack_10_9 >= 1) and (T_out >= 1)) or (((wait_ack_8_9 >= 1) and (T_out >= 1)) or (((wait_ack_7_9 >= 1) and (T_out >= 1)) or (((wait_ack_6_9 >= 1) and (T_out >= 1)) or (((wait_ack_5_9 >= 1) and (T_out >= 1)) or (((wait_ack_4_9 >= 1) and (T_out >= 1)) or (((wait_ack_3_9 >= 1) and (T_out >= 1)) or (((wait_ack_2_9 >= 1) and (T_out >= 1)) or (((wait_ack_1_9 >= 1) and (T_out >= 1)) or (((wait_ack_15_8 >= 1) and (T_out >= 1)) or (((wait_ack_14_8 >= 1) and (T_out >= 1)) or (((wait_ack_13_8 >= 1) and (T_out >= 1)) or (((wait_ack_12_8 >= 1) and (T_out >= 1)) or (((wait_ack_11_8 >= 1) and (T_out >= 1)) or (((wait_ack_10_8 >= 1) and (T_out >= 1)) or (((wait_ack_9_8 >= 1) and (T_out >= 1)) or (((wait_ack_7_8 >= 1) and (T_out >= 1)) or (((wait_ack_6_8 >= 1) and (T_out >= 1)) or (((wait_ack_5_8 >= 1) and (T_out >= 1)) or (((wait_ack_4_8 >= 1) and (T_out >= 1)) or (((wait_ack_3_8 >= 1) and (T_out >= 1)) or (((wait_ack_2_8 >= 1) and (T_out >= 1)) or (((wait_ack_1_8 >= 1) and (T_out >= 1)) or (((wait_ack_15_7 >= 1) and (T_out >= 1)) or (((wait_ack_14_7 >= 1) and (T_out >= 1)) or (((wait_ack_13_7 >= 1) and (T_out >= 1)) or (((wait_ack_12_7 >= 1) and (T_out >= 1)) or (((wait_ack_11_7 >= 1) and (T_out >= 1)) or (((wait_ack_10_7 >= 1) and (T_out >= 1)) or (((wait_ack_9_7 >= 1) and (T_out >= 1)) or (((wait_ack_8_7 >= 1) and (T_out >= 1)) or (((wait_ack_6_7 >= 1) and (T_out >= 1)) or (((wait_ack_5_7 >= 1) and (T_out >= 1)) or (((wait_ack_4_7 >= 1) and (T_out >= 1)) or (((wait_ack_3_7 >= 1) and (T_out >= 1)) or (((wait_ack_2_7 >= 1) and (T_out >= 1)) or (((wait_ack_1_7 >= 1) and (T_out >= 1)) or (((wait_ack_15_6 >= 1) and (T_out >= 1)) or (((wait_ack_14_6 >= 1) and (T_out >= 1)) or (((wait_ack_13_6 >= 1) and (T_out >= 1)) or (((wait_ack_12_6 >= 1) and (T_out >= 1)) or (((wait_ack_11_6 >= 1) and (T_out >= 1)) or (((wait_ack_10_6 >= 1) and (T_out >= 1)) or (((wait_ack_9_6 >= 1) and (T_out >= 1)) or (((wait_ack_8_6 >= 1) and (T_out >= 1)) or (((wait_ack_7_6 >= 1) and (T_out >= 1)) or (((wait_ack_5_6 >= 1) and (T_out >= 1)) or (((wait_ack_4_6 >= 1) and (T_out >= 1)) or (((wait_ack_3_6 >= 1) and (T_out >= 1)) or (((wait_ack_2_6 >= 1) and (T_out >= 1)) or (((wait_ack_1_6 >= 1) and (T_out >= 1)) or (((wait_ack_15_5 >= 1) and (T_out >= 1)) or (((wait_ack_14_5 >= 1) and (T_out >= 1)) or (((wait_ack_13_5 >= 1) and (T_out >= 1)) or (((wait_ack_12_5 >= 1) and (T_out >= 1)) or (((wait_ack_11_5 >= 1) and (T_out >= 1)) or (((wait_ack_10_5 >= 1) and (T_out >= 1)) or (((wait_ack_9_5 >= 1) and (T_out >= 1)) or (((wait_ack_8_5 >= 1) and (T_out >= 1)) or (((wait_ack_7_5 >= 1) and (T_out >= 1)) or (((wait_ack_6_5 >= 1) and (T_out >= 1)) or (((wait_ack_4_5 >= 1) and (T_out >= 1)) or (((wait_ack_3_5 >= 1) and (T_out >= 1)) or (((wait_ack_2_5 >= 1) and (T_out >= 1)) or (((wait_ack_1_5 >= 1) and (T_out >= 1)) or (((wait_ack_15_4 >= 1) and (T_out >= 1)) or (((wait_ack_14_4 >= 1) and (T_out >= 1)) or (((wait_ack_13_4 >= 1) and (T_out >= 1)) or (((wait_ack_12_4 >= 1) and (T_out >= 1)) or (((wait_ack_11_4 >= 1) and (T_out >= 1)) or (((wait_ack_10_4 >= 1) and (T_out >= 1)) or (((wait_ack_9_4 >= 1) and (T_out >= 1)) or (((wait_ack_8_4 >= 1) and (T_out >= 1)) or (((wait_ack_7_4 >= 1) and (T_out >= 1)) or (((wait_ack_6_4 >= 1) and (T_out >= 1)) or (((wait_ack_5_4 >= 1) and (T_out >= 1)) or (((wait_ack_3_4 >= 1) and (T_out >= 1)) or (((wait_ack_2_4 >= 1) and (T_out >= 1)) or (((wait_ack_1_4 >= 1) and (T_out >= 1)) or (((wait_ack_15_3 >= 1) and (T_out >= 1)) or (((wait_ack_14_3 >= 1) and (T_out >= 1)) or (((wait_ack_13_3 >= 1) and (T_out >= 1)) or (((wait_ack_12_3 >= 1) and (T_out >= 1)) or (((wait_ack_11_3 >= 1) and (T_out >= 1)) or (((wait_ack_10_3 >= 1) and (T_out >= 1)) or (((wait_ack_9_3 >= 1) and (T_out >= 1)) or (((wait_ack_8_3 >= 1) and (T_out >= 1)) or (((wait_ack_7_3 >= 1) and (T_out >= 1)) or (((wait_ack_6_3 >= 1) and (T_out >= 1)) or (((wait_ack_5_3 >= 1) and (T_out >= 1)) or (((wait_ack_4_3 >= 1) and (T_out >= 1)) or (((wait_ack_2_3 >= 1) and (T_out >= 1)) or (((wait_ack_1_3 >= 1) and (T_out >= 1)) or (((wait_ack_15_2 >= 1) and (T_out >= 1)) or (((wait_ack_14_2 >= 1) and (T_out >= 1)) or (((wait_ack_13_2 >= 1) and (T_out >= 1)) or (((wait_ack_12_2 >= 1) and (T_out >= 1)) or (((wait_ack_11_2 >= 1) and (T_out >= 1)) or (((wait_ack_10_2 >= 1) and (T_out >= 1)) or (((wait_ack_9_2 >= 1) and (T_out >= 1)) or (((wait_ack_8_2 >= 1) and (T_out >= 1)) or (((wait_ack_7_2 >= 1) and (T_out >= 1)) or (((wait_ack_6_2 >= 1) and (T_out >= 1)) or (((wait_ack_5_2 >= 1) and (T_out >= 1)) or (((wait_ack_4_2 >= 1) and (T_out >= 1)) or (((wait_ack_3_2 >= 1) and (T_out >= 1)) or (((wait_ack_1_2 >= 1) and (T_out >= 1)) or (((wait_ack_15_1 >= 1) and (T_out >= 1)) or (((wait_ack_14_1 >= 1) and (T_out >= 1)) or (((wait_ack_13_1 >= 1) and (T_out >= 1)) or (((wait_ack_12_1 >= 1) and (T_out >= 1)) or (((wait_ack_11_1 >= 1) and (T_out >= 1)) or (((wait_ack_10_1 >= 1) and (T_out >= 1)) or (((wait_ack_9_1 >= 1) and (T_out >= 1)) or (((wait_ack_8_1 >= 1) and (T_out >= 1)) or (((wait_ack_7_1 >= 1) and (T_out >= 1)) or (((wait_ack_6_1 >= 1) and (T_out >= 1)) or (((wait_ack_5_1 >= 1) and (T_out >= 1)) or (((wait_ack_4_1 >= 1) and (T_out >= 1)) or (((wait_ack_3_1 >= 1) and (T_out >= 1)) or ((wait_ack_2_1 >= 1) and (T_out >= 1))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))) and (not ((((wait_cable_15 >= 1) and (RMC_15 >= 1)) or (((wait_cable_14 >= 1) and (RMC_14 >= 1)) or (((wait_cable_13 >= 1) and (RMC_13 >= 1)) or (((wait_cable_12 >= 1) and (RMC_12 >= 1)) or (((wait_cable_11 >= 1) and (RMC_11 >= 1)) or (((wait_cable_10 >= 1) and (RMC_10 >= 1)) or (((wait_cable_9 >= 1) and (RMC_9 >= 1)) or (((wait_cable_8 >= 1) and (RMC_8 >= 1)) or (((wait_cable_7 >= 1) and (RMC_7 >= 1)) or (((wait_cable_6 >= 1) and (RMC_6 >= 1)) or (((wait_cable_5 >= 1) and (RMC_5 >= 1)) or (((wait_cable_4 >= 1) and (RMC_4 >= 1)) or (((wait_cable_3 >= 1) and (RMC_3 >= 1)) or (((wait_cable_2 >= 1) and (RMC_2 >= 1)) or ((wait_cable_1 >= 1) and (RMC_1 >= 1)))))))))))))))) or (((loop_em_15 >= 1) and (Cpt1_15 >= 1)) or (((loop_em_14 >= 1) and (Cpt1_15 >= 1)) or (((loop_em_13 >= 1) and (Cpt1_15 >= 1)) or (((loop_em_12 >= 1) and (Cpt1_15 >= 1)) or (((loop_em_11 >= 1) and (Cpt1_15 >= 1)) or (((loop_em_10 >= 1) and (Cpt1_15 >= 1)) or (((loop_em_9 >= 1) and (Cpt1_15 >= 1)) or (((loop_em_8 >= 1) and (Cpt1_15 >= 1)) or (((loop_em_7 >= 1) and (Cpt1_15 >= 1)) or (((loop_em_6 >= 1) and (Cpt1_15 >= 1)) or (((loop_em_5 >= 1) and (Cpt1_15 >= 1)) or (((loop_em_4 >= 1) and (Cpt1_15 >= 1)) or (((loop_em_3 >= 1) and (Cpt1_15 >= 1)) or (((loop_em_2 >= 1) and (Cpt1_15 >= 1)) or (((loop_em_1 >= 1) and (Cpt1_15 >= 1)) or (((loop_em_15 >= 1) and (Cpt1_14 >= 1)) or (((loop_em_14 >= 1) and (Cpt1_14 >= 1)) or (((loop_em_13 >= 1) and (Cpt1_14 >= 1)) or (((loop_em_12 >= 1) and (Cpt1_14 >= 1)) or (((loop_em_11 >= 1) and (Cpt1_14 >= 1)) or (((loop_em_10 >= 1) and (Cpt1_14 >= 1)) or (((loop_em_9 >= 1) and (Cpt1_14 >= 1)) or (((loop_em_8 >= 1) and (Cpt1_14 >= 1)) or (((loop_em_7 >= 1) and (Cpt1_14 >= 1)) or (((loop_em_6 >= 1) and (Cpt1_14 >= 1)) or (((loop_em_5 >= 1) and (Cpt1_14 >= 1)) or (((loop_em_4 >= 1) and (Cpt1_14 >= 1)) or (((loop_em_3 >= 1) and (Cpt1_14 >= 1)) or (((loop_em_2 >= 1) and (Cpt1_14 >= 1)) or (((loop_em_1 >= 1) and (Cpt1_14 >= 1)) or (((loop_em_15 >= 1) and (Cpt1_13 >= 1)) or (((loop_em_14 >= 1) and (Cpt1_13 >= 1)) or (((loop_em_13 >= 1) and (Cpt1_13 >= 1)) or (((loop_em_12 >= 1) and (Cpt1_13 >= 1)) or (((loop_em_11 >= 1) and (Cpt1_13 >= 1)) or (((loop_em_10 >= 1) and (Cpt1_13 >= 1)) or (((loop_em_9 >= 1) and (Cpt1_13 >= 1)) or (((loop_em_8 >= 1) and (Cpt1_13 >= 1)) or (((loop_em_7 >= 1) and (Cpt1_13 >= 1)) or (((loop_em_6 >= 1) and (Cpt1_13 >= 1)) or (((loop_em_5 >= 1) and (Cpt1_13 >= 1)) or (((loop_em_4 >= 1) and (Cpt1_13 >= 1)) or (((loop_em_3 >= 1) and (Cpt1_13 >= 1)) or (((loop_em_2 >= 1) and (Cpt1_13 >= 1)) or (((loop_em_1 >= 1) and (Cpt1_13 >= 1)) or (((loop_em_15 >= 1) and (Cpt1_12 >= 1)) or (((loop_em_14 >= 1) and (Cpt1_12 >= 1)) or (((loop_em_13 >= 1) and (Cpt1_12 >= 1)) or (((loop_em_12 >= 1) and (Cpt1_12 >= 1)) or (((loop_em_11 >= 1) and (Cpt1_12 >= 1)) or (((loop_em_10 >= 1) and (Cpt1_12 >= 1)) or (((loop_em_9 >= 1) and (Cpt1_12 >= 1)) or (((loop_em_8 >= 1) and (Cpt1_12 >= 1)) or (((loop_em_7 >= 1) and (Cpt1_12 >= 1)) or (((loop_em_6 >= 1) and (Cpt1_12 >= 1)) or (((loop_em_5 >= 1) and (Cpt1_12 >= 1)) or (((loop_em_4 >= 1) and (Cpt1_12 >= 1)) or (((loop_em_3 >= 1) and (Cpt1_12 >= 1)) or (((loop_em_2 >= 1) and (Cpt1_12 >= 1)) or (((loop_em_1 >= 1) and (Cpt1_12 >= 1)) or (((loop_em_15 >= 1) and (Cpt1_11 >= 1)) or (((loop_em_14 >= 1) and (Cpt1_11 >= 1)) or (((loop_em_13 >= 1) and (Cpt1_11 >= 1)) or (((loop_em_12 >= 1) and (Cpt1_11 >= 1)) or (((loop_em_11 >= 1) and (Cpt1_11 >= 1)) or (((loop_em_10 >= 1) and (Cpt1_11 >= 1)) or (((loop_em_9 >= 1) and (Cpt1_11 >= 1)) or (((loop_em_8 >= 1) and (Cpt1_11 >= 1)) or (((loop_em_7 >= 1) and (Cpt1_11 >= 1)) or (((loop_em_6 >= 1) and (Cpt1_11 >= 1)) or (((loop_em_5 >= 1) and (Cpt1_11 >= 1)) or (((loop_em_4 >= 1) and (Cpt1_11 >= 1)) or (((loop_em_3 >= 1) and (Cpt1_11 >= 1)) or (((loop_em_2 >= 1) and (Cpt1_11 >= 1)) or (((loop_em_1 >= 1) and (Cpt1_11 >= 1)) or (((loop_em_15 >= 1) and (Cpt1_10 >= 1)) or (((loop_em_14 >= 1) and (Cpt1_10 >= 1)) or (((loop_em_13 >= 1) and (Cpt1_10 >= 1)) or (((loop_em_12 >= 1) and (Cpt1_10 >= 1)) or (((loop_em_11 >= 1) and (Cpt1_10 >= 1)) or (((loop_em_10 >= 1) and (Cpt1_10 >= 1)) or (((loop_em_9 >= 1) and (Cpt1_10 >= 1)) or (((loop_em_8 >= 1) and (Cpt1_10 >= 1)) or (((loop_em_7 >= 1) and (Cpt1_10 >= 1)) or (((loop_em_6 >= 1) and (Cpt1_10 >= 1)) or (((loop_em_5 >= 1) and (Cpt1_10 >= 1)) or (((loop_em_4 >= 1) and (Cpt1_10 >= 1)) or (((loop_em_3 >= 1) and (Cpt1_10 >= 1)) or (((loop_em_2 >= 1) and (Cpt1_10 >= 1)) or (((loop_em_1 >= 1) and (Cpt1_10 >= 1)) or (((loop_em_15 >= 1) and (Cpt1_9 >= 1)) or (((loop_em_14 >= 1) and (Cpt1_9 >= 1)) or (((loop_em_13 >= 1) and (Cpt1_9 >= 1)) or (((loop_em_12 >= 1) and (Cpt1_9 >= 1)) or (((loop_em_11 >= 1) and (Cpt1_9 >= 1)) or (((loop_em_10 >= 1) and (Cpt1_9 >= 1)) or (((loop_em_9 >= 1) and (Cpt1_9 >= 1)) or (((loop_em_8 >= 1) and (Cpt1_9 >= 1)) or (((loop_em_7 >= 1) and (Cpt1_9 >= 1)) or (((loop_em_6 >= 1) and (Cpt1_9 >= 1)) or (((loop_em_5 >= 1) and (Cpt1_9 >= 1)) or (((loop_em_4 >= 1) and (Cpt1_9 >= 1)) or (((loop_em_3 >= 1) and (Cpt1_9 >= 1)) or (((loop_em_2 >= 1) and (Cpt1_9 >= 1)) or (((loop_em_1 >= 1) and (Cpt1_9 >= 1)) or (((loop_em_15 >= 1) and (Cpt1_8 >= 1)) or (((loop_em_14 >= 1) and (Cpt1_8 >= 1)) or (((loop_em_13 >= 1) and (Cpt1_8 >= 1)) or (((loop_em_12 >= 1) and (Cpt1_8 >= 1)) or (((loop_em_11 >= 1) and (Cpt1_8 >= 1)) or (((loop_em_10 >= 1) and (Cpt1_8 >= 1)) or (((loop_em_9 >= 1) and (Cpt1_8 >= 1)) or (((loop_em_8 >= 1) and (Cpt1_8 >= 1)) or (((loop_em_7 >= 1) and (Cpt1_8 >= 1)) or (((loop_em_6 >= 1) and (Cpt1_8 >= 1)) or (((loop_em_5 >= 1) and (Cpt1_8 >= 1)) or (((loop_em_4 >= 1) and (Cpt1_8 >= 1)) or (((loop_em_3 >= 1) and (Cpt1_8 >= 1)) or (((loop_em_2 >= 1) and (Cpt1_8 >= 1)) or (((loop_em_1 >= 1) and (Cpt1_8 >= 1)) or (((loop_em_15 >= 1) and (Cpt1_7 >= 1)) or (((loop_em_14 >= 1) and (Cpt1_7 >= 1)) or (((loop_em_13 >= 1) and (Cpt1_7 >= 1)) or (((loop_em_12 >= 1) and (Cpt1_7 >= 1)) or (((loop_em_11 >= 1) and (Cpt1_7 >= 1)) or (((loop_em_10 >= 1) and (Cpt1_7 >= 1)) or (((loop_em_9 >= 1) and (Cpt1_7 >= 1)) or (((loop_em_8 >= 1) and (Cpt1_7 >= 1)) or (((loop_em_7 >= 1) and (Cpt1_7 >= 1)) or (((loop_em_6 >= 1) and (Cpt1_7 >= 1)) or (((loop_em_5 >= 1) and (Cpt1_7 >= 1)) or (((loop_em_4 >= 1) and (Cpt1_7 >= 1)) or (((loop_em_3 >= 1) and (Cpt1_7 >= 1)) or (((loop_em_2 >= 1) and (Cpt1_7 >= 1)) or (((loop_em_1 >= 1) and (Cpt1_7 >= 1)) or (((loop_em_15 >= 1) and (Cpt1_6 >= 1)) or (((loop_em_14 >= 1) and (Cpt1_6 >= 1)) or (((loop_em_13 >= 1) and (Cpt1_6 >= 1)) or (((loop_em_12 >= 1) and (Cpt1_6 >= 1)) or (((loop_em_11 >= 1) and (Cpt1_6 >= 1)) or (((loop_em_10 >= 1) and (Cpt1_6 >= 1)) or (((loop_em_9 >= 1) and (Cpt1_6 >= 1)) or (((loop_em_8 >= 1) and (Cpt1_6 >= 1)) or (((loop_em_7 >= 1) and (Cpt1_6 >= 1)) or (((loop_em_6 >= 1) and (Cpt1_6 >= 1)) or (((loop_em_5 >= 1) and (Cpt1_6 >= 1)) or (((loop_em_4 >= 1) and (Cpt1_6 >= 1)) or (((loop_em_3 >= 1) and (Cpt1_6 >= 1)) or (((loop_em_2 >= 1) and (Cpt1_6 >= 1)) or (((loop_em_1 >= 1) and (Cpt1_6 >= 1)) or (((loop_em_15 >= 1) and (Cpt1_5 >= 1)) or (((loop_em_14 >= 1) and (Cpt1_5 >= 1)) or (((loop_em_13 >= 1) and (Cpt1_5 >= 1)) or (((loop_em_12 >= 1) and (Cpt1_5 >= 1)) or (((loop_em_11 >= 1) and (Cpt1_5 >= 1)) or (((loop_em_10 >= 1) and (Cpt1_5 >= 1)) or (((loop_em_9 >= 1) and (Cpt1_5 >= 1)) or (((loop_em_8 >= 1) and (Cpt1_5 >= 1)) or (((loop_em_7 >= 1) and (Cpt1_5 >= 1)) or (((loop_em_6 >= 1) and (Cpt1_5 >= 1)) or (((loop_em_5 >= 1) and (Cpt1_5 >= 1)) or (((loop_em_4 >= 1) and (Cpt1_5 >= 1)) or (((loop_em_3 >= 1) and (Cpt1_5 >= 1)) or (((loop_em_2 >= 1) and (Cpt1_5 >= 1)) or (((loop_em_1 >= 1) and (Cpt1_5 >= 1)) or (((loop_em_15 >= 1) and (Cpt1_4 >= 1)) or (((loop_em_14 >= 1) and (Cpt1_4 >= 1)) or (((loop_em_13 >= 1) and (Cpt1_4 >= 1)) or (((loop_em_12 >= 1) and (Cpt1_4 >= 1)) or (((loop_em_11 >= 1) and (Cpt1_4 >= 1)) or (((loop_em_10 >= 1) and (Cpt1_4 >= 1)) or (((loop_em_9 >= 1) and (Cpt1_4 >= 1)) or (((loop_em_8 >= 1) and (Cpt1_4 >= 1)) or (((loop_em_7 >= 1) and (Cpt1_4 >= 1)) or (((loop_em_6 >= 1) and (Cpt1_4 >= 1)) or (((loop_em_5 >= 1) and (Cpt1_4 >= 1)) or (((loop_em_4 >= 1) and (Cpt1_4 >= 1)) or (((loop_em_3 >= 1) and (Cpt1_4 >= 1)) or (((loop_em_2 >= 1) and (Cpt1_4 >= 1)) or (((loop_em_1 >= 1) and (Cpt1_4 >= 1)) or (((loop_em_15 >= 1) and (Cpt1_3 >= 1)) or (((loop_em_14 >= 1) and (Cpt1_3 >= 1)) or (((loop_em_13 >= 1) and (Cpt1_3 >= 1)) or (((loop_em_12 >= 1) and (Cpt1_3 >= 1)) or (((loop_em_11 >= 1) and (Cpt1_3 >= 1)) or (((loop_em_10 >= 1) and (Cpt1_3 >= 1)) or (((loop_em_9 >= 1) and (Cpt1_3 >= 1)) or (((loop_em_8 >= 1) and (Cpt1_3 >= 1)) or (((loop_em_7 >= 1) and (Cpt1_3 >= 1)) or (((loop_em_6 >= 1) and (Cpt1_3 >= 1)) or (((loop_em_5 >= 1) and (Cpt1_3 >= 1)) or (((loop_em_4 >= 1) and (Cpt1_3 >= 1)) or (((loop_em_3 >= 1) and (Cpt1_3 >= 1)) or (((loop_em_2 >= 1) and (Cpt1_3 >= 1)) or (((loop_em_1 >= 1) and (Cpt1_3 >= 1)) or (((loop_em_15 >= 1) and (Cpt1_2 >= 1)) or (((loop_em_14 >= 1) and (Cpt1_2 >= 1)) or (((loop_em_13 >= 1) and (Cpt1_2 >= 1)) or (((loop_em_12 >= 1) and (Cpt1_2 >= 1)) or (((loop_em_11 >= 1) and (Cpt1_2 >= 1)) or (((loop_em_10 >= 1) and (Cpt1_2 >= 1)) or (((loop_em_9 >= 1) and (Cpt1_2 >= 1)) or (((loop_em_8 >= 1) and (Cpt1_2 >= 1)) or (((loop_em_7 >= 1) and (Cpt1_2 >= 1)) or (((loop_em_6 >= 1) and (Cpt1_2 >= 1)) or (((loop_em_5 >= 1) and (Cpt1_2 >= 1)) or (((loop_em_4 >= 1) and (Cpt1_2 >= 1)) or (((loop_em_3 >= 1) and (Cpt1_2 >= 1)) or (((loop_em_2 >= 1) and (Cpt1_2 >= 1)) or (((loop_em_1 >= 1) and (Cpt1_2 >= 1)) or (((loop_em_15 >= 1) and (Cpt1_1 >= 1)) or (((loop_em_14 >= 1) and (Cpt1_1 >= 1)) or (((loop_em_13 >= 1) and (Cpt1_1 >= 1)) or (((loop_em_12 >= 1) and (Cpt1_1 >= 1)) or (((loop_em_11 >= 1) and (Cpt1_1 >= 1)) or (((loop_em_10 >= 1) and (Cpt1_1 >= 1)) or (((loop_em_9 >= 1) and (Cpt1_1 >= 1)) or (((loop_em_8 >= 1) and (Cpt1_1 >= 1)) or (((loop_em_7 >= 1) and (Cpt1_1 >= 1)) or (((loop_em_6 >= 1) and (Cpt1_1 >= 1)) or (((loop_em_5 >= 1) and (Cpt1_1 >= 1)) or (((loop_em_4 >= 1) and (Cpt1_1 >= 1)) or (((loop_em_3 >= 1) and (Cpt1_1 >= 1)) or (((loop_em_2 >= 1) and (Cpt1_1 >= 1)) or ((loop_em_1 >= 1) and (Cpt1_1 >= 1))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))
Query after reduction: EF (((((wait_ack_14_15 >= 1) and (T_out >= 1)) or (((wait_ack_13_15 >= 1) and (T_out >= 1)) or (((wait_ack_12_15 >= 1) and (T_out >= 1)) or (((wait_ack_11_15 >= 1) and (T_out >= 1)) or (((wait_ack_10_15 >= 1) and (T_out >= 1)) or (((wait_ack_9_15 >= 1) and (T_out >= 1)) or (((wait_ack_8_15 >= 1) and (T_out >= 1)) or (((wait_ack_7_15 >= 1) and (T_out >= 1)) or (((wait_ack_6_15 >= 1) and (T_out >= 1)) or (((wait_ack_5_15 >= 1) and (T_out >= 1)) or (((wait_ack_4_15 >= 1) and (T_out >= 1)) or (((wait_ack_3_15 >= 1) and (T_out >= 1)) or (((wait_ack_2_15 >= 1) and (T_out >= 1)) or (((wait_ack_1_15 >= 1) and (T_out >= 1)) or (((wait_ack_15_14 >= 1) and (T_out >= 1)) or (((wait_ack_13_14 >= 1) and (T_out >= 1)) or (((wait_ack_12_14 >= 1) and (T_out >= 1)) or (((wait_ack_11_14 >= 1) and (T_out >= 1)) or (((wait_ack_10_14 >= 1) and (T_out >= 1)) or (((wait_ack_9_14 >= 1) and (T_out >= 1)) or (((wait_ack_8_14 >= 1) and (T_out >= 1)) or (((wait_ack_7_14 >= 1) and (T_out >= 1)) or (((wait_ack_6_14 >= 1) and (T_out >= 1)) or (((wait_ack_5_14 >= 1) and (T_out >= 1)) or (((wait_ack_4_14 >= 1) and (T_out >= 1)) or (((wait_ack_3_14 >= 1) and (T_out >= 1)) or (((wait_ack_2_14 >= 1) and (T_out >= 1)) or (((wait_ack_1_14 >= 1) and (T_out >= 1)) or (((wait_ack_15_13 >= 1) and (T_out >= 1)) or (((wait_ack_14_13 >= 1) and (T_out >= 1)) or (((wait_ack_12_13 >= 1) and (T_out >= 1)) or (((wait_ack_11_13 >= 1) and (T_out >= 1)) or (((wait_ack_10_13 >= 1) and (T_out >= 1)) or (((wait_ack_9_13 >= 1) and (T_out >= 1)) or (((wait_ack_8_13 >= 1) and (T_out >= 1)) or (((wait_ack_7_13 >= 1) and (T_out >= 1)) or (((wait_ack_6_13 >= 1) and (T_out >= 1)) or (((wait_ack_5_13 >= 1) and (T_out >= 1)) or (((wait_ack_4_13 >= 1) and (T_out >= 1)) or (((wait_ack_3_13 >= 1) and (T_out >= 1)) or (((wait_ack_2_13 >= 1) and (T_out >= 1)) or (((wait_ack_1_13 >= 1) and (T_out >= 1)) or (((wait_ack_15_12 >= 1) and (T_out >= 1)) or (((wait_ack_14_12 >= 1) and (T_out >= 1)) or (((wait_ack_13_12 >= 1) and (T_out >= 1)) or (((wait_ack_11_12 >= 1) and (T_out >= 1)) or (((wait_ack_10_12 >= 1) and (T_out >= 1)) or (((wait_ack_9_12 >= 1) and (T_out >= 1)) or (((wait_ack_8_12 >= 1) and (T_out >= 1)) or (((wait_ack_7_12 >= 1) and (T_out >= 1)) or (((wait_ack_6_12 >= 1) and (T_out >= 1)) or (((wait_ack_5_12 >= 1) and (T_out >= 1)) or (((wait_ack_4_12 >= 1) and (T_out >= 1)) or (((wait_ack_3_12 >= 1) and (T_out >= 1)) or (((wait_ack_2_12 >= 1) and (T_out >= 1)) or (((wait_ack_1_12 >= 1) and (T_out >= 1)) or (((wait_ack_15_11 >= 1) and (T_out >= 1)) or (((wait_ack_14_11 >= 1) and (T_out >= 1)) or (((wait_ack_13_11 >= 1) and (T_out >= 1)) or (((wait_ack_12_11 >= 1) and (T_out >= 1)) or (((wait_ack_10_11 >= 1) and (T_out >= 1)) or (((wait_ack_9_11 >= 1) and (T_out >= 1)) or (((wait_ack_8_11 >= 1) and (T_out >= 1)) or (((wait_ack_7_11 >= 1) and (T_out >= 1)) or (((wait_ack_6_11 >= 1) and (T_out >= 1)) or (((wait_ack_5_11 >= 1) and (T_out >= 1)) or (((wait_ack_4_11 >= 1) and (T_out >= 1)) or (((wait_ack_3_11 >= 1) and (T_out >= 1)) or (((wait_ack_2_11 >= 1) and (T_out >= 1)) or (((wait_ack_1_11 >= 1) and (T_out >= 1)) or (((wait_ack_15_10 >= 1) and (T_out >= 1)) or (((wait_ack_14_10 >= 1) and (T_out >= 1)) or (((wait_ack_13_10 >= 1) and (T_out >= 1)) or (((wait_ack_12_10 >= 1) and (T_out >= 1)) or (((wait_ack_11_10 >= 1) and (T_out >= 1)) or (((wait_ack_9_10 >= 1) and (T_out >= 1)) or (((wait_ack_8_10 >= 1) and (T_out >= 1)) or (((wait_ack_7_10 >= 1) and (T_out >= 1)) or (((wait_ack_6_10 >= 1) and (T_out >= 1)) or (((wait_ack_5_10 >= 1) and (T_out >= 1)) or (((wait_ack_4_10 >= 1) and (T_out >= 1)) or (((wait_ack_3_10 >= 1) and (T_out >= 1)) or (((wait_ack_2_10 >= 1) and (T_out >= 1)) or (((wait_ack_1_10 >= 1) and (T_out >= 1)) or (((wait_ack_15_9 >= 1) and (T_out >= 1)) or (((wait_ack_14_9 >= 1) and (T_out >= 1)) or (((wait_ack_13_9 >= 1) and (T_out >= 1)) or (((wait_ack_12_9 >= 1) and (T_out >= 1)) or (((wait_ack_11_9 >= 1) and (T_out >= 1)) or (((wait_ack_10_9 >= 1) and (T_out >= 1)) or (((wait_ack_8_9 >= 1) and (T_out >= 1)) or (((wait_ack_7_9 >= 1) and (T_out >= 1)) or (((wait_ack_6_9 >= 1) and (T_out >= 1)) or (((wait_ack_5_9 >= 1) and (T_out >= 1)) or (((wait_ack_4_9 >= 1) and (T_out >= 1)) or (((wait_ack_3_9 >= 1) and (T_out >= 1)) or (((wait_ack_2_9 >= 1) and (T_out >= 1)) or (((wait_ack_1_9 >= 1) and (T_out >= 1)) or (((wait_ack_15_8 >= 1) and (T_out >= 1)) or (((wait_ack_14_8 >= 1) and (T_out >= 1)) or (((wait_ack_13_8 >= 1) and (T_out >= 1)) or (((wait_ack_12_8 >= 1) and (T_out >= 1)) or (((wait_ack_11_8 >= 1) and (T_out >= 1)) or (((wait_ack_10_8 >= 1) and (T_out >= 1)) or (((wait_ack_9_8 >= 1) and (T_out >= 1)) or (((wait_ack_7_8 >= 1) and (T_out >= 1)) or (((wait_ack_6_8 >= 1) and (T_out >= 1)) or (((wait_ack_5_8 >= 1) and (T_out >= 1)) or (((wait_ack_4_8 >= 1) and (T_out >= 1)) or (((wait_ack_3_8 >= 1) and (T_out >= 1)) or (((wait_ack_2_8 >= 1) and (T_out >= 1)) or (((wait_ack_1_8 >= 1) and (T_out >= 1)) or (((wait_ack_15_7 >= 1) and (T_out >= 1)) or (((wait_ack_14_7 >= 1) and (T_out >= 1)) or (((wait_ack_13_7 >= 1) and (T_out >= 1)) or (((wait_ack_12_7 >= 1) and (T_out >= 1)) or (((wait_ack_11_7 >= 1) and (T_out >= 1)) or (((wait_ack_10_7 >= 1) and (T_out >= 1)) or (((wait_ack_9_7 >= 1) and (T_out >= 1)) or (((wait_ack_8_7 >= 1) and (T_out >= 1)) or (((wait_ack_6_7 >= 1) and (T_out >= 1)) or (((wait_ack_5_7 >= 1) and (T_out >= 1)) or (((wait_ack_4_7 >= 1) and (T_out >= 1)) or (((wait_ack_3_7 >= 1) and (T_out >= 1)) or (((wait_ack_2_7 >= 1) and (T_out >= 1)) or (((wait_ack_1_7 >= 1) and (T_out >= 1)) or (((wait_ack_15_6 >= 1) and (T_out >= 1)) or (((wait_ack_14_6 >= 1) and (T_out >= 1)) or (((wait_ack_13_6 >= 1) and (T_out >= 1)) or (((wait_ack_12_6 >= 1) and (T_out >= 1)) or (((wait_ack_11_6 >= 1) and (T_out >= 1)) or (((wait_ack_10_6 >= 1) and (T_out >= 1)) or (((wait_ack_9_6 >= 1) and (T_out >= 1)) or (((wait_ack_8_6 >= 1) and (T_out >= 1)) or (((wait_ack_7_6 >= 1) and (T_out >= 1)) or (((wait_ack_5_6 >= 1) and (T_out >= 1)) or (((wait_ack_4_6 >= 1) and (T_out >= 1)) or (((wait_ack_3_6 >= 1) and (T_out >= 1)) or (((wait_ack_2_6 >= 1) and (T_out >= 1)) or (((wait_ack_1_6 >= 1) and (T_out >= 1)) or (((wait_ack_15_5 >= 1) and (T_out >= 1)) or (((wait_ack_14_5 >= 1) and (T_out >= 1)) or (((wait_ack_13_5 >= 1) and (T_out >= 1)) or (((wait_ack_12_5 >= 1) and (T_out >= 1)) or (((wait_ack_11_5 >= 1) and (T_out >= 1)) or (((wait_ack_10_5 >= 1) and (T_out >= 1)) or (((wait_ack_9_5 >= 1) and (T_out >= 1)) or (((wait_ack_8_5 >= 1) and (T_out >= 1)) or (((wait_ack_7_5 >= 1) and (T_out >= 1)) or (((wait_ack_6_5 >= 1) and (T_out >= 1)) or (((wait_ack_4_5 >= 1) and (T_out >= 1)) or (((wait_ack_3_5 >= 1) and (T_out >= 1)) or (((wait_ack_2_5 >= 1) and (T_out >= 1)) or (((wait_ack_1_5 >= 1) and (T_out >= 1)) or (((wait_ack_15_4 >= 1) and (T_out >= 1)) or (((wait_ack_14_4 >= 1) and (T_out >= 1)) or (((wait_ack_13_4 >= 1) and (T_out >= 1)) or (((wait_ack_12_4 >= 1) and (T_out >= 1)) or (((wait_ack_11_4 >= 1) and (T_out >= 1)) or (((wait_ack_10_4 >= 1) and (T_out >= 1)) or (((wait_ack_9_4 >= 1) and (T_out >= 1)) or (((wait_ack_8_4 >= 1) and (T_out >= 1)) or (((wait_ack_7_4 >= 1) and (T_out >= 1)) or (((wait_ack_6_4 >= 1) and (T_out >= 1)) or (((wait_ack_5_4 >= 1) and (T_out >= 1)) or (((wait_ack_3_4 >= 1) and (T_out >= 1)) or (((wait_ack_2_4 >= 1) and (T_out >= 1)) or (((wait_ack_1_4 >= 1) and (T_out >= 1)) or (((wait_ack_15_3 >= 1) and (T_out >= 1)) or (((wait_ack_14_3 >= 1) and (T_out >= 1)) or (((wait_ack_13_3 >= 1) and (T_out >= 1)) or (((wait_ack_12_3 >= 1) and (T_out >= 1)) or (((wait_ack_11_3 >= 1) and (T_out >= 1)) or (((wait_ack_10_3 >= 1) and (T_out >= 1)) or (((wait_ack_9_3 >= 1) and (T_out >= 1)) or (((wait_ack_8_3 >= 1) and (T_out >= 1)) or (((wait_ack_7_3 >= 1) and (T_out >= 1)) or (((wait_ack_6_3 >= 1) and (T_out >= 1)) or (((wait_ack_5_3 >= 1) and (T_out >= 1)) or (((wait_ack_4_3 >= 1) and (T_out >= 1)) or (((wait_ack_2_3 >= 1) and (T_out >= 1)) or (((wait_ack_1_3 >= 1) and (T_out >= 1)) or (((wait_ack_15_2 >= 1) and (T_out >= 1)) or (((wait_ack_14_2 >= 1) and (T_out >= 1)) or (((wait_ack_13_2 >= 1) and (T_out >= 1)) or (((wait_ack_12_2 >= 1) and (T_out >= 1)) or (((wait_ack_11_2 >= 1) and (T_out >= 1)) or (((wait_ack_10_2 >= 1) and (T_out >= 1)) or (((wait_ack_9_2 >= 1) and (T_out >= 1)) or (((wait_ack_8_2 >= 1) and (T_out >= 1)) or (((wait_ack_7_2 >= 1) and (T_out >= 1)) or (((wait_ack_6_2 >= 1) and (T_out >= 1)) or (((wait_ack_5_2 >= 1) and (T_out >= 1)) or (((wait_ack_4_2 >= 1) and (T_out >= 1)) or (((wait_ack_3_2 >= 1) and (T_out >= 1)) or (((wait_ack_1_2 >= 1) and (T_out >= 1)) or (((wait_ack_15_1 >= 1) and (T_out >= 1)) or (((wait_ack_14_1 >= 1) and (T_out >= 1)) or (((wait_ack_13_1 >= 1) and (T_out >= 1)) or (((wait_ack_12_1 >= 1) and (T_out >= 1)) or (((wait_ack_11_1 >= 1) and (T_out >= 1)) or (((wait_ack_10_1 >= 1) and (T_out >= 1)) or (((wait_ack_9_1 >= 1) and (T_out >= 1)) or (((wait_ack_8_1 >= 1) and (T_out >= 1)) or (((wait_ack_7_1 >= 1) and (T_out >= 1)) or (((wait_ack_6_1 >= 1) and (T_out >= 1)) or (((wait_ack_5_1 >= 1) and (T_out >= 1)) or (((wait_ack_4_1 >= 1) and (T_out >= 1)) or (((wait_ack_3_1 >= 1) and (T_out >= 1)) or ((wait_ack_2_1 >= 1) and (T_out >= 1))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))) or (((((wait_msg_15 >= 1) and (MSG_15 >= 1)) or (((wait_msg_14 >= 1) and (MSG_14 >= 1)) or (((wait_msg_13 >= 1) and (MSG_13 >= 1)) or (((wait_msg_12 >= 1) and (MSG_12 >= 1)) or (((wait_msg_11 >= 1) and (MSG_11 >= 1)) or (((wait_msg_10 >= 1) and (MSG_10 >= 1)) or (((wait_msg_9 >= 1) and (MSG_9 >= 1)) or (((wait_msg_8 >= 1) and (MSG_8 >= 1)) or (((wait_msg_7 >= 1) and (MSG_7 >= 1)) or (((wait_msg_6 >= 1) and (MSG_6 >= 1)) or (((wait_msg_5 >= 1) and (MSG_5 >= 1)) or (((wait_msg_4 >= 1) and (MSG_4 >= 1)) or (((wait_msg_3 >= 1) and (MSG_3 >= 1)) or (((wait_msg_2 >= 1) and (MSG_2 >= 1)) or ((wait_msg_1 >= 1) and (MSG_1 >= 1)))))))))))))))) or (((Cpt1_15 >= 1) and (listen_15 >= 1)) or (((Cpt1_15 >= 1) and (listen_14 >= 1)) or (((Cpt1_15 >= 1) and (listen_13 >= 1)) or (((Cpt1_15 >= 1) and (listen_12 >= 1)) or (((Cpt1_15 >= 1) and (listen_11 >= 1)) or (((Cpt1_15 >= 1) and (listen_10 >= 1)) or (((Cpt1_15 >= 1) and (listen_9 >= 1)) or (((Cpt1_15 >= 1) and (listen_8 >= 1)) or (((Cpt1_15 >= 1) and (listen_7 >= 1)) or (((Cpt1_15 >= 1) and (listen_6 >= 1)) or (((Cpt1_15 >= 1) and (listen_5 >= 1)) or (((Cpt1_15 >= 1) and (listen_4 >= 1)) or (((Cpt1_15 >= 1) and (listen_3 >= 1)) or (((Cpt1_15 >= 1) and (listen_2 >= 1)) or (((Cpt1_15 >= 1) and (listen_1 >= 1)) or (((Cpt1_14 >= 1) and (listen_15 >= 1)) or (((Cpt1_14 >= 1) and (listen_14 >= 1)) or (((Cpt1_14 >= 1) and (listen_13 >= 1)) or (((Cpt1_14 >= 1) and (listen_12 >= 1)) or (((Cpt1_14 >= 1) and (listen_11 >= 1)) or (((Cpt1_14 >= 1) and (listen_10 >= 1)) or (((Cpt1_14 >= 1) and (listen_9 >= 1)) or (((Cpt1_14 >= 1) and (listen_8 >= 1)) or (((Cpt1_14 >= 1) and (listen_7 >= 1)) or (((Cpt1_14 >= 1) and (listen_6 >= 1)) or (((Cpt1_14 >= 1) and (listen_5 >= 1)) or (((Cpt1_14 >= 1) and (listen_4 >= 1)) or (((Cpt1_14 >= 1) and (listen_3 >= 1)) or (((Cpt1_14 >= 1) and (listen_2 >= 1)) or (((Cpt1_14 >= 1) and (listen_1 >= 1)) or (((Cpt1_13 >= 1) and (listen_15 >= 1)) or (((Cpt1_13 >= 1) and (listen_14 >= 1)) or (((Cpt1_13 >= 1) and (listen_13 >= 1)) or (((Cpt1_13 >= 1) and (listen_12 >= 1)) or (((Cpt1_13 >= 1) and (listen_11 >= 1)) or (((Cpt1_13 >= 1) and (listen_10 >= 1)) or (((Cpt1_13 >= 1) and (listen_9 >= 1)) or (((Cpt1_13 >= 1) and (listen_8 >= 1)) or (((Cpt1_13 >= 1) and (listen_7 >= 1)) or (((Cpt1_13 >= 1) and (listen_6 >= 1)) or (((Cpt1_13 >= 1) and (listen_5 >= 1)) or (((Cpt1_13 >= 1) and (listen_4 >= 1)) or (((Cpt1_13 >= 1) and (listen_3 >= 1)) or (((Cpt1_13 >= 1) and (listen_2 >= 1)) or (((Cpt1_13 >= 1) and (listen_1 >= 1)) or (((Cpt1_12 >= 1) and (listen_15 >= 1)) or (((Cpt1_12 >= 1) and (listen_14 >= 1)) or (((Cpt1_12 >= 1) and (listen_13 >= 1)) or (((Cpt1_12 >= 1) and (listen_12 >= 1)) or (((Cpt1_12 >= 1) and (listen_11 >= 1)) or (((Cpt1_12 >= 1) and (listen_10 >= 1)) or (((Cpt1_12 >= 1) and (listen_9 >= 1)) or (((Cpt1_12 >= 1) and (listen_8 >= 1)) or (((Cpt1_12 >= 1) and (listen_7 >= 1)) or (((Cpt1_12 >= 1) and (listen_6 >= 1)) or (((Cpt1_12 >= 1) and (listen_5 >= 1)) or (((Cpt1_12 >= 1) and (listen_4 >= 1)) or (((Cpt1_12 >= 1) and (listen_3 >= 1)) or (((Cpt1_12 >= 1) and (listen_2 >= 1)) or (((Cpt1_12 >= 1) and (listen_1 >= 1)) or (((Cpt1_11 >= 1) and (listen_15 >= 1)) or (((Cpt1_11 >= 1) and (listen_14 >= 1)) or (((Cpt1_11 >= 1) and (listen_13 >= 1)) or (((Cpt1_11 >= 1) and (listen_12 >= 1)) or (((Cpt1_11 >= 1) and (listen_11 >= 1)) or (((Cpt1_11 >= 1) and (listen_10 >= 1)) or (((Cpt1_11 >= 1) and (listen_9 >= 1)) or (((Cpt1_11 >= 1) and (listen_8 >= 1)) or (((Cpt1_11 >= 1) and (listen_7 >= 1)) or (((Cpt1_11 >= 1) and (listen_6 >= 1)) or (((Cpt1_11 >= 1) and (listen_5 >= 1)) or (((Cpt1_11 >= 1) and (listen_4 >= 1)) or (((Cpt1_11 >= 1) and (listen_3 >= 1)) or (((Cpt1_11 >= 1) and (listen_2 >= 1)) or (((Cpt1_11 >= 1) and (listen_1 >= 1)) or (((Cpt1_10 >= 1) and (listen_15 >= 1)) or (((Cpt1_10 >= 1) and (listen_14 >= 1)) or (((Cpt1_10 >= 1) and (listen_13 >= 1)) or (((Cpt1_10 >= 1) and (listen_12 >= 1)) or (((Cpt1_10 >= 1) and (listen_11 >= 1)) or (((Cpt1_10 >= 1) and (listen_10 >= 1)) or (((Cpt1_10 >= 1) and (listen_9 >= 1)) or (((Cpt1_10 >= 1) and (listen_8 >= 1)) or (((Cpt1_10 >= 1) and (listen_7 >= 1)) or (((Cpt1_10 >= 1) and (listen_6 >= 1)) or (((Cpt1_10 >= 1) and (listen_5 >= 1)) or (((Cpt1_10 >= 1) and (listen_4 >= 1)) or (((Cpt1_10 >= 1) and (listen_3 >= 1)) or (((Cpt1_10 >= 1) and (listen_2 >= 1)) or (((Cpt1_10 >= 1) and (listen_1 >= 1)) or (((Cpt1_9 >= 1) and (listen_15 >= 1)) or (((Cpt1_9 >= 1) and (listen_14 >= 1)) or (((Cpt1_9 >= 1) and (listen_13 >= 1)) or (((Cpt1_9 >= 1) and (listen_12 >= 1)) or (((Cpt1_9 >= 1) and (listen_11 >= 1)) or (((Cpt1_9 >= 1) and (listen_10 >= 1)) or (((Cpt1_9 >= 1) and (listen_9 >= 1)) or (((Cpt1_9 >= 1) and (listen_8 >= 1)) or (((Cpt1_9 >= 1) and (listen_7 >= 1)) or (((Cpt1_9 >= 1) and (listen_6 >= 1)) or (((Cpt1_9 >= 1) and (listen_5 >= 1)) or (((Cpt1_9 >= 1) and (listen_4 >= 1)) or (((Cpt1_9 >= 1) and (listen_3 >= 1)) or (((Cpt1_9 >= 1) and (listen_2 >= 1)) or (((Cpt1_9 >= 1) and (listen_1 >= 1)) or (((Cpt1_8 >= 1) and (listen_15 >= 1)) or (((Cpt1_8 >= 1) and (listen_14 >= 1)) or (((Cpt1_8 >= 1) and (listen_13 >= 1)) or (((Cpt1_8 >= 1) and (listen_12 >= 1)) or (((Cpt1_8 >= 1) and (listen_11 >= 1)) or (((Cpt1_8 >= 1) and (listen_10 >= 1)) or (((Cpt1_8 >= 1) and (listen_9 >= 1)) or (((Cpt1_8 >= 1) and (listen_8 >= 1)) or (((Cpt1_8 >= 1) and (listen_7 >= 1)) or (((Cpt1_8 >= 1) and (listen_6 >= 1)) or (((Cpt1_8 >= 1) and (listen_5 >= 1)) or (((Cpt1_8 >= 1) and (listen_4 >= 1)) or (((Cpt1_8 >= 1) and (listen_3 >= 1)) or (((Cpt1_8 >= 1) and (listen_2 >= 1)) or (((Cpt1_8 >= 1) and (listen_1 >= 1)) or (((Cpt1_7 >= 1) and (listen_15 >= 1)) or (((Cpt1_7 >= 1) and (listen_14 >= 1)) or (((Cpt1_7 >= 1) and (listen_13 >= 1)) or (((Cpt1_7 >= 1) and (listen_12 >= 1)) or (((Cpt1_7 >= 1) and (listen_11 >= 1)) or (((Cpt1_7 >= 1) and (listen_10 >= 1)) or (((Cpt1_7 >= 1) and (listen_9 >= 1)) or (((Cpt1_7 >= 1) and (listen_8 >= 1)) or (((Cpt1_7 >= 1) and (listen_7 >= 1)) or (((Cpt1_7 >= 1) and (listen_6 >= 1)) or (((Cpt1_7 >= 1) and (listen_5 >= 1)) or (((Cpt1_7 >= 1) and (listen_4 >= 1)) or (((Cpt1_7 >= 1) and (listen_3 >= 1)) or (((Cpt1_7 >= 1) and (listen_2 >= 1)) or (((Cpt1_7 >= 1) and (listen_1 >= 1)) or (((Cpt1_6 >= 1) and (listen_15 >= 1)) or (((Cpt1_6 >= 1) and (listen_14 >= 1)) or (((Cpt1_6 >= 1) and (listen_13 >= 1)) or (((Cpt1_6 >= 1) and (listen_12 >= 1)) or (((Cpt1_6 >= 1) and (listen_11 >= 1)) or (((Cpt1_6 >= 1) and (listen_10 >= 1)) or (((Cpt1_6 >= 1) and (listen_9 >= 1)) or (((Cpt1_6 >= 1) and (listen_8 >= 1)) or (((Cpt1_6 >= 1) and (listen_7 >= 1)) or (((Cpt1_6 >= 1) and (listen_6 >= 1)) or (((Cpt1_6 >= 1) and (listen_5 >= 1)) or (((Cpt1_6 >= 1) and (listen_4 >= 1)) or (((Cpt1_6 >= 1) and (listen_3 >= 1)) or (((Cpt1_6 >= 1) and (listen_2 >= 1)) or (((Cpt1_6 >= 1) and (listen_1 >= 1)) or (((Cpt1_5 >= 1) and (listen_15 >= 1)) or (((Cpt1_5 >= 1) and (listen_14 >= 1)) or (((Cpt1_5 >= 1) and (listen_13 >= 1)) or (((Cpt1_5 >= 1) and (listen_12 >= 1)) or (((Cpt1_5 >= 1) and (listen_11 >= 1)) or (((Cpt1_5 >= 1) and (listen_10 >= 1)) or (((Cpt1_5 >= 1) and (listen_9 >= 1)) or (((Cpt1_5 >= 1) and (listen_8 >= 1)) or (((Cpt1_5 >= 1) and (listen_7 >= 1)) or (((Cpt1_5 >= 1) and (listen_6 >= 1)) or (((Cpt1_5 >= 1) and (listen_5 >= 1)) or (((Cpt1_5 >= 1) and (listen_4 >= 1)) or (((Cpt1_5 >= 1) and (listen_3 >= 1)) or (((Cpt1_5 >= 1) and (listen_2 >= 1)) or (((Cpt1_5 >= 1) and (listen_1 >= 1)) or (((Cpt1_4 >= 1) and (listen_15 >= 1)) or (((Cpt1_4 >= 1) and (listen_14 >= 1)) or (((Cpt1_4 >= 1) and (listen_13 >= 1)) or (((Cpt1_4 >= 1) and (listen_12 >= 1)) or (((Cpt1_4 >= 1) and (listen_11 >= 1)) or (((Cpt1_4 >= 1) and (listen_10 >= 1)) or (((Cpt1_4 >= 1) and (listen_9 >= 1)) or (((Cpt1_4 >= 1) and (listen_8 >= 1)) or (((Cpt1_4 >= 1) and (listen_7 >= 1)) or (((Cpt1_4 >= 1) and (listen_6 >= 1)) or (((Cpt1_4 >= 1) and (listen_5 >= 1)) or (((Cpt1_4 >= 1) and (listen_4 >= 1)) or (((Cpt1_4 >= 1) and (listen_3 >= 1)) or (((Cpt1_4 >= 1) and (listen_2 >= 1)) or (((Cpt1_4 >= 1) and (listen_1 >= 1)) or (((Cpt1_3 >= 1) and (listen_15 >= 1)) or (((Cpt1_3 >= 1) and (listen_14 >= 1)) or (((Cpt1_3 >= 1) and (listen_13 >= 1)) or (((Cpt1_3 >= 1) and (listen_12 >= 1)) or (((Cpt1_3 >= 1) and (listen_11 >= 1)) or (((Cpt1_3 >= 1) and (listen_10 >= 1)) or (((Cpt1_3 >= 1) and (listen_9 >= 1)) or (((Cpt1_3 >= 1) and (listen_8 >= 1)) or (((Cpt1_3 >= 1) and (listen_7 >= 1)) or (((Cpt1_3 >= 1) and (listen_6 >= 1)) or (((Cpt1_3 >= 1) and (listen_5 >= 1)) or (((Cpt1_3 >= 1) and (listen_4 >= 1)) or (((Cpt1_3 >= 1) and (listen_3 >= 1)) or (((Cpt1_3 >= 1) and (listen_2 >= 1)) or (((Cpt1_3 >= 1) and (listen_1 >= 1)) or (((Cpt1_2 >= 1) and (listen_15 >= 1)) or (((Cpt1_2 >= 1) and (listen_14 >= 1)) or (((Cpt1_2 >= 1) and (listen_13 >= 1)) or (((Cpt1_2 >= 1) and (listen_12 >= 1)) or (((Cpt1_2 >= 1) and (listen_11 >= 1)) or (((Cpt1_2 >= 1) and (listen_10 >= 1)) or (((Cpt1_2 >= 1) and (listen_9 >= 1)) or (((Cpt1_2 >= 1) and (listen_8 >= 1)) or (((Cpt1_2 >= 1) and (listen_7 >= 1)) or (((Cpt1_2 >= 1) and (listen_6 >= 1)) or (((Cpt1_2 >= 1) and (listen_5 >= 1)) or (((Cpt1_2 >= 1) and (listen_4 >= 1)) or (((Cpt1_2 >= 1) and (listen_3 >= 1)) or (((Cpt1_2 >= 1) and (listen_2 >= 1)) or (((Cpt1_2 >= 1) and (listen_1 >= 1)) or (((Cpt1_1 >= 1) and (listen_15 >= 1)) or (((Cpt1_1 >= 1) and (listen_14 >= 1)) or (((Cpt1_1 >= 1) and (listen_13 >= 1)) or (((Cpt1_1 >= 1) and (listen_12 >= 1)) or (((Cpt1_1 >= 1) and (listen_11 >= 1)) or (((Cpt1_1 >= 1) and (listen_10 >= 1)) or (((Cpt1_1 >= 1) and (listen_9 >= 1)) or (((Cpt1_1 >= 1) and (listen_8 >= 1)) or (((Cpt1_1 >= 1) and (listen_7 >= 1)) or (((Cpt1_1 >= 1) and (listen_6 >= 1)) or (((Cpt1_1 >= 1) and (listen_5 >= 1)) or (((Cpt1_1 >= 1) and (listen_4 >= 1)) or (((Cpt1_1 >= 1) and (listen_3 >= 1)) or (((Cpt1_1 >= 1) and (listen_2 >= 1)) or ((Cpt1_1 >= 1) and (listen_1 >= 1))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))) and ((((wait_cable_14 >= 1) and ((msgl_15 >= 1) and (PMC_14 >= 1))) or (((wait_cable_13 >= 1) and ((msgl_15 >= 1) and (PMC_13 >= 1))) or (((wait_cable_12 >= 1) and ((msgl_15 >= 1) and (PMC_12 >= 1))) or (((wait_cable_11 >= 1) and ((msgl_15 >= 1) and (PMC_11 >= 1))) or (((wait_cable_10 >= 1) and ((msgl_15 >= 1) and (PMC_10 >= 1))) or (((wait_cable_9 >= 1) and ((msgl_15 >= 1) and (PMC_9 >= 1))) or (((wait_cable_8 >= 1) and ((msgl_15 >= 1) and (PMC_8 >= 1))) or (((wait_cable_7 >= 1) and ((msgl_15 >= 1) and (PMC_7 >= 1))) or (((wait_cable_6 >= 1) and ((msgl_15 >= 1) and (PMC_6 >= 1))) or (((wait_cable_5 >= 1) and ((msgl_15 >= 1) and (PMC_5 >= 1))) or (((wait_cable_4 >= 1) and ((msgl_15 >= 1) and (PMC_4 >= 1))) or (((wait_cable_3 >= 1) and ((msgl_15 >= 1) and (PMC_3 >= 1))) or (((wait_cable_2 >= 1) and ((msgl_15 >= 1) and (PMC_2 >= 1))) or (((wait_cable_1 >= 1) and ((msgl_15 >= 1) and (PMC_1 >= 1))) or (((wait_cable_15 >= 1) and ((msgl_14 >= 1) and (PMC_15 >= 1))) or (((wait_cable_13 >= 1) and ((msgl_14 >= 1) and (PMC_13 >= 1))) or (((wait_cable_12 >= 1) and ((msgl_14 >= 1) and (PMC_12 >= 1))) or (((wait_cable_11 >= 1) and ((msgl_14 >= 1) and (PMC_11 >= 1))) or (((wait_cable_10 >= 1) and ((msgl_14 >= 1) and (PMC_10 >= 1))) or (((wait_cable_9 >= 1) and ((msgl_14 >= 1) and (PMC_9 >= 1))) or (((wait_cable_8 >= 1) and ((msgl_14 >= 1) and (PMC_8 >= 1))) or (((wait_cable_7 >= 1) and ((msgl_14 >= 1) and (PMC_7 >= 1))) or (((wait_cable_6 >= 1) and ((msgl_14 >= 1) and (PMC_6 >= 1))) or (((wait_cable_5 >= 1) and ((msgl_14 >= 1) and (PMC_5 >= 1))) or (((wait_cable_4 >= 1) and ((msgl_14 >= 1) and (PMC_4 >= 1))) or (((wait_cable_3 >= 1) and ((msgl_14 >= 1) and (PMC_3 >= 1))) or (((wait_cable_2 >= 1) and ((msgl_14 >= 1) and (PMC_2 >= 1))) or (((wait_cable_1 >= 1) and ((msgl_14 >= 1) and (PMC_1 >= 1))) or (((wait_cable_15 >= 1) and ((msgl_13 >= 1) and (PMC_15 >= 1))) or (((wait_cable_14 >= 1) and ((msgl_13 >= 1) and (PMC_14 >= 1))) or (((wait_cable_12 >= 1) and ((msgl_13 >= 1) and (PMC_12 >= 1))) or (((wait_cable_11 >= 1) and ((msgl_13 >= 1) and (PMC_11 >= 1))) or (((wait_cable_10 >= 1) and ((msgl_13 >= 1) and (PMC_10 >= 1))) or (((wait_cable_9 >= 1) and ((msgl_13 >= 1) and (PMC_9 >= 1))) or (((wait_cable_8 >= 1) and ((msgl_13 >= 1) and (PMC_8 >= 1))) or (((wait_cable_7 >= 1) and ((msgl_13 >= 1) and (PMC_7 >= 1))) or (((wait_cable_6 >= 1) and ((msgl_13 >= 1) and (PMC_6 >= 1))) or (((wait_cable_5 >= 1) and ((msgl_13 >= 1) and (PMC_5 >= 1))) or (((wait_cable_4 >= 1) and ((msgl_13 >= 1) and (PMC_4 >= 1))) or (((wait_cable_3 >= 1) and ((msgl_13 >= 1) and (PMC_3 >= 1))) or (((wait_cable_2 >= 1) and ((msgl_13 >= 1) and (PMC_2 >= 1))) or (((wait_cable_1 >= 1) and ((msgl_13 >= 1) and (PMC_1 >= 1))) or (((wait_cable_15 >= 1) and ((msgl_12 >= 1) and (PMC_15 >= 1))) or (((wait_cable_14 >= 1) and ((msgl_12 >= 1) and (PMC_14 >= 1))) or (((wait_cable_13 >= 1) and ((msgl_12 >= 1) and (PMC_13 >= 1))) or (((wait_cable_11 >= 1) and ((msgl_12 >= 1) and (PMC_11 >= 1))) or (((wait_cable_10 >= 1) and ((msgl_12 >= 1) and (PMC_10 >= 1))) or (((wait_cable_9 >= 1) and ((msgl_12 >= 1) and (PMC_9 >= 1))) or (((wait_cable_8 >= 1) and ((msgl_12 >= 1) and (PMC_8 >= 1))) or (((wait_cable_7 >= 1) and ((msgl_12 >= 1) and (PMC_7 >= 1))) or (((wait_cable_6 >= 1) and ((msgl_12 >= 1) and (PMC_6 >= 1))) or (((wait_cable_5 >= 1) and ((msgl_12 >= 1) and (PMC_5 >= 1))) or (((wait_cable_4 >= 1) and ((msgl_12 >= 1) and (PMC_4 >= 1))) or (((wait_cable_3 >= 1) and ((msgl_12 >= 1) and (PMC_3 >= 1))) or (((wait_cable_2 >= 1) and ((msgl_12 >= 1) and (PMC_2 >= 1))) or (((wait_cable_1 >= 1) and ((msgl_12 >= 1) and (PMC_1 >= 1))) or (((wait_cable_15 >= 1) and ((msgl_11 >= 1) and (PMC_15 >= 1))) or (((wait_cable_14 >= 1) and ((msgl_11 >= 1) and (PMC_14 >= 1))) or (((wait_cable_13 >= 1) and ((msgl_11 >= 1) and (PMC_13 >= 1))) or (((wait_cable_12 >= 1) and ((msgl_11 >= 1) and (PMC_12 >= 1))) or (((wait_cable_10 >= 1) and ((msgl_11 >= 1) and (PMC_10 >= 1))) or (((wait_cable_9 >= 1) and ((msgl_11 >= 1) and (PMC_9 >= 1))) or (((wait_cable_8 >= 1) and ((msgl_11 >= 1) and (PMC_8 >= 1))) or (((wait_cable_7 >= 1) and ((msgl_11 >= 1) and (PMC_7 >= 1))) or (((wait_cable_6 >= 1) and ((msgl_11 >= 1) and (PMC_6 >= 1))) or (((wait_cable_5 >= 1) and ((msgl_11 >= 1) and (PMC_5 >= 1))) or (((wait_cable_4 >= 1) and ((msgl_11 >= 1) and (PMC_4 >= 1))) or (((wait_cable_3 >= 1) and ((msgl_11 >= 1) and (PMC_3 >= 1))) or (((wait_cable_2 >= 1) and ((msgl_11 >= 1) and (PMC_2 >= 1))) or (((wait_cable_1 >= 1) and ((msgl_11 >= 1) and (PMC_1 >= 1))) or (((wait_cable_15 >= 1) and ((msgl_10 >= 1) and (PMC_15 >= 1))) or (((wait_cable_14 >= 1) and ((msgl_10 >= 1) and (PMC_14 >= 1))) or (((wait_cable_13 >= 1) and ((msgl_10 >= 1) and (PMC_13 >= 1))) or (((wait_cable_12 >= 1) and ((msgl_10 >= 1) and (PMC_12 >= 1))) or (((wait_cable_11 >= 1) and ((msgl_10 >= 1) and (PMC_11 >= 1))) or (((wait_cable_9 >= 1) and ((msgl_10 >= 1) and (PMC_9 >= 1))) or (((wait_cable_8 >= 1) and ((msgl_10 >= 1) and (PMC_8 >= 1))) or (((wait_cable_7 >= 1) and ((msgl_10 >= 1) and (PMC_7 >= 1))) or (((wait_cable_6 >= 1) and ((msgl_10 >= 1) and (PMC_6 >= 1))) or (((wait_cable_5 >= 1) and ((msgl_10 >= 1) and (PMC_5 >= 1))) or (((wait_cable_4 >= 1) and ((msgl_10 >= 1) and (PMC_4 >= 1))) or (((wait_cable_3 >= 1) and ((msgl_10 >= 1) and (PMC_3 >= 1))) or (((wait_cable_2 >= 1) and ((msgl_10 >= 1) and (PMC_2 >= 1))) or (((wait_cable_1 >= 1) and ((msgl_10 >= 1) and (PMC_1 >= 1))) or (((wait_cable_15 >= 1) and ((msgl_9 >= 1) and (PMC_15 >= 1))) or (((wait_cable_14 >= 1) and ((msgl_9 >= 1) and (PMC_14 >= 1))) or (((wait_cable_13 >= 1) and ((msgl_9 >= 1) and (PMC_13 >= 1))) or (((wait_cable_12 >= 1) and ((msgl_9 >= 1) and (PMC_12 >= 1))) or (((wait_cable_11 >= 1) and ((msgl_9 >= 1) and (PMC_11 >= 1))) or (((wait_cable_10 >= 1) and ((msgl_9 >= 1) and (PMC_10 >= 1))) or (((wait_cable_8 >= 1) and ((msgl_9 >= 1) and (PMC_8 >= 1))) or (((wait_cable_7 >= 1) and ((msgl_9 >= 1) and (PMC_7 >= 1))) or (((wait_cable_6 >= 1) and ((msgl_9 >= 1) and (PMC_6 >= 1))) or (((wait_cable_5 >= 1) and ((msgl_9 >= 1) and (PMC_5 >= 1))) or (((wait_cable_4 >= 1) and ((msgl_9 >= 1) and (PMC_4 >= 1))) or (((wait_cable_3 >= 1) and ((msgl_9 >= 1) and (PMC_3 >= 1))) or (((wait_cable_2 >= 1) and ((msgl_9 >= 1) and (PMC_2 >= 1))) or (((wait_cable_1 >= 1) and ((msgl_9 >= 1) and (PMC_1 >= 1))) or (((wait_cable_15 >= 1) and ((msgl_8 >= 1) and (PMC_15 >= 1))) or (((wait_cable_14 >= 1) and ((msgl_8 >= 1) and (PMC_14 >= 1))) or (((wait_cable_13 >= 1) and ((msgl_8 >= 1) and (PMC_13 >= 1))) or (((wait_cable_12 >= 1) and ((msgl_8 >= 1) and (PMC_12 >= 1))) or (((wait_cable_11 >= 1) and ((msgl_8 >= 1) and (PMC_11 >= 1))) or (((wait_cable_10 >= 1) and ((msgl_8 >= 1) and (PMC_10 >= 1))) or (((wait_cable_9 >= 1) and ((msgl_8 >= 1) and (PMC_9 >= 1))) or (((wait_cable_7 >= 1) and ((msgl_8 >= 1) and (PMC_7 >= 1))) or (((wait_cable_6 >= 1) and ((msgl_8 >= 1) and (PMC_6 >= 1))) or (((wait_cable_5 >= 1) and ((msgl_8 >= 1) and (PMC_5 >= 1))) or (((wait_cable_4 >= 1) and ((msgl_8 >= 1) and (PMC_4 >= 1))) or (((wait_cable_3 >= 1) and ((msgl_8 >= 1) and (PMC_3 >= 1))) or (((wait_cable_2 >= 1) and ((msgl_8 >= 1) and (PMC_2 >= 1))) or (((wait_cable_1 >= 1) and ((msgl_8 >= 1) and (PMC_1 >= 1))) or (((wait_cable_15 >= 1) and ((msgl_7 >= 1) and (PMC_15 >= 1))) or (((wait_cable_14 >= 1) and ((msgl_7 >= 1) and (PMC_14 >= 1))) or (((wait_cable_13 >= 1) and ((msgl_7 >= 1) and (PMC_13 >= 1))) or (((wait_cable_12 >= 1) and ((msgl_7 >= 1) and (PMC_12 >= 1))) or (((wait_cable_11 >= 1) and ((msgl_7 >= 1) and (PMC_11 >= 1))) or (((wait_cable_10 >= 1) and ((msgl_7 >= 1) and (PMC_10 >= 1))) or (((wait_cable_9 >= 1) and ((msgl_7 >= 1) and (PMC_9 >= 1))) or (((wait_cable_8 >= 1) and ((msgl_7 >= 1) and (PMC_8 >= 1))) or (((wait_cable_6 >= 1) and ((msgl_7 >= 1) and (PMC_6 >= 1))) or (((wait_cable_5 >= 1) and ((msgl_7 >= 1) and (PMC_5 >= 1))) or (((wait_cable_4 >= 1) and ((msgl_7 >= 1) and (PMC_4 >= 1))) or (((wait_cable_3 >= 1) and ((msgl_7 >= 1) and (PMC_3 >= 1))) or (((wait_cable_2 >= 1) and ((msgl_7 >= 1) and (PMC_2 >= 1))) or (((wait_cable_1 >= 1) and ((msgl_7 >= 1) and (PMC_1 >= 1))) or (((wait_cable_15 >= 1) and ((msgl_6 >= 1) and (PMC_15 >= 1))) or (((wait_cable_14 >= 1) and ((msgl_6 >= 1) and (PMC_14 >= 1))) or (((wait_cable_13 >= 1) and ((msgl_6 >= 1) and (PMC_13 >= 1))) or (((wait_cable_12 >= 1) and ((msgl_6 >= 1) and (PMC_12 >= 1))) or (((wait_cable_11 >= 1) and ((msgl_6 >= 1) and (PMC_11 >= 1))) or (((wait_cable_10 >= 1) and ((msgl_6 >= 1) and (PMC_10 >= 1))) or (((wait_cable_9 >= 1) and ((msgl_6 >= 1) and (PMC_9 >= 1))) or (((wait_cable_8 >= 1) and ((msgl_6 >= 1) and (PMC_8 >= 1))) or (((wait_cable_7 >= 1) and ((msgl_6 >= 1) and (PMC_7 >= 1))) or (((wait_cable_5 >= 1) and ((msgl_6 >= 1) and (PMC_5 >= 1))) or (((wait_cable_4 >= 1) and ((msgl_6 >= 1) and (PMC_4 >= 1))) or (((wait_cable_3 >= 1) and ((msgl_6 >= 1) and (PMC_3 >= 1))) or (((wait_cable_2 >= 1) and ((msgl_6 >= 1) and (PMC_2 >= 1))) or (((wait_cable_1 >= 1) and ((msgl_6 >= 1) and (PMC_1 >= 1))) or (((wait_cable_15 >= 1) and ((msgl_5 >= 1) and (PMC_15 >= 1))) or (((wait_cable_14 >= 1) and ((msgl_5 >= 1) and (PMC_14 >= 1))) or (((wait_cable_13 >= 1) and ((msgl_5 >= 1) and (PMC_13 >= 1))) or (((wait_cable_12 >= 1) and ((msgl_5 >= 1) and (PMC_12 >= 1))) or (((wait_cable_11 >= 1) and ((msgl_5 >= 1) and (PMC_11 >= 1))) or (((wait_cable_10 >= 1) and ((msgl_5 >= 1) and (PMC_10 >= 1))) or (((wait_cable_9 >= 1) and ((msgl_5 >= 1) and (PMC_9 >= 1))) or (((wait_cable_8 >= 1) and ((msgl_5 >= 1) and (PMC_8 >= 1))) or (((wait_cable_7 >= 1) and ((msgl_5 >= 1) and (PMC_7 >= 1))) or (((wait_cable_6 >= 1) and ((msgl_5 >= 1) and (PMC_6 >= 1))) or (((wait_cable_4 >= 1) and ((msgl_5 >= 1) and (PMC_4 >= 1))) or (((wait_cable_3 >= 1) and ((msgl_5 >= 1) and (PMC_3 >= 1))) or (((wait_cable_2 >= 1) and ((msgl_5 >= 1) and (PMC_2 >= 1))) or (((wait_cable_1 >= 1) and ((msgl_5 >= 1) and (PMC_1 >= 1))) or (((wait_cable_15 >= 1) and ((msgl_4 >= 1) and (PMC_15 >= 1))) or (((wait_cable_14 >= 1) and ((msgl_4 >= 1) and (PMC_14 >= 1))) or (((wait_cable_13 >= 1) and ((msgl_4 >= 1) and (PMC_13 >= 1))) or (((wait_cable_12 >= 1) and ((msgl_4 >= 1) and (PMC_12 >= 1))) or (((wait_cable_11 >= 1) and ((msgl_4 >= 1) and (PMC_11 >= 1))) or (((wait_cable_10 >= 1) and ((msgl_4 >= 1) and (PMC_10 >= 1))) or (((wait_cable_9 >= 1) and ((msgl_4 >= 1) and (PMC_9 >= 1))) or (((wait_cable_8 >= 1) and ((msgl_4 >= 1) and (PMC_8 >= 1))) or (((wait_cable_7 >= 1) and ((msgl_4 >= 1) and (PMC_7 >= 1))) or (((wait_cable_6 >= 1) and ((msgl_4 >= 1) and (PMC_6 >= 1))) or (((wait_cable_5 >= 1) and ((msgl_4 >= 1) and (PMC_5 >= 1))) or (((wait_cable_3 >= 1) and ((msgl_4 >= 1) and (PMC_3 >= 1))) or (((wait_cable_2 >= 1) and ((msgl_4 >= 1) and (PMC_2 >= 1))) or (((wait_cable_1 >= 1) and ((msgl_4 >= 1) and (PMC_1 >= 1))) or (((wait_cable_15 >= 1) and ((msgl_3 >= 1) and (PMC_15 >= 1))) or (((wait_cable_14 >= 1) and ((msgl_3 >= 1) and (PMC_14 >= 1))) or (((wait_cable_13 >= 1) and ((msgl_3 >= 1) and (PMC_13 >= 1))) or (((wait_cable_12 >= 1) and ((msgl_3 >= 1) and (PMC_12 >= 1))) or (((wait_cable_11 >= 1) and ((msgl_3 >= 1) and (PMC_11 >= 1))) or (((wait_cable_10 >= 1) and ((msgl_3 >= 1) and (PMC_10 >= 1))) or (((wait_cable_9 >= 1) and ((msgl_3 >= 1) and (PMC_9 >= 1))) or (((wait_cable_8 >= 1) and ((msgl_3 >= 1) and (PMC_8 >= 1))) or (((wait_cable_7 >= 1) and ((msgl_3 >= 1) and (PMC_7 >= 1))) or (((wait_cable_6 >= 1) and ((msgl_3 >= 1) and (PMC_6 >= 1))) or (((wait_cable_5 >= 1) and ((msgl_3 >= 1) and (PMC_5 >= 1))) or (((wait_cable_4 >= 1) and ((msgl_3 >= 1) and (PMC_4 >= 1))) or (((wait_cable_2 >= 1) and ((msgl_3 >= 1) and (PMC_2 >= 1))) or (((wait_cable_1 >= 1) and ((msgl_3 >= 1) and (PMC_1 >= 1))) or (((wait_cable_15 >= 1) and ((msgl_2 >= 1) and (PMC_15 >= 1))) or (((wait_cable_14 >= 1) and ((msgl_2 >= 1) and (PMC_14 >= 1))) or (((wait_cable_13 >= 1) and ((msgl_2 >= 1) and (PMC_13 >= 1))) or (((wait_cable_12 >= 1) and ((msgl_2 >= 1) and (PMC_12 >= 1))) or (((wait_cable_11 >= 1) and ((msgl_2 >= 1) and (PMC_11 >= 1))) or (((wait_cable_10 >= 1) and ((msgl_2 >= 1) and (PMC_10 >= 1))) or (((wait_cable_9 >= 1) and ((msgl_2 >= 1) and (PMC_9 >= 1))) or (((wait_cable_8 >= 1) and ((msgl_2 >= 1) and (PMC_8 >= 1))) or (((wait_cable_7 >= 1) and ((msgl_2 >= 1) and (PMC_7 >= 1))) or (((wait_cable_6 >= 1) and ((msgl_2 >= 1) and (PMC_6 >= 1))) or (((wait_cable_5 >= 1) and ((msgl_2 >= 1) and (PMC_5 >= 1))) or (((wait_cable_4 >= 1) and ((msgl_2 >= 1) and (PMC_4 >= 1))) or (((wait_cable_3 >= 1) and ((msgl_2 >= 1) and (PMC_3 >= 1))) or (((wait_cable_1 >= 1) and ((msgl_2 >= 1) and (PMC_1 >= 1))) or (((wait_cable_15 >= 1) and ((msgl_1 >= 1) and (PMC_15 >= 1))) or (((wait_cable_14 >= 1) and ((msgl_1 >= 1) and (PMC_14 >= 1))) or (((wait_cable_13 >= 1) and ((msgl_1 >= 1) and (PMC_13 >= 1))) or (((wait_cable_12 >= 1) and ((msgl_1 >= 1) and (PMC_12 >= 1))) or (((wait_cable_11 >= 1) and ((msgl_1 >= 1) and (PMC_11 >= 1))) or (((wait_cable_10 >= 1) and ((msgl_1 >= 1) and (PMC_10 >= 1))) or (((wait_cable_9 >= 1) and ((msgl_1 >= 1) and (PMC_9 >= 1))) or (((wait_cable_8 >= 1) and ((msgl_1 >= 1) and (PMC_8 >= 1))) or (((wait_cable_7 >= 1) and ((msgl_1 >= 1) and (PMC_7 >= 1))) or (((wait_cable_6 >= 1) and ((msgl_1 >= 1) and (PMC_6 >= 1))) or (((wait_cable_5 >= 1) and ((msgl_1 >= 1) and (PMC_5 >= 1))) or (((wait_cable_4 >= 1) and ((msgl_1 >= 1) and (PMC_4 >= 1))) or (((wait_cable_3 >= 1) and ((msgl_1 >= 1) and (PMC_3 >= 1))) or ((wait_cable_2 >= 1) and ((msgl_1 >= 1) and (PMC_2 >= 1)))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))) or (((wait_ack_14_15 >= 1) and (T_out >= 1)) or (((wait_ack_13_15 >= 1) and (T_out >= 1)) or (((wait_ack_12_15 >= 1) and (T_out >= 1)) or (((wait_ack_11_15 >= 1) and (T_out >= 1)) or (((wait_ack_10_15 >= 1) and (T_out >= 1)) or (((wait_ack_9_15 >= 1) and (T_out >= 1)) or (((wait_ack_8_15 >= 1) and (T_out >= 1)) or (((wait_ack_7_15 >= 1) and (T_out >= 1)) or (((wait_ack_6_15 >= 1) and (T_out >= 1)) or (((wait_ack_5_15 >= 1) and (T_out >= 1)) or (((wait_ack_4_15 >= 1) and (T_out >= 1)) or (((wait_ack_3_15 >= 1) and (T_out >= 1)) or (((wait_ack_2_15 >= 1) and (T_out >= 1)) or (((wait_ack_1_15 >= 1) and (T_out >= 1)) or (((wait_ack_15_14 >= 1) and (T_out >= 1)) or (((wait_ack_13_14 >= 1) and (T_out >= 1)) or (((wait_ack_12_14 >= 1) and (T_out >= 1)) or (((wait_ack_11_14 >= 1) and (T_out >= 1)) or (((wait_ack_10_14 >= 1) and (T_out >= 1)) or (((wait_ack_9_14 >= 1) and (T_out >= 1)) or (((wait_ack_8_14 >= 1) and (T_out >= 1)) or (((wait_ack_7_14 >= 1) and (T_out >= 1)) or (((wait_ack_6_14 >= 1) and (T_out >= 1)) or (((wait_ack_5_14 >= 1) and (T_out >= 1)) or (((wait_ack_4_14 >= 1) and (T_out >= 1)) or (((wait_ack_3_14 >= 1) and (T_out >= 1)) or (((wait_ack_2_14 >= 1) and (T_out >= 1)) or (((wait_ack_1_14 >= 1) and (T_out >= 1)) or (((wait_ack_15_13 >= 1) and (T_out >= 1)) or (((wait_ack_14_13 >= 1) and (T_out >= 1)) or (((wait_ack_12_13 >= 1) and (T_out >= 1)) or (((wait_ack_11_13 >= 1) and (T_out >= 1)) or (((wait_ack_10_13 >= 1) and (T_out >= 1)) or (((wait_ack_9_13 >= 1) and (T_out >= 1)) or (((wait_ack_8_13 >= 1) and (T_out >= 1)) or (((wait_ack_7_13 >= 1) and (T_out >= 1)) or (((wait_ack_6_13 >= 1) and (T_out >= 1)) or (((wait_ack_5_13 >= 1) and (T_out >= 1)) or (((wait_ack_4_13 >= 1) and (T_out >= 1)) or (((wait_ack_3_13 >= 1) and (T_out >= 1)) or (((wait_ack_2_13 >= 1) and (T_out >= 1)) or (((wait_ack_1_13 >= 1) and (T_out >= 1)) or (((wait_ack_15_12 >= 1) and (T_out >= 1)) or (((wait_ack_14_12 >= 1) and (T_out >= 1)) or (((wait_ack_13_12 >= 1) and (T_out >= 1)) or (((wait_ack_11_12 >= 1) and (T_out >= 1)) or (((wait_ack_10_12 >= 1) and (T_out >= 1)) or (((wait_ack_9_12 >= 1) and (T_out >= 1)) or (((wait_ack_8_12 >= 1) and (T_out >= 1)) or (((wait_ack_7_12 >= 1) and (T_out >= 1)) or (((wait_ack_6_12 >= 1) and (T_out >= 1)) or (((wait_ack_5_12 >= 1) and (T_out >= 1)) or (((wait_ack_4_12 >= 1) and (T_out >= 1)) or (((wait_ack_3_12 >= 1) and (T_out >= 1)) or (((wait_ack_2_12 >= 1) and (T_out >= 1)) or (((wait_ack_1_12 >= 1) and (T_out >= 1)) or (((wait_ack_15_11 >= 1) and (T_out >= 1)) or (((wait_ack_14_11 >= 1) and (T_out >= 1)) or (((wait_ack_13_11 >= 1) and (T_out >= 1)) or (((wait_ack_12_11 >= 1) and (T_out >= 1)) or (((wait_ack_10_11 >= 1) and (T_out >= 1)) or (((wait_ack_9_11 >= 1) and (T_out >= 1)) or (((wait_ack_8_11 >= 1) and (T_out >= 1)) or (((wait_ack_7_11 >= 1) and (T_out >= 1)) or (((wait_ack_6_11 >= 1) and (T_out >= 1)) or (((wait_ack_5_11 >= 1) and (T_out >= 1)) or (((wait_ack_4_11 >= 1) and (T_out >= 1)) or (((wait_ack_3_11 >= 1) and (T_out >= 1)) or (((wait_ack_2_11 >= 1) and (T_out >= 1)) or (((wait_ack_1_11 >= 1) and (T_out >= 1)) or (((wait_ack_15_10 >= 1) and (T_out >= 1)) or (((wait_ack_14_10 >= 1) and (T_out >= 1)) or (((wait_ack_13_10 >= 1) and (T_out >= 1)) or (((wait_ack_12_10 >= 1) and (T_out >= 1)) or (((wait_ack_11_10 >= 1) and (T_out >= 1)) or (((wait_ack_9_10 >= 1) and (T_out >= 1)) or (((wait_ack_8_10 >= 1) and (T_out >= 1)) or (((wait_ack_7_10 >= 1) and (T_out >= 1)) or (((wait_ack_6_10 >= 1) and (T_out >= 1)) or (((wait_ack_5_10 >= 1) and (T_out >= 1)) or (((wait_ack_4_10 >= 1) and (T_out >= 1)) or (((wait_ack_3_10 >= 1) and (T_out >= 1)) or (((wait_ack_2_10 >= 1) and (T_out >= 1)) or (((wait_ack_1_10 >= 1) and (T_out >= 1)) or (((wait_ack_15_9 >= 1) and (T_out >= 1)) or (((wait_ack_14_9 >= 1) and (T_out >= 1)) or (((wait_ack_13_9 >= 1) and (T_out >= 1)) or (((wait_ack_12_9 >= 1) and (T_out >= 1)) or (((wait_ack_11_9 >= 1) and (T_out >= 1)) or (((wait_ack_10_9 >= 1) and (T_out >= 1)) or (((wait_ack_8_9 >= 1) and (T_out >= 1)) or (((wait_ack_7_9 >= 1) and (T_out >= 1)) or (((wait_ack_6_9 >= 1) and (T_out >= 1)) or (((wait_ack_5_9 >= 1) and (T_out >= 1)) or (((wait_ack_4_9 >= 1) and (T_out >= 1)) or (((wait_ack_3_9 >= 1) and (T_out >= 1)) or (((wait_ack_2_9 >= 1) and (T_out >= 1)) or (((wait_ack_1_9 >= 1) and (T_out >= 1)) or (((wait_ack_15_8 >= 1) and (T_out >= 1)) or (((wait_ack_14_8 >= 1) and (T_out >= 1)) or (((wait_ack_13_8 >= 1) and (T_out >= 1)) or (((wait_ack_12_8 >= 1) and (T_out >= 1)) or (((wait_ack_11_8 >= 1) and (T_out >= 1)) or (((wait_ack_10_8 >= 1) and (T_out >= 1)) or (((wait_ack_9_8 >= 1) and (T_out >= 1)) or (((wait_ack_7_8 >= 1) and (T_out >= 1)) or (((wait_ack_6_8 >= 1) and (T_out >= 1)) or (((wait_ack_5_8 >= 1) and (T_out >= 1)) or (((wait_ack_4_8 >= 1) and (T_out >= 1)) or (((wait_ack_3_8 >= 1) and (T_out >= 1)) or (((wait_ack_2_8 >= 1) and (T_out >= 1)) or (((wait_ack_1_8 >= 1) and (T_out >= 1)) or (((wait_ack_15_7 >= 1) and (T_out >= 1)) or (((wait_ack_14_7 >= 1) and (T_out >= 1)) or (((wait_ack_13_7 >= 1) and (T_out >= 1)) or (((wait_ack_12_7 >= 1) and (T_out >= 1)) or (((wait_ack_11_7 >= 1) and (T_out >= 1)) or (((wait_ack_10_7 >= 1) and (T_out >= 1)) or (((wait_ack_9_7 >= 1) and (T_out >= 1)) or (((wait_ack_8_7 >= 1) and (T_out >= 1)) or (((wait_ack_6_7 >= 1) and (T_out >= 1)) or (((wait_ack_5_7 >= 1) and (T_out >= 1)) or (((wait_ack_4_7 >= 1) and (T_out >= 1)) or (((wait_ack_3_7 >= 1) and (T_out >= 1)) or (((wait_ack_2_7 >= 1) and (T_out >= 1)) or (((wait_ack_1_7 >= 1) and (T_out >= 1)) or (((wait_ack_15_6 >= 1) and (T_out >= 1)) or (((wait_ack_14_6 >= 1) and (T_out >= 1)) or (((wait_ack_13_6 >= 1) and (T_out >= 1)) or (((wait_ack_12_6 >= 1) and (T_out >= 1)) or (((wait_ack_11_6 >= 1) and (T_out >= 1)) or (((wait_ack_10_6 >= 1) and (T_out >= 1)) or (((wait_ack_9_6 >= 1) and (T_out >= 1)) or (((wait_ack_8_6 >= 1) and (T_out >= 1)) or (((wait_ack_7_6 >= 1) and (T_out >= 1)) or (((wait_ack_5_6 >= 1) and (T_out >= 1)) or (((wait_ack_4_6 >= 1) and (T_out >= 1)) or (((wait_ack_3_6 >= 1) and (T_out >= 1)) or (((wait_ack_2_6 >= 1) and (T_out >= 1)) or (((wait_ack_1_6 >= 1) and (T_out >= 1)) or (((wait_ack_15_5 >= 1) and (T_out >= 1)) or (((wait_ack_14_5 >= 1) and (T_out >= 1)) or (((wait_ack_13_5 >= 1) and (T_out >= 1)) or (((wait_ack_12_5 >= 1) and (T_out >= 1)) or (((wait_ack_11_5 >= 1) and (T_out >= 1)) or (((wait_ack_10_5 >= 1) and (T_out >= 1)) or (((wait_ack_9_5 >= 1) and (T_out >= 1)) or (((wait_ack_8_5 >= 1) and (T_out >= 1)) or (((wait_ack_7_5 >= 1) and (T_out >= 1)) or (((wait_ack_6_5 >= 1) and (T_out >= 1)) or (((wait_ack_4_5 >= 1) and (T_out >= 1)) or (((wait_ack_3_5 >= 1) and (T_out >= 1)) or (((wait_ack_2_5 >= 1) and (T_out >= 1)) or (((wait_ack_1_5 >= 1) and (T_out >= 1)) or (((wait_ack_15_4 >= 1) and (T_out >= 1)) or (((wait_ack_14_4 >= 1) and (T_out >= 1)) or (((wait_ack_13_4 >= 1) and (T_out >= 1)) or (((wait_ack_12_4 >= 1) and (T_out >= 1)) or (((wait_ack_11_4 >= 1) and (T_out >= 1)) or (((wait_ack_10_4 >= 1) and (T_out >= 1)) or (((wait_ack_9_4 >= 1) and (T_out >= 1)) or (((wait_ack_8_4 >= 1) and (T_out >= 1)) or (((wait_ack_7_4 >= 1) and (T_out >= 1)) or (((wait_ack_6_4 >= 1) and (T_out >= 1)) or (((wait_ack_5_4 >= 1) and (T_out >= 1)) or (((wait_ack_3_4 >= 1) and (T_out >= 1)) or (((wait_ack_2_4 >= 1) and (T_out >= 1)) or (((wait_ack_1_4 >= 1) and (T_out >= 1)) or (((wait_ack_15_3 >= 1) and (T_out >= 1)) or (((wait_ack_14_3 >= 1) and (T_out >= 1)) or (((wait_ack_13_3 >= 1) and (T_out >= 1)) or (((wait_ack_12_3 >= 1) and (T_out >= 1)) or (((wait_ack_11_3 >= 1) and (T_out >= 1)) or (((wait_ack_10_3 >= 1) and (T_out >= 1)) or (((wait_ack_9_3 >= 1) and (T_out >= 1)) or (((wait_ack_8_3 >= 1) and (T_out >= 1)) or (((wait_ack_7_3 >= 1) and (T_out >= 1)) or (((wait_ack_6_3 >= 1) and (T_out >= 1)) or (((wait_ack_5_3 >= 1) and (T_out >= 1)) or (((wait_ack_4_3 >= 1) and (T_out >= 1)) or (((wait_ack_2_3 >= 1) and (T_out >= 1)) or (((wait_ack_1_3 >= 1) and (T_out >= 1)) or (((wait_ack_15_2 >= 1) and (T_out >= 1)) or (((wait_ack_14_2 >= 1) and (T_out >= 1)) or (((wait_ack_13_2 >= 1) and (T_out >= 1)) or (((wait_ack_12_2 >= 1) and (T_out >= 1)) or (((wait_ack_11_2 >= 1) and (T_out >= 1)) or (((wait_ack_10_2 >= 1) and (T_out >= 1)) or (((wait_ack_9_2 >= 1) and (T_out >= 1)) or (((wait_ack_8_2 >= 1) and (T_out >= 1)) or (((wait_ack_7_2 >= 1) and (T_out >= 1)) or (((wait_ack_6_2 >= 1) and (T_out >= 1)) or (((wait_ack_5_2 >= 1) and (T_out >= 1)) or (((wait_ack_4_2 >= 1) and (T_out >= 1)) or (((wait_ack_3_2 >= 1) and (T_out >= 1)) or (((wait_ack_1_2 >= 1) and (T_out >= 1)) or (((wait_ack_15_1 >= 1) and (T_out >= 1)) or (((wait_ack_14_1 >= 1) and (T_out >= 1)) or (((wait_ack_13_1 >= 1) and (T_out >= 1)) or (((wait_ack_12_1 >= 1) and (T_out >= 1)) or (((wait_ack_11_1 >= 1) and (T_out >= 1)) or (((wait_ack_10_1 >= 1) and (T_out >= 1)) or (((wait_ack_9_1 >= 1) and (T_out >= 1)) or (((wait_ack_8_1 >= 1) and (T_out >= 1)) or (((wait_ack_7_1 >= 1) and (T_out >= 1)) or (((wait_ack_6_1 >= 1) and (T_out >= 1)) or (((wait_ack_5_1 >= 1) and (T_out >= 1)) or (((wait_ack_4_1 >= 1) and (T_out >= 1)) or (((wait_ack_3_1 >= 1) and (T_out >= 1)) or ((wait_ack_2_1 >= 1) and (T_out >= 1)))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))) and ((((((cable_free >= 1) and ((FMCb >= 1) and ((AMC_15_15 >= 1) and (Cpt2_15 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_14_15 >= 1) and (Cpt2_15 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_13_15 >= 1) and (Cpt2_15 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_12_15 >= 1) and (Cpt2_15 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_11_15 >= 1) and (Cpt2_15 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_10_15 >= 1) and (Cpt2_15 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_9_15 >= 1) and (Cpt2_15 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_8_15 >= 1) and (Cpt2_15 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_7_15 >= 1) and (Cpt2_15 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_6_15 >= 1) and (Cpt2_15 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_5_15 >= 1) and (Cpt2_15 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_4_15 >= 1) and (Cpt2_15 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_3_15 >= 1) and (Cpt2_15 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_2_15 >= 1) and (Cpt2_15 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_1_15 >= 1) and (Cpt2_15 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_15_14 >= 1) and (Cpt2_14 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_14_14 >= 1) and (Cpt2_14 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_13_14 >= 1) and (Cpt2_14 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_12_14 >= 1) and (Cpt2_14 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_11_14 >= 1) and (Cpt2_14 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_10_14 >= 1) and (Cpt2_14 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_9_14 >= 1) and (Cpt2_14 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_8_14 >= 1) and (Cpt2_14 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_7_14 >= 1) and (Cpt2_14 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_6_14 >= 1) and (Cpt2_14 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_5_14 >= 1) and (Cpt2_14 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_4_14 >= 1) and (Cpt2_14 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_3_14 >= 1) and (Cpt2_14 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_2_14 >= 1) and (Cpt2_14 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_1_14 >= 1) and (Cpt2_14 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_15_13 >= 1) and (Cpt2_13 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_14_13 >= 1) and (Cpt2_13 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_13_13 >= 1) and (Cpt2_13 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_12_13 >= 1) and (Cpt2_13 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_11_13 >= 1) and (Cpt2_13 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_10_13 >= 1) and (Cpt2_13 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_9_13 >= 1) and (Cpt2_13 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_8_13 >= 1) and (Cpt2_13 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_7_13 >= 1) and (Cpt2_13 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_6_13 >= 1) and (Cpt2_13 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_5_13 >= 1) and (Cpt2_13 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_4_13 >= 1) and (Cpt2_13 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_3_13 >= 1) and (Cpt2_13 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_2_13 >= 1) and (Cpt2_13 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_1_13 >= 1) and (Cpt2_13 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_15_12 >= 1) and (Cpt2_12 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_14_12 >= 1) and (Cpt2_12 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_13_12 >= 1) and (Cpt2_12 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_12_12 >= 1) and (Cpt2_12 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_11_12 >= 1) and (Cpt2_12 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_10_12 >= 1) and (Cpt2_12 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_9_12 >= 1) and (Cpt2_12 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_8_12 >= 1) and (Cpt2_12 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_7_12 >= 1) and (Cpt2_12 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_6_12 >= 1) and (Cpt2_12 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_5_12 >= 1) and (Cpt2_12 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_4_12 >= 1) and (Cpt2_12 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_3_12 >= 1) and (Cpt2_12 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_2_12 >= 1) and (Cpt2_12 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_1_12 >= 1) and (Cpt2_12 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_15_11 >= 1) and (Cpt2_11 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_14_11 >= 1) and (Cpt2_11 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_13_11 >= 1) and (Cpt2_11 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_12_11 >= 1) and (Cpt2_11 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_11_11 >= 1) and (Cpt2_11 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_10_11 >= 1) and (Cpt2_11 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_9_11 >= 1) and (Cpt2_11 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_8_11 >= 1) and (Cpt2_11 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_7_11 >= 1) and (Cpt2_11 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_6_11 >= 1) and (Cpt2_11 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_5_11 >= 1) and (Cpt2_11 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_4_11 >= 1) and (Cpt2_11 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_3_11 >= 1) and (Cpt2_11 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_2_11 >= 1) and (Cpt2_11 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_1_11 >= 1) and (Cpt2_11 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_15_10 >= 1) and (Cpt2_10 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_14_10 >= 1) and (Cpt2_10 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_13_10 >= 1) and (Cpt2_10 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_12_10 >= 1) and (Cpt2_10 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_11_10 >= 1) and (Cpt2_10 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_10_10 >= 1) and (Cpt2_10 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_9_10 >= 1) and (Cpt2_10 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_8_10 >= 1) and (Cpt2_10 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_7_10 >= 1) and (Cpt2_10 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_6_10 >= 1) and (Cpt2_10 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_5_10 >= 1) and (Cpt2_10 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_4_10 >= 1) and (Cpt2_10 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_3_10 >= 1) and (Cpt2_10 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_2_10 >= 1) and (Cpt2_10 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_1_10 >= 1) and (Cpt2_10 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_15_9 >= 1) and (Cpt2_9 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_14_9 >= 1) and (Cpt2_9 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_13_9 >= 1) and (Cpt2_9 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_12_9 >= 1) and (Cpt2_9 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_11_9 >= 1) and (Cpt2_9 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_10_9 >= 1) and (Cpt2_9 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_9_9 >= 1) and (Cpt2_9 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_8_9 >= 1) and (Cpt2_9 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_7_9 >= 1) and (Cpt2_9 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_6_9 >= 1) and (Cpt2_9 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_5_9 >= 1) and (Cpt2_9 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_4_9 >= 1) and (Cpt2_9 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_3_9 >= 1) and (Cpt2_9 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_2_9 >= 1) and (Cpt2_9 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_1_9 >= 1) and (Cpt2_9 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_15_8 >= 1) and (Cpt2_8 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_14_8 >= 1) and (Cpt2_8 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_13_8 >= 1) and (Cpt2_8 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_12_8 >= 1) and (Cpt2_8 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_11_8 >= 1) and (Cpt2_8 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_10_8 >= 1) and (Cpt2_8 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_9_8 >= 1) and (Cpt2_8 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_8_8 >= 1) and (Cpt2_8 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_7_8 >= 1) and (Cpt2_8 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_6_8 >= 1) and (Cpt2_8 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_5_8 >= 1) and (Cpt2_8 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_4_8 >= 1) and (Cpt2_8 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_3_8 >= 1) and (Cpt2_8 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_2_8 >= 1) and (Cpt2_8 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_1_8 >= 1) and (Cpt2_8 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_15_7 >= 1) and (Cpt2_7 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_14_7 >= 1) and (Cpt2_7 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_13_7 >= 1) and (Cpt2_7 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_12_7 >= 1) and (Cpt2_7 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_11_7 >= 1) and (Cpt2_7 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_10_7 >= 1) and (Cpt2_7 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_9_7 >= 1) and (Cpt2_7 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_8_7 >= 1) and (Cpt2_7 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_7_7 >= 1) and (Cpt2_7 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_6_7 >= 1) and (Cpt2_7 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_5_7 >= 1) and (Cpt2_7 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_4_7 >= 1) and (Cpt2_7 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_3_7 >= 1) and (Cpt2_7 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_2_7 >= 1) and (Cpt2_7 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_1_7 >= 1) and (Cpt2_7 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_15_6 >= 1) and (Cpt2_6 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_14_6 >= 1) and (Cpt2_6 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_13_6 >= 1) and (Cpt2_6 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_12_6 >= 1) and (Cpt2_6 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_11_6 >= 1) and (Cpt2_6 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_10_6 >= 1) and (Cpt2_6 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_9_6 >= 1) and (Cpt2_6 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_8_6 >= 1) and (Cpt2_6 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_7_6 >= 1) and (Cpt2_6 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_6_6 >= 1) and (Cpt2_6 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_5_6 >= 1) and (Cpt2_6 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_4_6 >= 1) and (Cpt2_6 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_3_6 >= 1) and (Cpt2_6 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_2_6 >= 1) and (Cpt2_6 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_1_6 >= 1) and (Cpt2_6 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_15_5 >= 1) and (Cpt2_5 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_14_5 >= 1) and (Cpt2_5 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_13_5 >= 1) and (Cpt2_5 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_12_5 >= 1) and (Cpt2_5 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_11_5 >= 1) and (Cpt2_5 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_10_5 >= 1) and (Cpt2_5 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_9_5 >= 1) and (Cpt2_5 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_8_5 >= 1) and (Cpt2_5 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_7_5 >= 1) and (Cpt2_5 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_6_5 >= 1) and (Cpt2_5 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_5_5 >= 1) and (Cpt2_5 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_4_5 >= 1) and (Cpt2_5 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_3_5 >= 1) and (Cpt2_5 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_2_5 >= 1) and (Cpt2_5 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_1_5 >= 1) and (Cpt2_5 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_15_4 >= 1) and (Cpt2_4 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_14_4 >= 1) and (Cpt2_4 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_13_4 >= 1) and (Cpt2_4 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_12_4 >= 1) and (Cpt2_4 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_11_4 >= 1) and (Cpt2_4 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_10_4 >= 1) and (Cpt2_4 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_9_4 >= 1) and (Cpt2_4 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_8_4 >= 1) and (Cpt2_4 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_7_4 >= 1) and (Cpt2_4 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_6_4 >= 1) and (Cpt2_4 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_5_4 >= 1) and (Cpt2_4 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_4_4 >= 1) and (Cpt2_4 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_3_4 >= 1) and (Cpt2_4 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_2_4 >= 1) and (Cpt2_4 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_1_4 >= 1) and (Cpt2_4 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_15_3 >= 1) and (Cpt2_3 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_14_3 >= 1) and (Cpt2_3 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_13_3 >= 1) and (Cpt2_3 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_12_3 >= 1) and (Cpt2_3 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_11_3 >= 1) and (Cpt2_3 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_10_3 >= 1) and (Cpt2_3 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_9_3 >= 1) and (Cpt2_3 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_8_3 >= 1) and (Cpt2_3 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_7_3 >= 1) and (Cpt2_3 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_6_3 >= 1) and (Cpt2_3 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_5_3 >= 1) and (Cpt2_3 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_4_3 >= 1) and (Cpt2_3 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_3_3 >= 1) and (Cpt2_3 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_2_3 >= 1) and (Cpt2_3 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_1_3 >= 1) and (Cpt2_3 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_15_2 >= 1) and (Cpt2_2 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_14_2 >= 1) and (Cpt2_2 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_13_2 >= 1) and (Cpt2_2 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_12_2 >= 1) and (Cpt2_2 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_11_2 >= 1) and (Cpt2_2 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_10_2 >= 1) and (Cpt2_2 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_9_2 >= 1) and (Cpt2_2 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_8_2 >= 1) and (Cpt2_2 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_7_2 >= 1) and (Cpt2_2 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_6_2 >= 1) and (Cpt2_2 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_5_2 >= 1) and (Cpt2_2 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_4_2 >= 1) and (Cpt2_2 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_3_2 >= 1) and (Cpt2_2 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_2_2 >= 1) and (Cpt2_2 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_1_2 >= 1) and (Cpt2_2 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_15_1 >= 1) and (Cpt2_1 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_14_1 >= 1) and (Cpt2_1 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_13_1 >= 1) and (Cpt2_1 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_12_1 >= 1) and (Cpt2_1 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_11_1 >= 1) and (Cpt2_1 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_10_1 >= 1) and (Cpt2_1 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_9_1 >= 1) and (Cpt2_1 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_8_1 >= 1) and (Cpt2_1 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_7_1 >= 1) and (Cpt2_1 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_6_1 >= 1) and (Cpt2_1 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_5_1 >= 1) and (Cpt2_1 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_4_1 >= 1) and (Cpt2_1 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_3_1 >= 1) and (Cpt2_1 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_2_1 >= 1) and (Cpt2_1 >= 1)))) or ((cable_free >= 1) and ((FMCb >= 1) and ((AMC_1_1 >= 1) and (Cpt2_1 >= 1)))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))) and (((Cpt1_15 >= 1) and (listen_15 >= 1)) or (((Cpt1_15 >= 1) and (listen_14 >= 1)) or (((Cpt1_15 >= 1) and (listen_13 >= 1)) or (((Cpt1_15 >= 1) and (listen_12 >= 1)) or (((Cpt1_15 >= 1) and (listen_11 >= 1)) or (((Cpt1_15 >= 1) and (listen_10 >= 1)) or (((Cpt1_15 >= 1) and (listen_9 >= 1)) or (((Cpt1_15 >= 1) and (listen_8 >= 1)) or (((Cpt1_15 >= 1) and (listen_7 >= 1)) or (((Cpt1_15 >= 1) and (listen_6 >= 1)) or (((Cpt1_15 >= 1) and (listen_5 >= 1)) or (((Cpt1_15 >= 1) and (listen_4 >= 1)) or (((Cpt1_15 >= 1) and (listen_3 >= 1)) or (((Cpt1_15 >= 1) and (listen_2 >= 1)) or (((Cpt1_15 >= 1) and (listen_1 >= 1)) or (((Cpt1_14 >= 1) and (listen_15 >= 1)) or (((Cpt1_14 >= 1) and (listen_14 >= 1)) or (((Cpt1_14 >= 1) and (listen_13 >= 1)) or (((Cpt1_14 >= 1) and (listen_12 >= 1)) or (((Cpt1_14 >= 1) and (listen_11 >= 1)) or (((Cpt1_14 >= 1) and (listen_10 >= 1)) or (((Cpt1_14 >= 1) and (listen_9 >= 1)) or (((Cpt1_14 >= 1) and (listen_8 >= 1)) or (((Cpt1_14 >= 1) and (listen_7 >= 1)) or (((Cpt1_14 >= 1) and (listen_6 >= 1)) or (((Cpt1_14 >= 1) and (listen_5 >= 1)) or (((Cpt1_14 >= 1) and (listen_4 >= 1)) or (((Cpt1_14 >= 1) and (listen_3 >= 1)) or (((Cpt1_14 >= 1) and (listen_2 >= 1)) or (((Cpt1_14 >= 1) and (listen_1 >= 1)) or (((Cpt1_13 >= 1) and (listen_15 >= 1)) or (((Cpt1_13 >= 1) and (listen_14 >= 1)) or (((Cpt1_13 >= 1) and (listen_13 >= 1)) or (((Cpt1_13 >= 1) and (listen_12 >= 1)) or (((Cpt1_13 >= 1) and (listen_11 >= 1)) or (((Cpt1_13 >= 1) and (listen_10 >= 1)) or (((Cpt1_13 >= 1) and (listen_9 >= 1)) or (((Cpt1_13 >= 1) and (listen_8 >= 1)) or (((Cpt1_13 >= 1) and (listen_7 >= 1)) or (((Cpt1_13 >= 1) and (listen_6 >= 1)) or (((Cpt1_13 >= 1) and (listen_5 >= 1)) or (((Cpt1_13 >= 1) and (listen_4 >= 1)) or (((Cpt1_13 >= 1) and (listen_3 >= 1)) or (((Cpt1_13 >= 1) and (listen_2 >= 1)) or (((Cpt1_13 >= 1) and (listen_1 >= 1)) or (((Cpt1_12 >= 1) and (listen_15 >= 1)) or (((Cpt1_12 >= 1) and (listen_14 >= 1)) or (((Cpt1_12 >= 1) and (listen_13 >= 1)) or (((Cpt1_12 >= 1) and (listen_12 >= 1)) or (((Cpt1_12 >= 1) and (listen_11 >= 1)) or (((Cpt1_12 >= 1) and (listen_10 >= 1)) or (((Cpt1_12 >= 1) and (listen_9 >= 1)) or (((Cpt1_12 >= 1) and (listen_8 >= 1)) or (((Cpt1_12 >= 1) and (listen_7 >= 1)) or (((Cpt1_12 >= 1) and (listen_6 >= 1)) or (((Cpt1_12 >= 1) and (listen_5 >= 1)) or (((Cpt1_12 >= 1) and (listen_4 >= 1)) or (((Cpt1_12 >= 1) and (listen_3 >= 1)) or (((Cpt1_12 >= 1) and (listen_2 >= 1)) or (((Cpt1_12 >= 1) and (listen_1 >= 1)) or (((Cpt1_11 >= 1) and (listen_15 >= 1)) or (((Cpt1_11 >= 1) and (listen_14 >= 1)) or (((Cpt1_11 >= 1) and (listen_13 >= 1)) or (((Cpt1_11 >= 1) and (listen_12 >= 1)) or (((Cpt1_11 >= 1) and (listen_11 >= 1)) or (((Cpt1_11 >= 1) and (listen_10 >= 1)) or (((Cpt1_11 >= 1) and (listen_9 >= 1)) or (((Cpt1_11 >= 1) and (listen_8 >= 1)) or (((Cpt1_11 >= 1) and (listen_7 >= 1)) or (((Cpt1_11 >= 1) and (listen_6 >= 1)) or (((Cpt1_11 >= 1) and (listen_5 >= 1)) or (((Cpt1_11 >= 1) and (listen_4 >= 1)) or (((Cpt1_11 >= 1) and (listen_3 >= 1)) or (((Cpt1_11 >= 1) and (listen_2 >= 1)) or (((Cpt1_11 >= 1) and (listen_1 >= 1)) or (((Cpt1_10 >= 1) and (listen_15 >= 1)) or (((Cpt1_10 >= 1) and (listen_14 >= 1)) or (((Cpt1_10 >= 1) and (listen_13 >= 1)) or (((Cpt1_10 >= 1) and (listen_12 >= 1)) or (((Cpt1_10 >= 1) and (listen_11 >= 1)) or (((Cpt1_10 >= 1) and (listen_10 >= 1)) or (((Cpt1_10 >= 1) and (listen_9 >= 1)) or (((Cpt1_10 >= 1) and (listen_8 >= 1)) or (((Cpt1_10 >= 1) and (listen_7 >= 1)) or (((Cpt1_10 >= 1) and (listen_6 >= 1)) or (((Cpt1_10 >= 1) and (listen_5 >= 1)) or (((Cpt1_10 >= 1) and (listen_4 >= 1)) or (((Cpt1_10 >= 1) and (listen_3 >= 1)) or (((Cpt1_10 >= 1) and (listen_2 >= 1)) or (((Cpt1_10 >= 1) and (listen_1 >= 1)) or (((Cpt1_9 >= 1) and (listen_15 >= 1)) or (((Cpt1_9 >= 1) and (listen_14 >= 1)) or (((Cpt1_9 >= 1) and (listen_13 >= 1)) or (((Cpt1_9 >= 1) and (listen_12 >= 1)) or (((Cpt1_9 >= 1) and (listen_11 >= 1)) or (((Cpt1_9 >= 1) and (listen_10 >= 1)) or (((Cpt1_9 >= 1) and (listen_9 >= 1)) or (((Cpt1_9 >= 1) and (listen_8 >= 1)) or (((Cpt1_9 >= 1) and (listen_7 >= 1)) or (((Cpt1_9 >= 1) and (listen_6 >= 1)) or (((Cpt1_9 >= 1) and (listen_5 >= 1)) or (((Cpt1_9 >= 1) and (listen_4 >= 1)) or (((Cpt1_9 >= 1) and (listen_3 >= 1)) or (((Cpt1_9 >= 1) and (listen_2 >= 1)) or (((Cpt1_9 >= 1) and (listen_1 >= 1)) or (((Cpt1_8 >= 1) and (listen_15 >= 1)) or (((Cpt1_8 >= 1) and (listen_14 >= 1)) or (((Cpt1_8 >= 1) and (listen_13 >= 1)) or (((Cpt1_8 >= 1) and (listen_12 >= 1)) or (((Cpt1_8 >= 1) and (listen_11 >= 1)) or (((Cpt1_8 >= 1) and (listen_10 >= 1)) or (((Cpt1_8 >= 1) and (listen_9 >= 1)) or (((Cpt1_8 >= 1) and (listen_8 >= 1)) or (((Cpt1_8 >= 1) and (listen_7 >= 1)) or (((Cpt1_8 >= 1) and (listen_6 >= 1)) or (((Cpt1_8 >= 1) and (listen_5 >= 1)) or (((Cpt1_8 >= 1) and (listen_4 >= 1)) or (((Cpt1_8 >= 1) and (listen_3 >= 1)) or (((Cpt1_8 >= 1) and (listen_2 >= 1)) or (((Cpt1_8 >= 1) and (listen_1 >= 1)) or (((Cpt1_7 >= 1) and (listen_15 >= 1)) or (((Cpt1_7 >= 1) and (listen_14 >= 1)) or (((Cpt1_7 >= 1) and (listen_13 >= 1)) or (((Cpt1_7 >= 1) and (listen_12 >= 1)) or (((Cpt1_7 >= 1) and (listen_11 >= 1)) or (((Cpt1_7 >= 1) and (listen_10 >= 1)) or (((Cpt1_7 >= 1) and (listen_9 >= 1)) or (((Cpt1_7 >= 1) and (listen_8 >= 1)) or (((Cpt1_7 >= 1) and (listen_7 >= 1)) or (((Cpt1_7 >= 1) and (listen_6 >= 1)) or (((Cpt1_7 >= 1) and (listen_5 >= 1)) or (((Cpt1_7 >= 1) and (listen_4 >= 1)) or (((Cpt1_7 >= 1) and (listen_3 >= 1)) or (((Cpt1_7 >= 1) and (listen_2 >= 1)) or (((Cpt1_7 >= 1) and (listen_1 >= 1)) or (((Cpt1_6 >= 1) and (listen_15 >= 1)) or (((Cpt1_6 >= 1) and (listen_14 >= 1)) or (((Cpt1_6 >= 1) and (listen_13 >= 1)) or (((Cpt1_6 >= 1) and (listen_12 >= 1)) or (((Cpt1_6 >= 1) and (listen_11 >= 1)) or (((Cpt1_6 >= 1) and (listen_10 >= 1)) or (((Cpt1_6 >= 1) and (listen_9 >= 1)) or (((Cpt1_6 >= 1) and (listen_8 >= 1)) or (((Cpt1_6 >= 1) and (listen_7 >= 1)) or (((Cpt1_6 >= 1) and (listen_6 >= 1)) or (((Cpt1_6 >= 1) and (listen_5 >= 1)) or (((Cpt1_6 >= 1) and (listen_4 >= 1)) or (((Cpt1_6 >= 1) and (listen_3 >= 1)) or (((Cpt1_6 >= 1) and (listen_2 >= 1)) or (((Cpt1_6 >= 1) and (listen_1 >= 1)) or (((Cpt1_5 >= 1) and (listen_15 >= 1)) or (((Cpt1_5 >= 1) and (listen_14 >= 1)) or (((Cpt1_5 >= 1) and (listen_13 >= 1)) or (((Cpt1_5 >= 1) and (listen_12 >= 1)) or (((Cpt1_5 >= 1) and (listen_11 >= 1)) or (((Cpt1_5 >= 1) and (listen_10 >= 1)) or (((Cpt1_5 >= 1) and (listen_9 >= 1)) or (((Cpt1_5 >= 1) and (listen_8 >= 1)) or (((Cpt1_5 >= 1) and (listen_7 >= 1)) or (((Cpt1_5 >= 1) and (listen_6 >= 1)) or (((Cpt1_5 >= 1) and (listen_5 >= 1)) or (((Cpt1_5 >= 1) and (listen_4 >= 1)) or (((Cpt1_5 >= 1) and (listen_3 >= 1)) or (((Cpt1_5 >= 1) and (listen_2 >= 1)) or (((Cpt1_5 >= 1) and (listen_1 >= 1)) or (((Cpt1_4 >= 1) and (listen_15 >= 1)) or (((Cpt1_4 >= 1) and (listen_14 >= 1)) or (((Cpt1_4 >= 1) and (listen_13 >= 1)) or (((Cpt1_4 >= 1) and (listen_12 >= 1)) or (((Cpt1_4 >= 1) and (listen_11 >= 1)) or (((Cpt1_4 >= 1) and (listen_10 >= 1)) or (((Cpt1_4 >= 1) and (listen_9 >= 1)) or (((Cpt1_4 >= 1) and (listen_8 >= 1)) or (((Cpt1_4 >= 1) and (listen_7 >= 1)) or (((Cpt1_4 >= 1) and (listen_6 >= 1)) or (((Cpt1_4 >= 1) and (listen_5 >= 1)) or (((Cpt1_4 >= 1) and (listen_4 >= 1)) or (((Cpt1_4 >= 1) and (listen_3 >= 1)) or (((Cpt1_4 >= 1) and (listen_2 >= 1)) or (((Cpt1_4 >= 1) and (listen_1 >= 1)) or (((Cpt1_3 >= 1) and (listen_15 >= 1)) or (((Cpt1_3 >= 1) and (listen_14 >= 1)) or (((Cpt1_3 >= 1) and (listen_13 >= 1)) or (((Cpt1_3 >= 1) and (listen_12 >= 1)) or (((Cpt1_3 >= 1) and (listen_11 >= 1)) or (((Cpt1_3 >= 1) and (listen_10 >= 1)) or (((Cpt1_3 >= 1) and (listen_9 >= 1)) or (((Cpt1_3 >= 1) and (listen_8 >= 1)) or (((Cpt1_3 >= 1) and (listen_7 >= 1)) or (((Cpt1_3 >= 1) and (listen_6 >= 1)) or (((Cpt1_3 >= 1) and (listen_5 >= 1)) or (((Cpt1_3 >= 1) and (listen_4 >= 1)) or (((Cpt1_3 >= 1) and (listen_3 >= 1)) or (((Cpt1_3 >= 1) and (listen_2 >= 1)) or (((Cpt1_3 >= 1) and (listen_1 >= 1)) or (((Cpt1_2 >= 1) and (listen_15 >= 1)) or (((Cpt1_2 >= 1) and (listen_14 >= 1)) or (((Cpt1_2 >= 1) and (listen_13 >= 1)) or (((Cpt1_2 >= 1) and (listen_12 >= 1)) or (((Cpt1_2 >= 1) and (listen_11 >= 1)) or (((Cpt1_2 >= 1) and (listen_10 >= 1)) or (((Cpt1_2 >= 1) and (listen_9 >= 1)) or (((Cpt1_2 >= 1) and (listen_8 >= 1)) or (((Cpt1_2 >= 1) and (listen_7 >= 1)) or (((Cpt1_2 >= 1) and (listen_6 >= 1)) or (((Cpt1_2 >= 1) and (listen_5 >= 1)) or (((Cpt1_2 >= 1) and (listen_4 >= 1)) or (((Cpt1_2 >= 1) and (listen_3 >= 1)) or (((Cpt1_2 >= 1) and (listen_2 >= 1)) or (((Cpt1_2 >= 1) and (listen_1 >= 1)) or (((Cpt1_1 >= 1) and (listen_15 >= 1)) or (((Cpt1_1 >= 1) and (listen_14 >= 1)) or (((Cpt1_1 >= 1) and (listen_13 >= 1)) or (((Cpt1_1 >= 1) and (listen_12 >= 1)) or (((Cpt1_1 >= 1) and (listen_11 >= 1)) or (((Cpt1_1 >= 1) and (listen_10 >= 1)) or (((Cpt1_1 >= 1) and (listen_9 >= 1)) or (((Cpt1_1 >= 1) and (listen_8 >= 1)) or (((Cpt1_1 >= 1) and (listen_7 >= 1)) or (((Cpt1_1 >= 1) and (listen_6 >= 1)) or (((Cpt1_1 >= 1) and (listen_5 >= 1)) or (((Cpt1_1 >= 1) and (listen_4 >= 1)) or (((Cpt1_1 >= 1) and (listen_3 >= 1)) or (((Cpt1_1 >= 1) and (listen_2 >= 1)) or ((Cpt1_1 >= 1) and (listen_1 >= 1))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))) and (((R_tout >= 1) and (ACK >= 1)) or (((wait_ack_14_15 >= 1) and (T_out >= 1)) or (((wait_ack_13_15 >= 1) and (T_out >= 1)) or (((wait_ack_12_15 >= 1) and (T_out >= 1)) or (((wait_ack_11_15 >= 1) and (T_out >= 1)) or (((wait_ack_10_15 >= 1) and (T_out >= 1)) or (((wait_ack_9_15 >= 1) and (T_out >= 1)) or (((wait_ack_8_15 >= 1) and (T_out >= 1)) or (((wait_ack_7_15 >= 1) and (T_out >= 1)) or (((wait_ack_6_15 >= 1) and (T_out >= 1)) or (((wait_ack_5_15 >= 1) and (T_out >= 1)) or (((wait_ack_4_15 >= 1) and (T_out >= 1)) or (((wait_ack_3_15 >= 1) and (T_out >= 1)) or (((wait_ack_2_15 >= 1) and (T_out >= 1)) or (((wait_ack_1_15 >= 1) and (T_out >= 1)) or (((wait_ack_15_14 >= 1) and (T_out >= 1)) or (((wait_ack_13_14 >= 1) and (T_out >= 1)) or (((wait_ack_12_14 >= 1) and (T_out >= 1)) or (((wait_ack_11_14 >= 1) and (T_out >= 1)) or (((wait_ack_10_14 >= 1) and (T_out >= 1)) or (((wait_ack_9_14 >= 1) and (T_out >= 1)) or (((wait_ack_8_14 >= 1) and (T_out >= 1)) or (((wait_ack_7_14 >= 1) and (T_out >= 1)) or (((wait_ack_6_14 >= 1) and (T_out >= 1)) or (((wait_ack_5_14 >= 1) and (T_out >= 1)) or (((wait_ack_4_14 >= 1) and (T_out >= 1)) or (((wait_ack_3_14 >= 1) and (T_out >= 1)) or (((wait_ack_2_14 >= 1) and (T_out >= 1)) or (((wait_ack_1_14 >= 1) and (T_out >= 1)) or (((wait_ack_15_13 >= 1) and (T_out >= 1)) or (((wait_ack_14_13 >= 1) and (T_out >= 1)) or (((wait_ack_12_13 >= 1) and (T_out >= 1)) or (((wait_ack_11_13 >= 1) and (T_out >= 1)) or (((wait_ack_10_13 >= 1) and (T_out >= 1)) or (((wait_ack_9_13 >= 1) and (T_out >= 1)) or (((wait_ack_8_13 >= 1) and (T_out >= 1)) or (((wait_ack_7_13 >= 1) and (T_out >= 1)) or (((wait_ack_6_13 >= 1) and (T_out >= 1)) or (((wait_ack_5_13 >= 1) and (T_out >= 1)) or (((wait_ack_4_13 >= 1) and (T_out >= 1)) or (((wait_ack_3_13 >= 1) and (T_out >= 1)) or (((wait_ack_2_13 >= 1) and (T_out >= 1)) or (((wait_ack_1_13 >= 1) and (T_out >= 1)) or (((wait_ack_15_12 >= 1) and (T_out >= 1)) or (((wait_ack_14_12 >= 1) and (T_out >= 1)) or (((wait_ack_13_12 >= 1) and (T_out >= 1)) or (((wait_ack_11_12 >= 1) and (T_out >= 1)) or (((wait_ack_10_12 >= 1) and (T_out >= 1)) or (((wait_ack_9_12 >= 1) and (T_out >= 1)) or (((wait_ack_8_12 >= 1) and (T_out >= 1)) or (((wait_ack_7_12 >= 1) and (T_out >= 1)) or (((wait_ack_6_12 >= 1) and (T_out >= 1)) or (((wait_ack_5_12 >= 1) and (T_out >= 1)) or (((wait_ack_4_12 >= 1) and (T_out >= 1)) or (((wait_ack_3_12 >= 1) and (T_out >= 1)) or (((wait_ack_2_12 >= 1) and (T_out >= 1)) or (((wait_ack_1_12 >= 1) and (T_out >= 1)) or (((wait_ack_15_11 >= 1) and (T_out >= 1)) or (((wait_ack_14_11 >= 1) and (T_out >= 1)) or (((wait_ack_13_11 >= 1) and (T_out >= 1)) or (((wait_ack_12_11 >= 1) and (T_out >= 1)) or (((wait_ack_10_11 >= 1) and (T_out >= 1)) or (((wait_ack_9_11 >= 1) and (T_out >= 1)) or (((wait_ack_8_11 >= 1) and (T_out >= 1)) or (((wait_ack_7_11 >= 1) and (T_out >= 1)) or (((wait_ack_6_11 >= 1) and (T_out >= 1)) or (((wait_ack_5_11 >= 1) and (T_out >= 1)) or (((wait_ack_4_11 >= 1) and (T_out >= 1)) or (((wait_ack_3_11 >= 1) and (T_out >= 1)) or (((wait_ack_2_11 >= 1) and (T_out >= 1)) or (((wait_ack_1_11 >= 1) and (T_out >= 1)) or (((wait_ack_15_10 >= 1) and (T_out >= 1)) or (((wait_ack_14_10 >= 1) and (T_out >= 1)) or (((wait_ack_13_10 >= 1) and (T_out >= 1)) or (((wait_ack_12_10 >= 1) and (T_out >= 1)) or (((wait_ack_11_10 >= 1) and (T_out >= 1)) or (((wait_ack_9_10 >= 1) and (T_out >= 1)) or (((wait_ack_8_10 >= 1) and (T_out >= 1)) or (((wait_ack_7_10 >= 1) and (T_out >= 1)) or (((wait_ack_6_10 >= 1) and (T_out >= 1)) or (((wait_ack_5_10 >= 1) and (T_out >= 1)) or (((wait_ack_4_10 >= 1) and (T_out >= 1)) or (((wait_ack_3_10 >= 1) and (T_out >= 1)) or (((wait_ack_2_10 >= 1) and (T_out >= 1)) or (((wait_ack_1_10 >= 1) and (T_out >= 1)) or (((wait_ack_15_9 >= 1) and (T_out >= 1)) or (((wait_ack_14_9 >= 1) and (T_out >= 1)) or (((wait_ack_13_9 >= 1) and (T_out >= 1)) or (((wait_ack_12_9 >= 1) and (T_out >= 1)) or (((wait_ack_11_9 >= 1) and (T_out >= 1)) or (((wait_ack_10_9 >= 1) and (T_out >= 1)) or (((wait_ack_8_9 >= 1) and (T_out >= 1)) or (((wait_ack_7_9 >= 1) and (T_out >= 1)) or (((wait_ack_6_9 >= 1) and (T_out >= 1)) or (((wait_ack_5_9 >= 1) and (T_out >= 1)) or (((wait_ack_4_9 >= 1) and (T_out >= 1)) or (((wait_ack_3_9 >= 1) and (T_out >= 1)) or (((wait_ack_2_9 >= 1) and (T_out >= 1)) or (((wait_ack_1_9 >= 1) and (T_out >= 1)) or (((wait_ack_15_8 >= 1) and (T_out >= 1)) or (((wait_ack_14_8 >= 1) and (T_out >= 1)) or (((wait_ack_13_8 >= 1) and (T_out >= 1)) or (((wait_ack_12_8 >= 1) and (T_out >= 1)) or (((wait_ack_11_8 >= 1) and (T_out >= 1)) or (((wait_ack_10_8 >= 1) and (T_out >= 1)) or (((wait_ack_9_8 >= 1) and (T_out >= 1)) or (((wait_ack_7_8 >= 1) and (T_out >= 1)) or (((wait_ack_6_8 >= 1) and (T_out >= 1)) or (((wait_ack_5_8 >= 1) and (T_out >= 1)) or (((wait_ack_4_8 >= 1) and (T_out >= 1)) or (((wait_ack_3_8 >= 1) and (T_out >= 1)) or (((wait_ack_2_8 >= 1) and (T_out >= 1)) or (((wait_ack_1_8 >= 1) and (T_out >= 1)) or (((wait_ack_15_7 >= 1) and (T_out >= 1)) or (((wait_ack_14_7 >= 1) and (T_out >= 1)) or (((wait_ack_13_7 >= 1) and (T_out >= 1)) or (((wait_ack_12_7 >= 1) and (T_out >= 1)) or (((wait_ack_11_7 >= 1) and (T_out >= 1)) or (((wait_ack_10_7 >= 1) and (T_out >= 1)) or (((wait_ack_9_7 >= 1) and (T_out >= 1)) or (((wait_ack_8_7 >= 1) and (T_out >= 1)) or (((wait_ack_6_7 >= 1) and (T_out >= 1)) or (((wait_ack_5_7 >= 1) and (T_out >= 1)) or (((wait_ack_4_7 >= 1) and (T_out >= 1)) or (((wait_ack_3_7 >= 1) and (T_out >= 1)) or (((wait_ack_2_7 >= 1) and (T_out >= 1)) or (((wait_ack_1_7 >= 1) and (T_out >= 1)) or (((wait_ack_15_6 >= 1) and (T_out >= 1)) or (((wait_ack_14_6 >= 1) and (T_out >= 1)) or (((wait_ack_13_6 >= 1) and (T_out >= 1)) or (((wait_ack_12_6 >= 1) and (T_out >= 1)) or (((wait_ack_11_6 >= 1) and (T_out >= 1)) or (((wait_ack_10_6 >= 1) and (T_out >= 1)) or (((wait_ack_9_6 >= 1) and (T_out >= 1)) or (((wait_ack_8_6 >= 1) and (T_out >= 1)) or (((wait_ack_7_6 >= 1) and (T_out >= 1)) or (((wait_ack_5_6 >= 1) and (T_out >= 1)) or (((wait_ack_4_6 >= 1) and (T_out >= 1)) or (((wait_ack_3_6 >= 1) and (T_out >= 1)) or (((wait_ack_2_6 >= 1) and (T_out >= 1)) or (((wait_ack_1_6 >= 1) and (T_out >= 1)) or (((wait_ack_15_5 >= 1) and (T_out >= 1)) or (((wait_ack_14_5 >= 1) and (T_out >= 1)) or (((wait_ack_13_5 >= 1) and (T_out >= 1)) or (((wait_ack_12_5 >= 1) and (T_out >= 1)) or (((wait_ack_11_5 >= 1) and (T_out >= 1)) or (((wait_ack_10_5 >= 1) and (T_out >= 1)) or (((wait_ack_9_5 >= 1) and (T_out >= 1)) or (((wait_ack_8_5 >= 1) and (T_out >= 1)) or (((wait_ack_7_5 >= 1) and (T_out >= 1)) or (((wait_ack_6_5 >= 1) and (T_out >= 1)) or (((wait_ack_4_5 >= 1) and (T_out >= 1)) or (((wait_ack_3_5 >= 1) and (T_out >= 1)) or (((wait_ack_2_5 >= 1) and (T_out >= 1)) or (((wait_ack_1_5 >= 1) and (T_out >= 1)) or (((wait_ack_15_4 >= 1) and (T_out >= 1)) or (((wait_ack_14_4 >= 1) and (T_out >= 1)) or (((wait_ack_13_4 >= 1) and (T_out >= 1)) or (((wait_ack_12_4 >= 1) and (T_out >= 1)) or (((wait_ack_11_4 >= 1) and (T_out >= 1)) or (((wait_ack_10_4 >= 1) and (T_out >= 1)) or (((wait_ack_9_4 >= 1) and (T_out >= 1)) or (((wait_ack_8_4 >= 1) and (T_out >= 1)) or (((wait_ack_7_4 >= 1) and (T_out >= 1)) or (((wait_ack_6_4 >= 1) and (T_out >= 1)) or (((wait_ack_5_4 >= 1) and (T_out >= 1)) or (((wait_ack_3_4 >= 1) and (T_out >= 1)) or (((wait_ack_2_4 >= 1) and (T_out >= 1)) or (((wait_ack_1_4 >= 1) and (T_out >= 1)) or (((wait_ack_15_3 >= 1) and (T_out >= 1)) or (((wait_ack_14_3 >= 1) and (T_out >= 1)) or (((wait_ack_13_3 >= 1) and (T_out >= 1)) or (((wait_ack_12_3 >= 1) and (T_out >= 1)) or (((wait_ack_11_3 >= 1) and (T_out >= 1)) or (((wait_ack_10_3 >= 1) and (T_out >= 1)) or (((wait_ack_9_3 >= 1) and (T_out >= 1)) or (((wait_ack_8_3 >= 1) and (T_out >= 1)) or (((wait_ack_7_3 >= 1) and (T_out >= 1)) or (((wait_ack_6_3 >= 1) and (T_out >= 1)) or (((wait_ack_5_3 >= 1) and (T_out >= 1)) or (((wait_ack_4_3 >= 1) and (T_out >= 1)) or (((wait_ack_2_3 >= 1) and (T_out >= 1)) or (((wait_ack_1_3 >= 1) and (T_out >= 1)) or (((wait_ack_15_2 >= 1) and (T_out >= 1)) or (((wait_ack_14_2 >= 1) and (T_out >= 1)) or (((wait_ack_13_2 >= 1) and (T_out >= 1)) or (((wait_ack_12_2 >= 1) and (T_out >= 1)) or (((wait_ack_11_2 >= 1) and (T_out >= 1)) or (((wait_ack_10_2 >= 1) and (T_out >= 1)) or (((wait_ack_9_2 >= 1) and (T_out >= 1)) or (((wait_ack_8_2 >= 1) and (T_out >= 1)) or (((wait_ack_7_2 >= 1) and (T_out >= 1)) or (((wait_ack_6_2 >= 1) and (T_out >= 1)) or (((wait_ack_5_2 >= 1) and (T_out >= 1)) or (((wait_ack_4_2 >= 1) and (T_out >= 1)) or (((wait_ack_3_2 >= 1) and (T_out >= 1)) or (((wait_ack_1_2 >= 1) and (T_out >= 1)) or (((wait_ack_15_1 >= 1) and (T_out >= 1)) or (((wait_ack_14_1 >= 1) and (T_out >= 1)) or (((wait_ack_13_1 >= 1) and (T_out >= 1)) or (((wait_ack_12_1 >= 1) and (T_out >= 1)) or (((wait_ack_11_1 >= 1) and (T_out >= 1)) or (((wait_ack_10_1 >= 1) and (T_out >= 1)) or (((wait_ack_9_1 >= 1) and (T_out >= 1)) or (((wait_ack_8_1 >= 1) and (T_out >= 1)) or (((wait_ack_7_1 >= 1) and (T_out >= 1)) or (((wait_ack_6_1 >= 1) and (T_out >= 1)) or (((wait_ack_5_1 >= 1) and (T_out >= 1)) or (((wait_ack_4_1 >= 1) and (T_out >= 1)) or (((wait_ack_3_1 >= 1) and (T_out >= 1)) or ((wait_ack_2_1 >= 1) and (T_out >= 1))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))) and (not ((((wait_cable_15 >= 1) and (RMC_15 >= 1)) or (((wait_cable_14 >= 1) and (RMC_14 >= 1)) or (((wait_cable_13 >= 1) and (RMC_13 >= 1)) or (((wait_cable_12 >= 1) and (RMC_12 >= 1)) or (((wait_cable_11 >= 1) and (RMC_11 >= 1)) or (((wait_cable_10 >= 1) and (RMC_10 >= 1)) or (((wait_cable_9 >= 1) and (RMC_9 >= 1)) or (((wait_cable_8 >= 1) and (RMC_8 >= 1)) or (((wait_cable_7 >= 1) and (RMC_7 >= 1)) or (((wait_cable_6 >= 1) and (RMC_6 >= 1)) or (((wait_cable_5 >= 1) and (RMC_5 >= 1)) or (((wait_cable_4 >= 1) and (RMC_4 >= 1)) or (((wait_cable_3 >= 1) and (RMC_3 >= 1)) or (((wait_cable_2 >= 1) and (RMC_2 >= 1)) or ((wait_cable_1 >= 1) and (RMC_1 >= 1)))))))))))))))) or (((loop_em_15 >= 1) and (Cpt1_15 >= 1)) or (((loop_em_14 >= 1) and (Cpt1_15 >= 1)) or (((loop_em_13 >= 1) and (Cpt1_15 >= 1)) or (((loop_em_12 >= 1) and (Cpt1_15 >= 1)) or (((loop_em_11 >= 1) and (Cpt1_15 >= 1)) or (((loop_em_10 >= 1) and (Cpt1_15 >= 1)) or (((loop_em_9 >= 1) and (Cpt1_15 >= 1)) or (((loop_em_8 >= 1) and (Cpt1_15 >= 1)) or (((loop_em_7 >= 1) and (Cpt1_15 >= 1)) or (((loop_em_6 >= 1) and (Cpt1_15 >= 1)) or (((loop_em_5 >= 1) and (Cpt1_15 >= 1)) or (((loop_em_4 >= 1) and (Cpt1_15 >= 1)) or (((loop_em_3 >= 1) and (Cpt1_15 >= 1)) or (((loop_em_2 >= 1) and (Cpt1_15 >= 1)) or (((loop_em_1 >= 1) and (Cpt1_15 >= 1)) or (((loop_em_15 >= 1) and (Cpt1_14 >= 1)) or (((loop_em_14 >= 1) and (Cpt1_14 >= 1)) or (((loop_em_13 >= 1) and (Cpt1_14 >= 1)) or (((loop_em_12 >= 1) and (Cpt1_14 >= 1)) or (((loop_em_11 >= 1) and (Cpt1_14 >= 1)) or (((loop_em_10 >= 1) and (Cpt1_14 >= 1)) or (((loop_em_9 >= 1) and (Cpt1_14 >= 1)) or (((loop_em_8 >= 1) and (Cpt1_14 >= 1)) or (((loop_em_7 >= 1) and (Cpt1_14 >= 1)) or (((loop_em_6 >= 1) and (Cpt1_14 >= 1)) or (((loop_em_5 >= 1) and (Cpt1_14 >= 1)) or (((loop_em_4 >= 1) and (Cpt1_14 >= 1)) or (((loop_em_3 >= 1) and (Cpt1_14 >= 1)) or (((loop_em_2 >= 1) and (Cpt1_14 >= 1)) or (((loop_em_1 >= 1) and (Cpt1_14 >= 1)) or (((loop_em_15 >= 1) and (Cpt1_13 >= 1)) or (((loop_em_14 >= 1) and (Cpt1_13 >= 1)) or (((loop_em_13 >= 1) and (Cpt1_13 >= 1)) or (((loop_em_12 >= 1) and (Cpt1_13 >= 1)) or (((loop_em_11 >= 1) and (Cpt1_13 >= 1)) or (((loop_em_10 >= 1) and (Cpt1_13 >= 1)) or (((loop_em_9 >= 1) and (Cpt1_13 >= 1)) or (((loop_em_8 >= 1) and (Cpt1_13 >= 1)) or (((loop_em_7 >= 1) and (Cpt1_13 >= 1)) or (((loop_em_6 >= 1) and (Cpt1_13 >= 1)) or (((loop_em_5 >= 1) and (Cpt1_13 >= 1)) or (((loop_em_4 >= 1) and (Cpt1_13 >= 1)) or (((loop_em_3 >= 1) and (Cpt1_13 >= 1)) or (((loop_em_2 >= 1) and (Cpt1_13 >= 1)) or (((loop_em_1 >= 1) and (Cpt1_13 >= 1)) or (((loop_em_15 >= 1) and (Cpt1_12 >= 1)) or (((loop_em_14 >= 1) and (Cpt1_12 >= 1)) or (((loop_em_13 >= 1) and (Cpt1_12 >= 1)) or (((loop_em_12 >= 1) and (Cpt1_12 >= 1)) or (((loop_em_11 >= 1) and (Cpt1_12 >= 1)) or (((loop_em_10 >= 1) and (Cpt1_12 >= 1)) or (((loop_em_9 >= 1) and (Cpt1_12 >= 1)) or (((loop_em_8 >= 1) and (Cpt1_12 >= 1)) or (((loop_em_7 >= 1) and (Cpt1_12 >= 1)) or (((loop_em_6 >= 1) and (Cpt1_12 >= 1)) or (((loop_em_5 >= 1) and (Cpt1_12 >= 1)) or (((loop_em_4 >= 1) and (Cpt1_12 >= 1)) or (((loop_em_3 >= 1) and (Cpt1_12 >= 1)) or (((loop_em_2 >= 1) and (Cpt1_12 >= 1)) or (((loop_em_1 >= 1) and (Cpt1_12 >= 1)) or (((loop_em_15 >= 1) and (Cpt1_11 >= 1)) or (((loop_em_14 >= 1) and (Cpt1_11 >= 1)) or (((loop_em_13 >= 1) and (Cpt1_11 >= 1)) or (((loop_em_12 >= 1) and (Cpt1_11 >= 1)) or (((loop_em_11 >= 1) and (Cpt1_11 >= 1)) or (((loop_em_10 >= 1) and (Cpt1_11 >= 1)) or (((loop_em_9 >= 1) and (Cpt1_11 >= 1)) or (((loop_em_8 >= 1) and (Cpt1_11 >= 1)) or (((loop_em_7 >= 1) and (Cpt1_11 >= 1)) or (((loop_em_6 >= 1) and (Cpt1_11 >= 1)) or (((loop_em_5 >= 1) and (Cpt1_11 >= 1)) or (((loop_em_4 >= 1) and (Cpt1_11 >= 1)) or (((loop_em_3 >= 1) and (Cpt1_11 >= 1)) or (((loop_em_2 >= 1) and (Cpt1_11 >= 1)) or (((loop_em_1 >= 1) and (Cpt1_11 >= 1)) or (((loop_em_15 >= 1) and (Cpt1_10 >= 1)) or (((loop_em_14 >= 1) and (Cpt1_10 >= 1)) or (((loop_em_13 >= 1) and (Cpt1_10 >= 1)) or (((loop_em_12 >= 1) and (Cpt1_10 >= 1)) or (((loop_em_11 >= 1) and (Cpt1_10 >= 1)) or (((loop_em_10 >= 1) and (Cpt1_10 >= 1)) or (((loop_em_9 >= 1) and (Cpt1_10 >= 1)) or (((loop_em_8 >= 1) and (Cpt1_10 >= 1)) or (((loop_em_7 >= 1) and (Cpt1_10 >= 1)) or (((loop_em_6 >= 1) and (Cpt1_10 >= 1)) or (((loop_em_5 >= 1) and (Cpt1_10 >= 1)) or (((loop_em_4 >= 1) and (Cpt1_10 >= 1)) or (((loop_em_3 >= 1) and (Cpt1_10 >= 1)) or (((loop_em_2 >= 1) and (Cpt1_10 >= 1)) or (((loop_em_1 >= 1) and (Cpt1_10 >= 1)) or (((loop_em_15 >= 1) and (Cpt1_9 >= 1)) or (((loop_em_14 >= 1) and (Cpt1_9 >= 1)) or (((loop_em_13 >= 1) and (Cpt1_9 >= 1)) or (((loop_em_12 >= 1) and (Cpt1_9 >= 1)) or (((loop_em_11 >= 1) and (Cpt1_9 >= 1)) or (((loop_em_10 >= 1) and (Cpt1_9 >= 1)) or (((loop_em_9 >= 1) and (Cpt1_9 >= 1)) or (((loop_em_8 >= 1) and (Cpt1_9 >= 1)) or (((loop_em_7 >= 1) and (Cpt1_9 >= 1)) or (((loop_em_6 >= 1) and (Cpt1_9 >= 1)) or (((loop_em_5 >= 1) and (Cpt1_9 >= 1)) or (((loop_em_4 >= 1) and (Cpt1_9 >= 1)) or (((loop_em_3 >= 1) and (Cpt1_9 >= 1)) or (((loop_em_2 >= 1) and (Cpt1_9 >= 1)) or (((loop_em_1 >= 1) and (Cpt1_9 >= 1)) or (((loop_em_15 >= 1) and (Cpt1_8 >= 1)) or (((loop_em_14 >= 1) and (Cpt1_8 >= 1)) or (((loop_em_13 >= 1) and (Cpt1_8 >= 1)) or (((loop_em_12 >= 1) and (Cpt1_8 >= 1)) or (((loop_em_11 >= 1) and (Cpt1_8 >= 1)) or (((loop_em_10 >= 1) and (Cpt1_8 >= 1)) or (((loop_em_9 >= 1) and (Cpt1_8 >= 1)) or (((loop_em_8 >= 1) and (Cpt1_8 >= 1)) or (((loop_em_7 >= 1) and (Cpt1_8 >= 1)) or (((loop_em_6 >= 1) and (Cpt1_8 >= 1)) or (((loop_em_5 >= 1) and (Cpt1_8 >= 1)) or (((loop_em_4 >= 1) and (Cpt1_8 >= 1)) or (((loop_em_3 >= 1) and (Cpt1_8 >= 1)) or (((loop_em_2 >= 1) and (Cpt1_8 >= 1)) or (((loop_em_1 >= 1) and (Cpt1_8 >= 1)) or (((loop_em_15 >= 1) and (Cpt1_7 >= 1)) or (((loop_em_14 >= 1) and (Cpt1_7 >= 1)) or (((loop_em_13 >= 1) and (Cpt1_7 >= 1)) or (((loop_em_12 >= 1) and (Cpt1_7 >= 1)) or (((loop_em_11 >= 1) and (Cpt1_7 >= 1)) or (((loop_em_10 >= 1) and (Cpt1_7 >= 1)) or (((loop_em_9 >= 1) and (Cpt1_7 >= 1)) or (((loop_em_8 >= 1) and (Cpt1_7 >= 1)) or (((loop_em_7 >= 1) and (Cpt1_7 >= 1)) or (((loop_em_6 >= 1) and (Cpt1_7 >= 1)) or (((loop_em_5 >= 1) and (Cpt1_7 >= 1)) or (((loop_em_4 >= 1) and (Cpt1_7 >= 1)) or (((loop_em_3 >= 1) and (Cpt1_7 >= 1)) or (((loop_em_2 >= 1) and (Cpt1_7 >= 1)) or (((loop_em_1 >= 1) and (Cpt1_7 >= 1)) or (((loop_em_15 >= 1) and (Cpt1_6 >= 1)) or (((loop_em_14 >= 1) and (Cpt1_6 >= 1)) or (((loop_em_13 >= 1) and (Cpt1_6 >= 1)) or (((loop_em_12 >= 1) and (Cpt1_6 >= 1)) or (((loop_em_11 >= 1) and (Cpt1_6 >= 1)) or (((loop_em_10 >= 1) and (Cpt1_6 >= 1)) or (((loop_em_9 >= 1) and (Cpt1_6 >= 1)) or (((loop_em_8 >= 1) and (Cpt1_6 >= 1)) or (((loop_em_7 >= 1) and (Cpt1_6 >= 1)) or (((loop_em_6 >= 1) and (Cpt1_6 >= 1)) or (((loop_em_5 >= 1) and (Cpt1_6 >= 1)) or (((loop_em_4 >= 1) and (Cpt1_6 >= 1)) or (((loop_em_3 >= 1) and (Cpt1_6 >= 1)) or (((loop_em_2 >= 1) and (Cpt1_6 >= 1)) or (((loop_em_1 >= 1) and (Cpt1_6 >= 1)) or (((loop_em_15 >= 1) and (Cpt1_5 >= 1)) or (((loop_em_14 >= 1) and (Cpt1_5 >= 1)) or (((loop_em_13 >= 1) and (Cpt1_5 >= 1)) or (((loop_em_12 >= 1) and (Cpt1_5 >= 1)) or (((loop_em_11 >= 1) and (Cpt1_5 >= 1)) or (((loop_em_10 >= 1) and (Cpt1_5 >= 1)) or (((loop_em_9 >= 1) and (Cpt1_5 >= 1)) or (((loop_em_8 >= 1) and (Cpt1_5 >= 1)) or (((loop_em_7 >= 1) and (Cpt1_5 >= 1)) or (((loop_em_6 >= 1) and (Cpt1_5 >= 1)) or (((loop_em_5 >= 1) and (Cpt1_5 >= 1)) or (((loop_em_4 >= 1) and (Cpt1_5 >= 1)) or (((loop_em_3 >= 1) and (Cpt1_5 >= 1)) or (((loop_em_2 >= 1) and (Cpt1_5 >= 1)) or (((loop_em_1 >= 1) and (Cpt1_5 >= 1)) or (((loop_em_15 >= 1) and (Cpt1_4 >= 1)) or (((loop_em_14 >= 1) and (Cpt1_4 >= 1)) or (((loop_em_13 >= 1) and (Cpt1_4 >= 1)) or (((loop_em_12 >= 1) and (Cpt1_4 >= 1)) or (((loop_em_11 >= 1) and (Cpt1_4 >= 1)) or (((loop_em_10 >= 1) and (Cpt1_4 >= 1)) or (((loop_em_9 >= 1) and (Cpt1_4 >= 1)) or (((loop_em_8 >= 1) and (Cpt1_4 >= 1)) or (((loop_em_7 >= 1) and (Cpt1_4 >= 1)) or (((loop_em_6 >= 1) and (Cpt1_4 >= 1)) or (((loop_em_5 >= 1) and (Cpt1_4 >= 1)) or (((loop_em_4 >= 1) and (Cpt1_4 >= 1)) or (((loop_em_3 >= 1) and (Cpt1_4 >= 1)) or (((loop_em_2 >= 1) and (Cpt1_4 >= 1)) or (((loop_em_1 >= 1) and (Cpt1_4 >= 1)) or (((loop_em_15 >= 1) and (Cpt1_3 >= 1)) or (((loop_em_14 >= 1) and (Cpt1_3 >= 1)) or (((loop_em_13 >= 1) and (Cpt1_3 >= 1)) or (((loop_em_12 >= 1) and (Cpt1_3 >= 1)) or (((loop_em_11 >= 1) and (Cpt1_3 >= 1)) or (((loop_em_10 >= 1) and (Cpt1_3 >= 1)) or (((loop_em_9 >= 1) and (Cpt1_3 >= 1)) or (((loop_em_8 >= 1) and (Cpt1_3 >= 1)) or (((loop_em_7 >= 1) and (Cpt1_3 >= 1)) or (((loop_em_6 >= 1) and (Cpt1_3 >= 1)) or (((loop_em_5 >= 1) and (Cpt1_3 >= 1)) or (((loop_em_4 >= 1) and (Cpt1_3 >= 1)) or (((loop_em_3 >= 1) and (Cpt1_3 >= 1)) or (((loop_em_2 >= 1) and (Cpt1_3 >= 1)) or (((loop_em_1 >= 1) and (Cpt1_3 >= 1)) or (((loop_em_15 >= 1) and (Cpt1_2 >= 1)) or (((loop_em_14 >= 1) and (Cpt1_2 >= 1)) or (((loop_em_13 >= 1) and (Cpt1_2 >= 1)) or (((loop_em_12 >= 1) and (Cpt1_2 >= 1)) or (((loop_em_11 >= 1) and (Cpt1_2 >= 1)) or (((loop_em_10 >= 1) and (Cpt1_2 >= 1)) or (((loop_em_9 >= 1) and (Cpt1_2 >= 1)) or (((loop_em_8 >= 1) and (Cpt1_2 >= 1)) or (((loop_em_7 >= 1) and (Cpt1_2 >= 1)) or (((loop_em_6 >= 1) and (Cpt1_2 >= 1)) or (((loop_em_5 >= 1) and (Cpt1_2 >= 1)) or (((loop_em_4 >= 1) and (Cpt1_2 >= 1)) or (((loop_em_3 >= 1) and (Cpt1_2 >= 1)) or (((loop_em_2 >= 1) and (Cpt1_2 >= 1)) or (((loop_em_1 >= 1) and (Cpt1_2 >= 1)) or (((loop_em_15 >= 1) and (Cpt1_1 >= 1)) or (((loop_em_14 >= 1) and (Cpt1_1 >= 1)) or (((loop_em_13 >= 1) and (Cpt1_1 >= 1)) or (((loop_em_12 >= 1) and (Cpt1_1 >= 1)) or (((loop_em_11 >= 1) and (Cpt1_1 >= 1)) or (((loop_em_10 >= 1) and (Cpt1_1 >= 1)) or (((loop_em_9 >= 1) and (Cpt1_1 >= 1)) or (((loop_em_8 >= 1) and (Cpt1_1 >= 1)) or (((loop_em_7 >= 1) and (Cpt1_1 >= 1)) or (((loop_em_6 >= 1) and (Cpt1_1 >= 1)) or (((loop_em_5 >= 1) and (Cpt1_1 >= 1)) or (((loop_em_4 >= 1) and (Cpt1_1 >= 1)) or (((loop_em_3 >= 1) and (Cpt1_1 >= 1)) or (((loop_em_2 >= 1) and (Cpt1_1 >= 1)) or ((loop_em_1 >= 1) and (Cpt1_1 >= 1))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))
Query size reduced from 16808 to 16808 nodes (0.00 percent reduction).
Query reduction reached timeout.
Size of net before structural reductions: 621 places, 4771 transitions
Size of net after structural reductions: 621 places, 4771 transitions
Structural reduction finished after 0.111669 seconds

Net reduction is enabled.
Removed transitions: 0
Removed places: 0
Applications of rule A: 0
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0


------------------- QUERY 16 ----------------------
Solution found
perl: warning: Setting locale failed.
perl: warning: Please check that your locale settings:
LANGUAGE = (unset),
LC_ALL = (unset),
LANG = "en_US.UTF-8"
are supported and installed on your system.
perl: warning: Falling back to the standard locale ("C").
perl: warning: Setting locale failed.
perl: warning: Please check that your locale settings:
LANGUAGE = (unset),
LC_ALL = (unset),
LANG = "en_US.UTF-8"
are supported and installed on your system.
perl: warning: Falling back to the standard locale ("C").

Parameters: -s BestFS -d 10 ./model.pnml ./ReachabilityFireability.xml -x 16

Parameters: -s BestFS -q 0 -d 10 ./model.pnml ./ReachabilityFireability.xml -x 16

Parameters: -s BFS -q 0 -d 10 ./model.pnml ./ReachabilityFireability.xml -x 16

Parameters: -s DFS -q 0 -d 10 ./model.pnml ./ReachabilityFireability.xml -x 16

Search=HEUR,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=ENABLED,QSTimeout=30,Siphon_Trap=DISABLED,LPSolve_Timeout=10


Search=HEUR,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=DISABLED,Siphon_Trap=DISABLED,LPSolve_Timeout=10
Size of net before structural reductions: 621 places, 4771 transitions
Size of net after structural reductions: 621 places, 4771 transitions
Structural reduction finished after 0.119567 seconds

Net reduction is enabled.
Removed transitions: 0
Removed places: 0
Applications of rule A: 0
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0

Search=BFS,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=DISABLED,Siphon_Trap=DISABLED,LPSolve_Timeout=10
Size of net before structural reductions: 621 places, 4771 transitions
Size of net after structural reductions: 621 places, 4771 transitions
Structural reduction finished after 0.114422 seconds

Net reduction is enabled.
Removed transitions: 0
Removed places: 0
Applications of rule A: 0
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0


Query is satisfied.

STATS:
discovered states: 157
explored states: 157
expanded states: 19
max tokens: 50


Search=DFS,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=10,Stubborn_Reduction=ENABLED,Query_Simplication=DISABLED,Siphon_Trap=DISABLED,LPSolve_Timeout=10
Size of net before structural reductions: 621 places, 4771 transitions
Size of net after structural reductions: 621 places, 4771 transitions
Structural reduction finished after 0.112678 seconds

Net reduction is enabled.
Removed transitions: 0
Removed places: 0
Applications of rule A: 0
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0


Query is satisfied.

STATS:
discovered states: 157
explored states: 157
expanded states: 19
max tokens: 50

parallel: This job succeeded:
/home/mcc/BenchKit/start.sh 14500000 /home/mcc/BenchKit/bin/verifypn-linux64 -s\ BestFS\ -q\ 0\ -d\ 10 ./model.pnml ./ReachabilityFireability.xml -x 16

FORMULA SafeBus-COL-15-ReachabilityFireability-15 TRUE TECHNIQUES COLLATERAL_PROCESSING EXPLICIT STRUCTURAL_REDUCTION STATE_COMPRESSION STUBBORN_SETS
---------------------------------------------------
Step 2: Sequential processing
---------------------------------------------------
Remaining queries are verified sequentially.
Each query is verified for a dynamic timeout (at least 480 seconds)
------------------- QUERY 3 ----------------------
Running query 3 for 1137 seconds. Remaining: 3 queries and 3405 seconds

Parameters: -s DFS -d 100 -q 100 ./model.pnml ./ReachabilityFireability.xml -x 3

Search=DFS,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=100,Stubborn_Reduction=ENABLED,Query_Simplication=ENABLED,QSTimeout=100,Siphon_Trap=DISABLED,LPSolve_Timeout=10

Query before reduction: EF (not (not ((((wait_cable_14 >= 1) and ((msgl_15 >= 1) and (PMC_14 >= 1))) or (((wait_cable_13 >= 1) and ((msgl_15 >= 1) and (PMC_13 >= 1))) or (((wait_cable_12 >= 1) and ((msgl_15 >= 1) and (PMC_12 >= 1))) or (((wait_cable_11 >= 1) and ((msgl_15 >= 1) and (PMC_11 >= 1))) or (((wait_cable_10 >= 1) and ((msgl_15 >= 1) and (PMC_10 >= 1))) or (((wait_cable_9 >= 1) and ((msgl_15 >= 1) and (PMC_9 >= 1))) or (((wait_cable_8 >= 1) and ((msgl_15 >= 1) and (PMC_8 >= 1))) or (((wait_cable_7 >= 1) and ((msgl_15 >= 1) and (PMC_7 >= 1))) or (((wait_cable_6 >= 1) and ((msgl_15 >= 1) and (PMC_6 >= 1))) or (((wait_cable_5 >= 1) and ((msgl_15 >= 1) and (PMC_5 >= 1))) or (((wait_cable_4 >= 1) and ((msgl_15 >= 1) and (PMC_4 >= 1))) or (((wait_cable_3 >= 1) and ((msgl_15 >= 1) and (PMC_3 >= 1))) or (((wait_cable_2 >= 1) and ((msgl_15 >= 1) and (PMC_2 >= 1))) or (((wait_cable_1 >= 1) and ((msgl_15 >= 1) and (PMC_1 >= 1))) or (((wait_cable_15 >= 1) and ((msgl_14 >= 1) and (PMC_15 >= 1))) or (((wait_cable_13 >= 1) and ((msgl_14 >= 1) and (PMC_13 >= 1))) or (((wait_cable_12 >= 1) and ((msgl_14 >= 1) and (PMC_12 >= 1))) or (((wait_cable_11 >= 1) and ((msgl_14 >= 1) and (PMC_11 >= 1))) or (((wait_cable_10 >= 1) and ((msgl_14 >= 1) and (PMC_10 >= 1))) or (((wait_cable_9 >= 1) and ((msgl_14 >= 1) and (PMC_9 >= 1))) or (((wait_cable_8 >= 1) and ((msgl_14 >= 1) and (PMC_8 >= 1))) or (((wait_cable_7 >= 1) and ((msgl_14 >= 1) and (PMC_7 >= 1))) or (((wait_cable_6 >= 1) and ((msgl_14 >= 1) and (PMC_6 >= 1))) or (((wait_cable_5 >= 1) and ((msgl_14 >= 1) and (PMC_5 >= 1))) or (((wait_cable_4 >= 1) and ((msgl_14 >= 1) and (PMC_4 >= 1))) or (((wait_cable_3 >= 1) and ((msgl_14 >= 1) and (PMC_3 >= 1))) or (((wait_cable_2 >= 1) and ((msgl_14 >= 1) and (PMC_2 >= 1))) or (((wait_cable_1 >= 1) and ((msgl_14 >= 1) and (PMC_1 >= 1))) or (((wait_cable_15 >= 1) and ((msgl_13 >= 1) and (PMC_15 >= 1))) or (((wait_cable_14 >= 1) and ((msgl_13 >= 1) and (PMC_14 >= 1))) or (((wait_cable_12 >= 1) and ((msgl_13 >= 1) and (PMC_12 >= 1))) or (((wait_cable_11 >= 1) and ((msgl_13 >= 1) and (PMC_11 >= 1))) or (((wait_cable_10 >= 1) and ((msgl_13 >= 1) and (PMC_10 >= 1))) or (((wait_cable_9 >= 1) and ((msgl_13 >= 1) and (PMC_9 >= 1))) or (((wait_cable_8 >= 1) and ((msgl_13 >= 1) and (PMC_8 >= 1))) or (((wait_cable_7 >= 1) and ((msgl_13 >= 1) and (PMC_7 >= 1))) or (((wait_cable_6 >= 1) and ((msgl_13 >= 1) and (PMC_6 >= 1))) or (((wait_cable_5 >= 1) and ((msgl_13 >= 1) and (PMC_5 >= 1))) or (((wait_cable_4 >= 1) and ((msgl_13 >= 1) and (PMC_4 >= 1))) or (((wait_cable_3 >= 1) and ((msgl_13 >= 1) and (PMC_3 >= 1))) or (((wait_cable_2 >= 1) and ((msgl_13 >= 1) and (PMC_2 >= 1))) or (((wait_cable_1 >= 1) and ((msgl_13 >= 1) and (PMC_1 >= 1))) or (((wait_cable_15 >= 1) and ((msgl_12 >= 1) and (PMC_15 >= 1))) or (((wait_cable_14 >= 1) and ((msgl_12 >= 1) and (PMC_14 >= 1))) or (((wait_cable_13 >= 1) and ((msgl_12 >= 1) and (PMC_13 >= 1))) or (((wait_cable_11 >= 1) and ((msgl_12 >= 1) and (PMC_11 >= 1))) or (((wait_cable_10 >= 1) and ((msgl_12 >= 1) and (PMC_10 >= 1))) or (((wait_cable_9 >= 1) and ((msgl_12 >= 1) and (PMC_9 >= 1))) or (((wait_cable_8 >= 1) and ((msgl_12 >= 1) and (PMC_8 >= 1))) or (((wait_cable_7 >= 1) and ((msgl_12 >= 1) and (PMC_7 >= 1))) or (((wait_cable_6 >= 1) and ((msgl_12 >= 1) and (PMC_6 >= 1))) or (((wait_cable_5 >= 1) and ((msgl_12 >= 1) and (PMC_5 >= 1))) or (((wait_cable_4 >= 1) and ((msgl_12 >= 1) and (PMC_4 >= 1))) or (((wait_cable_3 >= 1) and ((msgl_12 >= 1) and (PMC_3 >= 1))) or (((wait_cable_2 >= 1) and ((msgl_12 >= 1) and (PMC_2 >= 1))) or (((wait_cable_1 >= 1) and ((msgl_12 >= 1) and (PMC_1 >= 1))) or (((wait_cable_15 >= 1) and ((msgl_11 >= 1) and (PMC_15 >= 1))) or (((wait_cable_14 >= 1) and ((msgl_11 >= 1) and (PMC_14 >= 1))) or (((wait_cable_13 >= 1) and ((msgl_11 >= 1) and (PMC_13 >= 1))) or (((wait_cable_12 >= 1) and ((msgl_11 >= 1) and (PMC_12 >= 1))) or (((wait_cable_10 >= 1) and ((msgl_11 >= 1) and (PMC_10 >= 1))) or (((wait_cable_9 >= 1) and ((msgl_11 >= 1) and (PMC_9 >= 1))) or (((wait_cable_8 >= 1) and ((msgl_11 >= 1) and (PMC_8 >= 1))) or (((wait_cable_7 >= 1) and ((msgl_11 >= 1) and (PMC_7 >= 1))) or (((wait_cable_6 >= 1) and ((msgl_11 >= 1) and (PMC_6 >= 1))) or (((wait_cable_5 >= 1) and ((msgl_11 >= 1) and (PMC_5 >= 1))) or (((wait_cable_4 >= 1) and ((msgl_11 >= 1) and (PMC_4 >= 1))) or (((wait_cable_3 >= 1) and ((msgl_11 >= 1) and (PMC_3 >= 1))) or (((wait_cable_2 >= 1) and ((msgl_11 >= 1) and (PMC_2 >= 1))) or (((wait_cable_1 >= 1) and ((msgl_11 >= 1) and (PMC_1 >= 1))) or (((wait_cable_15 >= 1) and ((msgl_10 >= 1) and (PMC_15 >= 1))) or (((wait_cable_14 >= 1) and ((msgl_10 >= 1) and (PMC_14 >= 1))) or (((wait_cable_13 >= 1) and ((msgl_10 >= 1) and (PMC_13 >= 1))) or (((wait_cable_12 >= 1) and ((msgl_10 >= 1) and (PMC_12 >= 1))) or (((wait_cable_11 >= 1) and ((msgl_10 >= 1) and (PMC_11 >= 1))) or (((wait_cable_9 >= 1) and ((msgl_10 >= 1) and (PMC_9 >= 1))) or (((wait_cable_8 >= 1) and ((msgl_10 >= 1) and (PMC_8 >= 1))) or (((wait_cable_7 >= 1) and ((msgl_10 >= 1) and (PMC_7 >= 1))) or (((wait_cable_6 >= 1) and ((msgl_10 >= 1) and (PMC_6 >= 1))) or (((wait_cable_5 >= 1) and ((msgl_10 >= 1) and (PMC_5 >= 1))) or (((wait_cable_4 >= 1) and ((msgl_10 >= 1) and (PMC_4 >= 1))) or (((wait_cable_3 >= 1) and ((msgl_10 >= 1) and (PMC_3 >= 1))) or (((wait_cable_2 >= 1) and ((msgl_10 >= 1) and (PMC_2 >= 1))) or (((wait_cable_1 >= 1) and ((msgl_10 >= 1) and (PMC_1 >= 1))) or (((wait_cable_15 >= 1) and ((msgl_9 >= 1) and (PMC_15 >= 1))) or (((wait_cable_14 >= 1) and ((msgl_9 >= 1) and (PMC_14 >= 1))) or (((wait_cable_13 >= 1) and ((msgl_9 >= 1) and (PMC_13 >= 1))) or (((wait_cable_12 >= 1) and ((msgl_9 >= 1) and (PMC_12 >= 1))) or (((wait_cable_11 >= 1) and ((msgl_9 >= 1) and (PMC_11 >= 1))) or (((wait_cable_10 >= 1) and ((msgl_9 >= 1) and (PMC_10 >= 1))) or (((wait_cable_8 >= 1) and ((msgl_9 >= 1) and (PMC_8 >= 1))) or (((wait_cable_7 >= 1) and ((msgl_9 >= 1) and (PMC_7 >= 1))) or (((wait_cable_6 >= 1) and ((msgl_9 >= 1) and (PMC_6 >= 1))) or (((wait_cable_5 >= 1) and ((msgl_9 >= 1) and (PMC_5 >= 1))) or (((wait_cable_4 >= 1) and ((msgl_9 >= 1) and (PMC_4 >= 1))) or (((wait_cable_3 >= 1) and ((msgl_9 >= 1) and (PMC_3 >= 1))) or (((wait_cable_2 >= 1) and ((msgl_9 >= 1) and (PMC_2 >= 1))) or (((wait_cable_1 >= 1) and ((msgl_9 >= 1) and (PMC_1 >= 1))) or (((wait_cable_15 >= 1) and ((msgl_8 >= 1) and (PMC_15 >= 1))) or (((wait_cable_14 >= 1) and ((msgl_8 >= 1) and (PMC_14 >= 1))) or (((wait_cable_13 >= 1) and ((msgl_8 >= 1) and (PMC_13 >= 1))) or (((wait_cable_12 >= 1) and ((msgl_8 >= 1) and (PMC_12 >= 1))) or (((wait_cable_11 >= 1) and ((msgl_8 >= 1) and (PMC_11 >= 1))) or (((wait_cable_10 >= 1) and ((msgl_8 >= 1) and (PMC_10 >= 1))) or (((wait_cable_9 >= 1) and ((msgl_8 >= 1) and (PMC_9 >= 1))) or (((wait_cable_7 >= 1) and ((msgl_8 >= 1) and (PMC_7 >= 1))) or (((wait_cable_6 >= 1) and ((msgl_8 >= 1) and (PMC_6 >= 1))) or (((wait_cable_5 >= 1) and ((msgl_8 >= 1) and (PMC_5 >= 1))) or (((wait_cable_4 >= 1) and ((msgl_8 >= 1) and (PMC_4 >= 1))) or (((wait_cable_3 >= 1) and ((msgl_8 >= 1) and (PMC_3 >= 1))) or (((wait_cable_2 >= 1) and ((msgl_8 >= 1) and (PMC_2 >= 1))) or (((wait_cable_1 >= 1) and ((msgl_8 >= 1) and (PMC_1 >= 1))) or (((wait_cable_15 >= 1) and ((msgl_7 >= 1) and (PMC_15 >= 1))) or (((wait_cable_14 >= 1) and ((msgl_7 >= 1) and (PMC_14 >= 1))) or (((wait_cable_13 >= 1) and ((msgl_7 >= 1) and (PMC_13 >= 1))) or (((wait_cable_12 >= 1) and ((msgl_7 >= 1) and (PMC_12 >= 1))) or (((wait_cable_11 >= 1) and ((msgl_7 >= 1) and (PMC_11 >= 1))) or (((wait_cable_10 >= 1) and ((msgl_7 >= 1) and (PMC_10 >= 1))) or (((wait_cable_9 >= 1) and ((msgl_7 >= 1) and (PMC_9 >= 1))) or (((wait_cable_8 >= 1) and ((msgl_7 >= 1) and (PMC_8 >= 1))) or (((wait_cable_6 >= 1) and ((msgl_7 >= 1) and (PMC_6 >= 1))) or (((wait_cable_5 >= 1) and ((msgl_7 >= 1) and (PMC_5 >= 1))) or (((wait_cable_4 >= 1) and ((msgl_7 >= 1) and (PMC_4 >= 1))) or (((wait_cable_3 >= 1) and ((msgl_7 >= 1) and (PMC_3 >= 1))) or (((wait_cable_2 >= 1) and ((msgl_7 >= 1) and (PMC_2 >= 1))) or (((wait_cable_1 >= 1) and ((msgl_7 >= 1) and (PMC_1 >= 1))) or (((wait_cable_15 >= 1) and ((msgl_6 >= 1) and (PMC_15 >= 1))) or (((wait_cable_14 >= 1) and ((msgl_6 >= 1) and (PMC_14 >= 1))) or (((wait_cable_13 >= 1) and ((msgl_6 >= 1) and (PMC_13 >= 1))) or (((wait_cable_12 >= 1) and ((msgl_6 >= 1) and (PMC_12 >= 1))) or (((wait_cable_11 >= 1) and ((msgl_6 >= 1) and (PMC_11 >= 1))) or (((wait_cable_10 >= 1) and ((msgl_6 >= 1) and (PMC_10 >= 1))) or (((wait_cable_9 >= 1) and ((msgl_6 >= 1) and (PMC_9 >= 1))) or (((wait_cable_8 >= 1) and ((msgl_6 >= 1) and (PMC_8 >= 1))) or (((wait_cable_7 >= 1) and ((msgl_6 >= 1) and (PMC_7 >= 1))) or (((wait_cable_5 >= 1) and ((msgl_6 >= 1) and (PMC_5 >= 1))) or (((wait_cable_4 >= 1) and ((msgl_6 >= 1) and (PMC_4 >= 1))) or (((wait_cable_3 >= 1) and ((msgl_6 >= 1) and (PMC_3 >= 1))) or (((wait_cable_2 >= 1) and ((msgl_6 >= 1) and (PMC_2 >= 1))) or (((wait_cable_1 >= 1) and ((msgl_6 >= 1) and (PMC_1 >= 1))) or (((wait_cable_15 >= 1) and ((msgl_5 >= 1) and (PMC_15 >= 1))) or (((wait_cable_14 >= 1) and ((msgl_5 >= 1) and (PMC_14 >= 1))) or (((wait_cable_13 >= 1) and ((msgl_5 >= 1) and (PMC_13 >= 1))) or (((wait_cable_12 >= 1) and ((msgl_5 >= 1) and (PMC_12 >= 1))) or (((wait_cable_11 >= 1) and ((msgl_5 >= 1) and (PMC_11 >= 1))) or (((wait_cable_10 >= 1) and ((msgl_5 >= 1) and (PMC_10 >= 1))) or (((wait_cable_9 >= 1) and ((msgl_5 >= 1) and (PMC_9 >= 1))) or (((wait_cable_8 >= 1) and ((msgl_5 >= 1) and (PMC_8 >= 1))) or (((wait_cable_7 >= 1) and ((msgl_5 >= 1) and (PMC_7 >= 1))) or (((wait_cable_6 >= 1) and ((msgl_5 >= 1) and (PMC_6 >= 1))) or (((wait_cable_4 >= 1) and ((msgl_5 >= 1) and (PMC_4 >= 1))) or (((wait_cable_3 >= 1) and ((msgl_5 >= 1) and (PMC_3 >= 1))) or (((wait_cable_2 >= 1) and ((msgl_5 >= 1) and (PMC_2 >= 1))) or (((wait_cable_1 >= 1) and ((msgl_5 >= 1) and (PMC_1 >= 1))) or (((wait_cable_15 >= 1) and ((msgl_4 >= 1) and (PMC_15 >= 1))) or (((wait_cable_14 >= 1) and ((msgl_4 >= 1) and (PMC_14 >= 1))) or (((wait_cable_13 >= 1) and ((msgl_4 >= 1) and (PMC_13 >= 1))) or (((wait_cable_12 >= 1) and ((msgl_4 >= 1) and (PMC_12 >= 1))) or (((wait_cable_11 >= 1) and ((msgl_4 >= 1) and (PMC_11 >= 1))) or (((wait_cable_10 >= 1) and ((msgl_4 >= 1) and (PMC_10 >= 1))) or (((wait_cable_9 >= 1) and ((msgl_4 >= 1) and (PMC_9 >= 1))) or (((wait_cable_8 >= 1) and ((msgl_4 >= 1) and (PMC_8 >= 1))) or (((wait_cable_7 >= 1) and ((msgl_4 >= 1) and (PMC_7 >= 1))) or (((wait_cable_6 >= 1) and ((msgl_4 >= 1) and (PMC_6 >= 1))) or (((wait_cable_5 >= 1) and ((msgl_4 >= 1) and (PMC_5 >= 1))) or (((wait_cable_3 >= 1) and ((msgl_4 >= 1) and (PMC_3 >= 1))) or (((wait_cable_2 >= 1) and ((msgl_4 >= 1) and (PMC_2 >= 1))) or (((wait_cable_1 >= 1) and ((msgl_4 >= 1) and (PMC_1 >= 1))) or (((wait_cable_15 >= 1) and ((msgl_3 >= 1) and (PMC_15 >= 1))) or (((wait_cable_14 >= 1) and ((msgl_3 >= 1) and (PMC_14 >= 1))) or (((wait_cable_13 >= 1) and ((msgl_3 >= 1) and (PMC_13 >= 1))) or (((wait_cable_12 >= 1) and ((msgl_3 >= 1) and (PMC_12 >= 1))) or (((wait_cable_11 >= 1) and ((msgl_3 >= 1) and (PMC_11 >= 1))) or (((wait_cable_10 >= 1) and ((msgl_3 >= 1) and (PMC_10 >= 1))) or (((wait_cable_9 >= 1) and ((msgl_3 >= 1) and (PMC_9 >= 1))) or (((wait_cable_8 >= 1) and ((msgl_3 >= 1) and (PMC_8 >= 1))) or (((wait_cable_7 >= 1) and ((msgl_3 >= 1) and (PMC_7 >= 1))) or (((wait_cable_6 >= 1) and ((msgl_3 >= 1) and (PMC_6 >= 1))) or (((wait_cable_5 >= 1) and ((msgl_3 >= 1) and (PMC_5 >= 1))) or (((wait_cable_4 >= 1) and ((msgl_3 >= 1) and (PMC_4 >= 1))) or (((wait_cable_2 >= 1) and ((msgl_3 >= 1) and (PMC_2 >= 1))) or (((wait_cable_1 >= 1) and ((msgl_3 >= 1) and (PMC_1 >= 1))) or (((wait_cable_15 >= 1) and ((msgl_2 >= 1) and (PMC_15 >= 1))) or (((wait_cable_14 >= 1) and ((msgl_2 >= 1) and (PMC_14 >= 1))) or (((wait_cable_13 >= 1) and ((msgl_2 >= 1) and (PMC_13 >= 1))) or (((wait_cable_12 >= 1) and ((msgl_2 >= 1) and (PMC_12 >= 1))) or (((wait_cable_11 >= 1) and ((msgl_2 >= 1) and (PMC_11 >= 1))) or (((wait_cable_10 >= 1) and ((msgl_2 >= 1) and (PMC_10 >= 1))) or (((wait_cable_9 >= 1) and ((msgl_2 >= 1) and (PMC_9 >= 1))) or (((wait_cable_8 >= 1) and ((msgl_2 >= 1) and (PMC_8 >= 1))) or (((wait_cable_7 >= 1) and ((msgl_2 >= 1) and (PMC_7 >= 1))) or (((wait_cable_6 >= 1) and ((msgl_2 >= 1) and (PMC_6 >= 1))) or (((wait_cable_5 >= 1) and ((msgl_2 >= 1) and (PMC_5 >= 1))) or (((wait_cable_4 >= 1) and ((msgl_2 >= 1) and (PMC_4 >= 1))) or (((wait_cable_3 >= 1) and ((msgl_2 >= 1) and (PMC_3 >= 1))) or (((wait_cable_1 >= 1) and ((msgl_2 >= 1) and (PMC_1 >= 1))) or (((wait_cable_15 >= 1) and ((msgl_1 >= 1) and (PMC_15 >= 1))) or (((wait_cable_14 >= 1) and ((msgl_1 >= 1) and (PMC_14 >= 1))) or (((wait_cable_13 >= 1) and ((msgl_1 >= 1) and (PMC_13 >= 1))) or (((wait_cable_12 >= 1) and ((msgl_1 >= 1) and (PMC_12 >= 1))) or (((wait_cable_11 >= 1) and ((msgl_1 >= 1) and (PMC_11 >= 1))) or (((wait_cable_10 >= 1) and ((msgl_1 >= 1) and (PMC_10 >= 1))) or (((wait_cable_9 >= 1) and ((msgl_1 >= 1) and (PMC_9 >= 1))) or (((wait_cable_8 >= 1) and ((msgl_1 >= 1) and (PMC_8 >= 1))) or (((wait_cable_7 >= 1) and ((msgl_1 >= 1) and (PMC_7 >= 1))) or (((wait_cable_6 >= 1) and ((msgl_1 >= 1) and (PMC_6 >= 1))) or (((wait_cable_5 >= 1) and ((msgl_1 >= 1) and (PMC_5 >= 1))) or (((wait_cable_4 >= 1) and ((msgl_1 >= 1) and (PMC_4 >= 1))) or (((wait_cable_3 >= 1) and ((msgl_1 >= 1) and (PMC_3 >= 1))) or ((wait_cable_2 >= 1) and ((msgl_1 >= 1) and (PMC_2 >= 1)))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))) and ((((FMC_15 >= 1) and (S_tout >= 1)) or (((FMC_14 >= 1) and (S_tout >= 1)) or (((FMC_13 >= 1) and (S_tout >= 1)) or (((FMC_12 >= 1) and (S_tout >= 1)) or (((FMC_11 >= 1) and (S_tout >= 1)) or (((FMC_10 >= 1) and (S_tout >= 1)) or (((FMC_9 >= 1) and (S_tout >= 1)) or (((FMC_8 >= 1) and (S_tout >= 1)) or (((FMC_7 >= 1) and (S_tout >= 1)) or (((FMC_6 >= 1) and (S_tout >= 1)) or (((FMC_5 >= 1) and (S_tout >= 1)) or (((FMC_4 >= 1) and (S_tout >= 1)) or (((FMC_3 >= 1) and (S_tout >= 1)) or (((FMC_2 >= 1) and (S_tout >= 1)) or ((FMC_1 >= 1) and (S_tout >= 1)))))))))))))))) and (((wait_ack_14_15 >= 1) and (T_out >= 1)) or (((wait_ack_13_15 >= 1) and (T_out >= 1)) or (((wait_ack_12_15 >= 1) and (T_out >= 1)) or (((wait_ack_11_15 >= 1) and (T_out >= 1)) or (((wait_ack_10_15 >= 1) and (T_out >= 1)) or (((wait_ack_9_15 >= 1) and (T_out >= 1)) or (((wait_ack_8_15 >= 1) and (T_out >= 1)) or (((wait_ack_7_15 >= 1) and (T_out >= 1)) or (((wait_ack_6_15 >= 1) and (T_out >= 1)) or (((wait_ack_5_15 >= 1) and (T_out >= 1)) or (((wait_ack_4_15 >= 1) and (T_out >= 1)) or (((wait_ack_3_15 >= 1) and (T_out >= 1)) or (((wait_ack_2_15 >= 1) and (T_out >= 1)) or (((wait_ack_1_15 >= 1) and (T_out >= 1)) or (((wait_ack_15_14 >= 1) and (T_out >= 1)) or (((wait_ack_13_14 >= 1) and (T_out >= 1)) or (((wait_ack_12_14 >= 1) and (T_out >= 1)) or (((wait_ack_11_14 >= 1) and (T_out >= 1)) or (((wait_ack_10_14 >= 1) and (T_out >= 1)) or (((wait_ack_9_14 >= 1) and (T_out >= 1)) or (((wait_ack_8_14 >= 1) and (T_out >= 1)) or (((wait_ack_7_14 >= 1) and (T_out >= 1)) or (((wait_ack_6_14 >= 1) and (T_out >= 1)) or (((wait_ack_5_14 >= 1) and (T_out >= 1)) or (((wait_ack_4_14 >= 1) and (T_out >= 1)) or (((wait_ack_3_14 >= 1) and (T_out >= 1)) or (((wait_ack_2_14 >= 1) and (T_out >= 1)) or (((wait_ack_1_14 >= 1) and (T_out >= 1)) or (((wait_ack_15_13 >= 1) and (T_out >= 1)) or (((wait_ack_14_13 >= 1) and (T_out >= 1)) or (((wait_ack_12_13 >= 1) and (T_out >= 1)) or (((wait_ack_11_13 >= 1) and (T_out >= 1)) or (((wait_ack_10_13 >= 1) and (T_out >= 1)) or (((wait_ack_9_13 >= 1) and (T_out >= 1)) or (((wait_ack_8_13 >= 1) and (T_out >= 1)) or (((wait_ack_7_13 >= 1) and (T_out >= 1)) or (((wait_ack_6_13 >= 1) and (T_out >= 1)) or (((wait_ack_5_13 >= 1) and (T_out >= 1)) or (((wait_ack_4_13 >= 1) and (T_out >= 1)) or (((wait_ack_3_13 >= 1) and (T_out >= 1)) or (((wait_ack_2_13 >= 1) and (T_out >= 1)) or (((wait_ack_1_13 >= 1) and (T_out >= 1)) or (((wait_ack_15_12 >= 1) and (T_out >= 1)) or (((wait_ack_14_12 >= 1) and (T_out >= 1)) or (((wait_ack_13_12 >= 1) and (T_out >= 1)) or (((wait_ack_11_12 >= 1) and (T_out >= 1)) or (((wait_ack_10_12 >= 1) and (T_out >= 1)) or (((wait_ack_9_12 >= 1) and (T_out >= 1)) or (((wait_ack_8_12 >= 1) and (T_out >= 1)) or (((wait_ack_7_12 >= 1) and (T_out >= 1)) or (((wait_ack_6_12 >= 1) and (T_out >= 1)) or (((wait_ack_5_12 >= 1) and (T_out >= 1)) or (((wait_ack_4_12 >= 1) and (T_out >= 1)) or (((wait_ack_3_12 >= 1) and (T_out >= 1)) or (((wait_ack_2_12 >= 1) and (T_out >= 1)) or (((wait_ack_1_12 >= 1) and (T_out >= 1)) or (((wait_ack_15_11 >= 1) and (T_out >= 1)) or (((wait_ack_14_11 >= 1) and (T_out >= 1)) or (((wait_ack_13_11 >= 1) and (T_out >= 1)) or (((wait_ack_12_11 >= 1) and (T_out >= 1)) or (((wait_ack_10_11 >= 1) and (T_out >= 1)) or (((wait_ack_9_11 >= 1) and (T_out >= 1)) or (((wait_ack_8_11 >= 1) and (T_out >= 1)) or (((wait_ack_7_11 >= 1) and (T_out >= 1)) or (((wait_ack_6_11 >= 1) and (T_out >= 1)) or (((wait_ack_5_11 >= 1) and (T_out >= 1)) or (((wait_ack_4_11 >= 1) and (T_out >= 1)) or (((wait_ack_3_11 >= 1) and (T_out >= 1)) or (((wait_ack_2_11 >= 1) and (T_out >= 1)) or (((wait_ack_1_11 >= 1) and (T_out >= 1)) or (((wait_ack_15_10 >= 1) and (T_out >= 1)) or (((wait_ack_14_10 >= 1) and (T_out >= 1)) or (((wait_ack_13_10 >= 1) and (T_out >= 1)) or (((wait_ack_12_10 >= 1) and (T_out >= 1)) or (((wait_ack_11_10 >= 1) and (T_out >= 1)) or (((wait_ack_9_10 >= 1) and (T_out >= 1)) or (((wait_ack_8_10 >= 1) and (T_out >= 1)) or (((wait_ack_7_10 >= 1) and (T_out >= 1)) or (((wait_ack_6_10 >= 1) and (T_out >= 1)) or (((wait_ack_5_10 >= 1) and (T_out >= 1)) or (((wait_ack_4_10 >= 1) and (T_out >= 1)) or (((wait_ack_3_10 >= 1) and (T_out >= 1)) or (((wait_ack_2_10 >= 1) and (T_out >= 1)) or (((wait_ack_1_10 >= 1) and (T_out >= 1)) or (((wait_ack_15_9 >= 1) and (T_out >= 1)) or (((wait_ack_14_9 >= 1) and (T_out >= 1)) or (((wait_ack_13_9 >= 1) and (T_out >= 1)) or (((wait_ack_12_9 >= 1) and (T_out >= 1)) or (((wait_ack_11_9 >= 1) and (T_out >= 1)) or (((wait_ack_10_9 >= 1) and (T_out >= 1)) or (((wait_ack_8_9 >= 1) and (T_out >= 1)) or (((wait_ack_7_9 >= 1) and (T_out >= 1)) or (((wait_ack_6_9 >= 1) and (T_out >= 1)) or (((wait_ack_5_9 >= 1) and (T_out >= 1)) or (((wait_ack_4_9 >= 1) and (T_out >= 1)) or (((wait_ack_3_9 >= 1) and (T_out >= 1)) or (((wait_ack_2_9 >= 1) and (T_out >= 1)) or (((wait_ack_1_9 >= 1) and (T_out >= 1)) or (((wait_ack_15_8 >= 1) and (T_out >= 1)) or (((wait_ack_14_8 >= 1) and (T_out >= 1)) or (((wait_ack_13_8 >= 1) and (T_out >= 1)) or (((wait_ack_12_8 >= 1) and (T_out >= 1)) or (((wait_ack_11_8 >= 1) and (T_out >= 1)) or (((wait_ack_10_8 >= 1) and (T_out >= 1)) or (((wait_ack_9_8 >= 1) and (T_out >= 1)) or (((wait_ack_7_8 >= 1) and (T_out >= 1)) or (((wait_ack_6_8 >= 1) and (T_out >= 1)) or (((wait_ack_5_8 >= 1) and (T_out >= 1)) or (((wait_ack_4_8 >= 1) and (T_out >= 1)) or (((wait_ack_3_8 >= 1) and (T_out >= 1)) or (((wait_ack_2_8 >= 1) and (T_out >= 1)) or (((wait_ack_1_8 >= 1) and (T_out >= 1)) or (((wait_ack_15_7 >= 1) and (T_out >= 1)) or (((wait_ack_14_7 >= 1) and (T_out >= 1)) or (((wait_ack_13_7 >= 1) and (T_out >= 1)) or (((wait_ack_12_7 >= 1) and (T_out >= 1)) or (((wait_ack_11_7 >= 1) and (T_out >= 1)) or (((wait_ack_10_7 >= 1) and (T_out >= 1)) or (((wait_ack_9_7 >= 1) and (T_out >= 1)) or (((wait_ack_8_7 >= 1) and (T_out >= 1)) or (((wait_ack_6_7 >= 1) and (T_out >= 1)) or (((wait_ack_5_7 >= 1) and (T_out >= 1)) or (((wait_ack_4_7 >= 1) and (T_out >= 1)) or (((wait_ack_3_7 >= 1) and (T_out >= 1)) or (((wait_ack_2_7 >= 1) and (T_out >= 1)) or (((wait_ack_1_7 >= 1) and (T_out >= 1)) or (((wait_ack_15_6 >= 1) and (T_out >= 1)) or (((wait_ack_14_6 >= 1) and (T_out >= 1)) or (((wait_ack_13_6 >= 1) and (T_out >= 1)) or (((wait_ack_12_6 >= 1) and (T_out >= 1)) or (((wait_ack_11_6 >= 1) and (T_out >= 1)) or (((wait_ack_10_6 >= 1) and (T_out >= 1)) or (((wait_ack_9_6 >= 1) and (T_out >= 1)) or (((wait_ack_8_6 >= 1) and (T_out >= 1)) or (((wait_ack_7_6 >= 1) and (T_out >= 1)) or (((wait_ack_5_6 >= 1) and (T_out >= 1)) or (((wait_ack_4_6 >= 1) and (T_out >= 1)) or (((wait_ack_3_6 >= 1) and (T_out >= 1)) or (((wait_ack_2_6 >= 1) and (T_out >= 1)) or (((wait_ack_1_6 >= 1) and (T_out >= 1)) or (((wait_ack_15_5 >= 1) and (T_out >= 1)) or (((wait_ack_14_5 >= 1) and (T_out >= 1)) or (((wait_ack_13_5 >= 1) and (T_out >= 1)) or (((wait_ack_12_5 >= 1) and (T_out >= 1)) or (((wait_ack_11_5 >= 1) and (T_out >= 1)) or (((wait_ack_10_5 >= 1) and (T_out >= 1)) or (((wait_ack_9_5 >= 1) and (T_out >= 1)) or (((wait_ack_8_5 >= 1) and (T_out >= 1)) or (((wait_ack_7_5 >= 1) and (T_out >= 1)) or (((wait_ack_6_5 >= 1) and (T_out >= 1)) or (((wait_ack_4_5 >= 1) and (T_out >= 1)) or (((wait_ack_3_5 >= 1) and (T_out >= 1)) or (((wait_ack_2_5 >= 1) and (T_out >= 1)) or (((wait_ack_1_5 >= 1) and (T_out >= 1)) or (((wait_ack_15_4 >= 1) and (T_out >= 1)) or (((wait_ack_14_4 >= 1) and (T_out >= 1)) or (((wait_ack_13_4 >= 1) and (T_out >= 1)) or (((wait_ack_12_4 >= 1) and (T_out >= 1)) or (((wait_ack_11_4 >= 1) and (T_out >= 1)) or (((wait_ack_10_4 >= 1) and (T_out >= 1)) or (((wait_ack_9_4 >= 1) and (T_out >= 1)) or (((wait_ack_8_4 >= 1) and (T_out >= 1)) or (((wait_ack_7_4 >= 1) and (T_out >= 1)) or (((wait_ack_6_4 >= 1) and (T_out >= 1)) or (((wait_ack_5_4 >= 1) and (T_out >= 1)) or (((wait_ack_3_4 >= 1) and (T_out >= 1)) or (((wait_ack_2_4 >= 1) and (T_out >= 1)) or (((wait_ack_1_4 >= 1) and (T_out >= 1)) or (((wait_ack_15_3 >= 1) and (T_out >= 1)) or (((wait_ack_14_3 >= 1) and (T_out >= 1)) or (((wait_ack_13_3 >= 1) and (T_out >= 1)) or (((wait_ack_12_3 >= 1) and (T_out >= 1)) or (((wait_ack_11_3 >= 1) and (T_out >= 1)) or (((wait_ack_10_3 >= 1) and (T_out >= 1)) or (((wait_ack_9_3 >= 1) and (T_out >= 1)) or (((wait_ack_8_3 >= 1) and (T_out >= 1)) or (((wait_ack_7_3 >= 1) and (T_out >= 1)) or (((wait_ack_6_3 >= 1) and (T_out >= 1)) or (((wait_ack_5_3 >= 1) and (T_out >= 1)) or (((wait_ack_4_3 >= 1) and (T_out >= 1)) or (((wait_ack_2_3 >= 1) and (T_out >= 1)) or (((wait_ack_1_3 >= 1) and (T_out >= 1)) or (((wait_ack_15_2 >= 1) and (T_out >= 1)) or (((wait_ack_14_2 >= 1) and (T_out >= 1)) or (((wait_ack_13_2 >= 1) and (T_out >= 1)) or (((wait_ack_12_2 >= 1) and (T_out >= 1)) or (((wait_ack_11_2 >= 1) and (T_out >= 1)) or (((wait_ack_10_2 >= 1) and (T_out >= 1)) or (((wait_ack_9_2 >= 1) and (T_out >= 1)) or (((wait_ack_8_2 >= 1) and (T_out >= 1)) or (((wait_ack_7_2 >= 1) and (T_out >= 1)) or (((wait_ack_6_2 >= 1) and (T_out >= 1)) or (((wait_ack_5_2 >= 1) and (T_out >= 1)) or (((wait_ack_4_2 >= 1) and (T_out >= 1)) or (((wait_ack_3_2 >= 1) and (T_out >= 1)) or (((wait_ack_1_2 >= 1) and (T_out >= 1)) or (((wait_ack_15_1 >= 1) and (T_out >= 1)) or (((wait_ack_14_1 >= 1) and (T_out >= 1)) or (((wait_ack_13_1 >= 1) and (T_out >= 1)) or (((wait_ack_12_1 >= 1) and (T_out >= 1)) or (((wait_ack_11_1 >= 1) and (T_out >= 1)) or (((wait_ack_10_1 >= 1) and (T_out >= 1)) or (((wait_ack_9_1 >= 1) and (T_out >= 1)) or (((wait_ack_8_1 >= 1) and (T_out >= 1)) or (((wait_ack_7_1 >= 1) and (T_out >= 1)) or (((wait_ack_6_1 >= 1) and (T_out >= 1)) or (((wait_ack_5_1 >= 1) and (T_out >= 1)) or (((wait_ack_4_1 >= 1) and (T_out >= 1)) or (((wait_ack_3_1 >= 1) and (T_out >= 1)) or ((wait_ack_2_1 >= 1) and (T_out >= 1)))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))
Query after reduction: EF ((((wait_cable_14 >= 1) and (PMC_14 >= 1)) or (((wait_cable_13 >= 1) and (PMC_13 >= 1)) or (((wait_cable_12 >= 1) and (PMC_12 >= 1)) or (((wait_cable_11 >= 1) and (PMC_11 >= 1)) or (((wait_cable_10 >= 1) and (PMC_10 >= 1)) or (((wait_cable_9 >= 1) and (PMC_9 >= 1)) or (((wait_cable_8 >= 1) and (PMC_8 >= 1)) or (((wait_cable_7 >= 1) and (PMC_7 >= 1)) or (((wait_cable_6 >= 1) and (PMC_6 >= 1)) or (((wait_cable_5 >= 1) and (PMC_5 >= 1)) or (((wait_cable_4 >= 1) and (PMC_4 >= 1)) or (((wait_cable_3 >= 1) and (PMC_3 >= 1)) or (((wait_cable_2 >= 1) and (PMC_2 >= 1)) or (((wait_cable_1 >= 1) and (PMC_1 >= 1)) or (((wait_cable_15 >= 1) and (PMC_15 >= 1)) or (((wait_cable_13 >= 1) and (PMC_13 >= 1)) or (((wait_cable_12 >= 1) and (PMC_12 >= 1)) or (((wait_cable_11 >= 1) and (PMC_11 >= 1)) or (((wait_cable_10 >= 1) and (PMC_10 >= 1)) or (((wait_cable_9 >= 1) and (PMC_9 >= 1)) or (((wait_cable_8 >= 1) and (PMC_8 >= 1)) or (((wait_cable_7 >= 1) and (PMC_7 >= 1)) or (((wait_cable_6 >= 1) and (PMC_6 >= 1)) or (((wait_cable_5 >= 1) and (PMC_5 >= 1)) or (((wait_cable_4 >= 1) and (PMC_4 >= 1)) or (((wait_cable_3 >= 1) and (PMC_3 >= 1)) or (((wait_cable_2 >= 1) and (PMC_2 >= 1)) or (((wait_cable_1 >= 1) and (PMC_1 >= 1)) or (((wait_cable_15 >= 1) and (PMC_15 >= 1)) or (((wait_cable_14 >= 1) and (PMC_14 >= 1)) or (((wait_cable_12 >= 1) and (PMC_12 >= 1)) or (((wait_cable_11 >= 1) and (PMC_11 >= 1)) or (((wait_cable_10 >= 1) and (PMC_10 >= 1)) or (((wait_cable_9 >= 1) and (PMC_9 >= 1)) or (((wait_cable_8 >= 1) and (PMC_8 >= 1)) or (((wait_cable_7 >= 1) and (PMC_7 >= 1)) or (((wait_cable_6 >= 1) and (PMC_6 >= 1)) or (((wait_cable_5 >= 1) and (PMC_5 >= 1)) or (((wait_cable_4 >= 1) and (PMC_4 >= 1)) or (((wait_cable_3 >= 1) and (PMC_3 >= 1)) or (((wait_cable_2 >= 1) and (PMC_2 >= 1)) or (((wait_cable_1 >= 1) and (PMC_1 >= 1)) or (((wait_cable_15 >= 1) and (PMC_15 >= 1)) or (((wait_cable_14 >= 1) and (PMC_14 >= 1)) or (((wait_cable_13 >= 1) and (PMC_13 >= 1)) or (((wait_cable_11 >= 1) and (PMC_11 >= 1)) or (((wait_cable_10 >= 1) and (PMC_10 >= 1)) or (((wait_cable_9 >= 1) and (PMC_9 >= 1)) or (((wait_cable_8 >= 1) and (PMC_8 >= 1)) or (((wait_cable_7 >= 1) and (PMC_7 >= 1)) or (((wait_cable_6 >= 1) and (PMC_6 >= 1)) or (((wait_cable_5 >= 1) and (PMC_5 >= 1)) or (((wait_cable_4 >= 1) and (PMC_4 >= 1)) or (((wait_cable_3 >= 1) and (PMC_3 >= 1)) or (((wait_cable_2 >= 1) and (PMC_2 >= 1)) or (((wait_cable_1 >= 1) and (PMC_1 >= 1)) or (((wait_cable_15 >= 1) and (PMC_15 >= 1)) or (((wait_cable_14 >= 1) and (PMC_14 >= 1)) or (((wait_cable_13 >= 1) and (PMC_13 >= 1)) or (((wait_cable_12 >= 1) and (PMC_12 >= 1)) or (((wait_cable_10 >= 1) and (PMC_10 >= 1)) or (((wait_cable_9 >= 1) and (PMC_9 >= 1)) or (((wait_cable_8 >= 1) and (PMC_8 >= 1)) or (((wait_cable_7 >= 1) and (PMC_7 >= 1)) or (((wait_cable_6 >= 1) and (PMC_6 >= 1)) or (((wait_cable_5 >= 1) and (PMC_5 >= 1)) or (((wait_cable_4 >= 1) and (PMC_4 >= 1)) or (((wait_cable_3 >= 1) and (PMC_3 >= 1)) or (((wait_cable_2 >= 1) and (PMC_2 >= 1)) or (((wait_cable_1 >= 1) and (PMC_1 >= 1)) or (((wait_cable_15 >= 1) and (PMC_15 >= 1)) or (((wait_cable_14 >= 1) and (PMC_14 >= 1)) or (((wait_cable_13 >= 1) and (PMC_13 >= 1)) or (((wait_cable_12 >= 1) and (PMC_12 >= 1)) or (((wait_cable_11 >= 1) and (PMC_11 >= 1)) or (((wait_cable_9 >= 1) and (PMC_9 >= 1)) or (((wait_cable_8 >= 1) and (PMC_8 >= 1)) or (((wait_cable_7 >= 1) and (PMC_7 >= 1)) or (((wait_cable_6 >= 1) and (PMC_6 >= 1)) or (((wait_cable_5 >= 1) and (PMC_5 >= 1)) or (((wait_cable_4 >= 1) and (PMC_4 >= 1)) or (((wait_cable_3 >= 1) and (PMC_3 >= 1)) or (((wait_cable_2 >= 1) and (PMC_2 >= 1)) or (((wait_cable_1 >= 1) and (PMC_1 >= 1)) or (((wait_cable_15 >= 1) and (PMC_15 >= 1)) or (((wait_cable_14 >= 1) and (PMC_14 >= 1)) or (((wait_cable_13 >= 1) and (PMC_13 >= 1)) or (((wait_cable_12 >= 1) and (PMC_12 >= 1)) or (((wait_cable_11 >= 1) and (PMC_11 >= 1)) or (((wait_cable_10 >= 1) and (PMC_10 >= 1)) or (((wait_cable_8 >= 1) and (PMC_8 >= 1)) or (((wait_cable_7 >= 1) and (PMC_7 >= 1)) or (((wait_cable_6 >= 1) and (PMC_6 >= 1)) or (((wait_cable_5 >= 1) and (PMC_5 >= 1)) or (((wait_cable_4 >= 1) and (PMC_4 >= 1)) or (((wait_cable_3 >= 1) and (PMC_3 >= 1)) or (((wait_cable_2 >= 1) and (PMC_2 >= 1)) or (((wait_cable_1 >= 1) and (PMC_1 >= 1)) or (((wait_cable_15 >= 1) and (PMC_15 >= 1)) or (((wait_cable_14 >= 1) and (PMC_14 >= 1)) or (((wait_cable_13 >= 1) and (PMC_13 >= 1)) or (((wait_cable_12 >= 1) and (PMC_12 >= 1)) or (((wait_cable_11 >= 1) and (PMC_11 >= 1)) or (((wait_cable_10 >= 1) and (PMC_10 >= 1)) or (((wait_cable_9 >= 1) and (PMC_9 >= 1)) or (((wait_cable_7 >= 1) and (PMC_7 >= 1)) or (((wait_cable_6 >= 1) and (PMC_6 >= 1)) or (((wait_cable_5 >= 1) and (PMC_5 >= 1)) or (((wait_cable_4 >= 1) and (PMC_4 >= 1)) or (((wait_cable_3 >= 1) and (PMC_3 >= 1)) or (((wait_cable_2 >= 1) and (PMC_2 >= 1)) or (((wait_cable_1 >= 1) and (PMC_1 >= 1)) or (((wait_cable_15 >= 1) and (PMC_15 >= 1)) or (((wait_cable_14 >= 1) and (PMC_14 >= 1)) or (((wait_cable_13 >= 1) and (PMC_13 >= 1)) or (((wait_cable_12 >= 1) and (PMC_12 >= 1)) or (((wait_cable_11 >= 1) and (PMC_11 >= 1)) or (((wait_cable_10 >= 1) and (PMC_10 >= 1)) or (((wait_cable_9 >= 1) and (PMC_9 >= 1)) or (((wait_cable_8 >= 1) and (PMC_8 >= 1)) or (((wait_cable_6 >= 1) and (PMC_6 >= 1)) or (((wait_cable_5 >= 1) and (PMC_5 >= 1)) or (((wait_cable_4 >= 1) and (PMC_4 >= 1)) or (((wait_cable_3 >= 1) and (PMC_3 >= 1)) or (((wait_cable_2 >= 1) and (PMC_2 >= 1)) or (((wait_cable_1 >= 1) and (PMC_1 >= 1)) or (((wait_cable_15 >= 1) and (PMC_15 >= 1)) or (((wait_cable_14 >= 1) and (PMC_14 >= 1)) or (((wait_cable_13 >= 1) and (PMC_13 >= 1)) or (((wait_cable_12 >= 1) and (PMC_12 >= 1)) or (((wait_cable_11 >= 1) and (PMC_11 >= 1)) or (((wait_cable_10 >= 1) and (PMC_10 >= 1)) or (((wait_cable_9 >= 1) and (PMC_9 >= 1)) or (((wait_cable_8 >= 1) and (PMC_8 >= 1)) or (((wait_cable_7 >= 1) and (PMC_7 >= 1)) or (((wait_cable_5 >= 1) and (PMC_5 >= 1)) or (((wait_cable_4 >= 1) and (PMC_4 >= 1)) or (((wait_cable_3 >= 1) and (PMC_3 >= 1)) or (((wait_cable_2 >= 1) and (PMC_2 >= 1)) or (((wait_cable_1 >= 1) and (PMC_1 >= 1)) or (((wait_cable_15 >= 1) and (PMC_15 >= 1)) or (((wait_cable_14 >= 1) and (PMC_14 >= 1)) or (((wait_cable_13 >= 1) and (PMC_13 >= 1)) or (((wait_cable_12 >= 1) and (PMC_12 >= 1)) or (((wait_cable_11 >= 1) and (PMC_11 >= 1)) or (((wait_cable_10 >= 1) and (PMC_10 >= 1)) or (((wait_cable_9 >= 1) and (PMC_9 >= 1)) or (((wait_cable_8 >= 1) and (PMC_8 >= 1)) or (((wait_cable_7 >= 1) and (PMC_7 >= 1)) or (((wait_cable_6 >= 1) and (PMC_6 >= 1)) or (((wait_cable_4 >= 1) and (PMC_4 >= 1)) or (((wait_cable_3 >= 1) and (PMC_3 >= 1)) or (((wait_cable_2 >= 1) and (PMC_2 >= 1)) or (((wait_cable_1 >= 1) and (PMC_1 >= 1)) or (((wait_cable_15 >= 1) and (PMC_15 >= 1)) or (((wait_cable_14 >= 1) and (PMC_14 >= 1)) or (((wait_cable_13 >= 1) and (PMC_13 >= 1)) or (((wait_cable_12 >= 1) and (PMC_12 >= 1)) or (((wait_cable_11 >= 1) and (PMC_11 >= 1)) or (((wait_cable_10 >= 1) and (PMC_10 >= 1)) or (((wait_cable_9 >= 1) and (PMC_9 >= 1)) or (((wait_cable_8 >= 1) and (PMC_8 >= 1)) or (((wait_cable_7 >= 1) and (PMC_7 >= 1)) or (((wait_cable_6 >= 1) and (PMC_6 >= 1)) or (((wait_cable_5 >= 1) and (PMC_5 >= 1)) or (((wait_cable_3 >= 1) and (PMC_3 >= 1)) or (((wait_cable_2 >= 1) and (PMC_2 >= 1)) or (((wait_cable_1 >= 1) and (PMC_1 >= 1)) or (((wait_cable_15 >= 1) and (PMC_15 >= 1)) or (((wait_cable_14 >= 1) and (PMC_14 >= 1)) or (((wait_cable_13 >= 1) and (PMC_13 >= 1)) or (((wait_cable_12 >= 1) and (PMC_12 >= 1)) or (((wait_cable_11 >= 1) and (PMC_11 >= 1)) or (((wait_cable_10 >= 1) and (PMC_10 >= 1)) or (((wait_cable_9 >= 1) and (PMC_9 >= 1)) or (((wait_cable_8 >= 1) and (PMC_8 >= 1)) or (((wait_cable_7 >= 1) and (PMC_7 >= 1)) or (((wait_cable_6 >= 1) and (PMC_6 >= 1)) or (((wait_cable_5 >= 1) and (PMC_5 >= 1)) or (((wait_cable_4 >= 1) and (PMC_4 >= 1)) or (((wait_cable_2 >= 1) and (PMC_2 >= 1)) or (((wait_cable_1 >= 1) and (PMC_1 >= 1)) or (((wait_cable_15 >= 1) and (PMC_15 >= 1)) or (((wait_cable_14 >= 1) and (PMC_14 >= 1)) or (((wait_cable_13 >= 1) and (PMC_13 >= 1)) or (((wait_cable_12 >= 1) and (PMC_12 >= 1)) or (((wait_cable_11 >= 1) and (PMC_11 >= 1)) or (((wait_cable_10 >= 1) and (PMC_10 >= 1)) or (((wait_cable_9 >= 1) and (PMC_9 >= 1)) or (((wait_cable_8 >= 1) and (PMC_8 >= 1)) or (((wait_cable_7 >= 1) and (PMC_7 >= 1)) or (((wait_cable_6 >= 1) and (PMC_6 >= 1)) or (((wait_cable_5 >= 1) and (PMC_5 >= 1)) or (((wait_cable_4 >= 1) and (PMC_4 >= 1)) or (((wait_cable_3 >= 1) and (PMC_3 >= 1)) or (((wait_cable_1 >= 1) and (PMC_1 >= 1)) or (((wait_cable_15 >= 1) and (PMC_15 >= 1)) or (((wait_cable_14 >= 1) and (PMC_14 >= 1)) or (((wait_cable_13 >= 1) and (PMC_13 >= 1)) or (((wait_cable_12 >= 1) and (PMC_12 >= 1)) or (((wait_cable_11 >= 1) and (PMC_11 >= 1)) or (((wait_cable_10 >= 1) and (PMC_10 >= 1)) or (((wait_cable_9 >= 1) and (PMC_9 >= 1)) or (((wait_cable_8 >= 1) and (PMC_8 >= 1)) or (((wait_cable_7 >= 1) and (PMC_7 >= 1)) or (((wait_cable_6 >= 1) and (PMC_6 >= 1)) or (((wait_cable_5 >= 1) and (PMC_5 >= 1)) or (((wait_cable_4 >= 1) and (PMC_4 >= 1)) or (((wait_cable_3 >= 1) and (PMC_3 >= 1)) or ((wait_cable_2 >= 1) and (PMC_2 >= 1))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))) and ((((FMC_15 >= 1) and (S_tout >= 1)) or (((FMC_14 >= 1) and (S_tout >= 1)) or (((FMC_13 >= 1) and (S_tout >= 1)) or (((FMC_12 >= 1) and (S_tout >= 1)) or (((FMC_11 >= 1) and (S_tout >= 1)) or (((FMC_10 >= 1) and (S_tout >= 1)) or (((FMC_9 >= 1) and (S_tout >= 1)) or (((FMC_8 >= 1) and (S_tout >= 1)) or (((FMC_7 >= 1) and (S_tout >= 1)) or (((FMC_6 >= 1) and (S_tout >= 1)) or (((FMC_5 >= 1) and (S_tout >= 1)) or (((FMC_4 >= 1) and (S_tout >= 1)) or (((FMC_3 >= 1) and (S_tout >= 1)) or (((FMC_2 >= 1) and (S_tout >= 1)) or ((FMC_1 >= 1) and (S_tout >= 1)))))))))))))))) and (((wait_ack_14_15 >= 1) and (T_out >= 1)) or (((wait_ack_13_15 >= 1) and (T_out >= 1)) or (((wait_ack_12_15 >= 1) and (T_out >= 1)) or (((wait_ack_11_15 >= 1) and (T_out >= 1)) or (((wait_ack_10_15 >= 1) and (T_out >= 1)) or (((wait_ack_9_15 >= 1) and (T_out >= 1)) or (((wait_ack_8_15 >= 1) and (T_out >= 1)) or (((wait_ack_7_15 >= 1) and (T_out >= 1)) or (((wait_ack_6_15 >= 1) and (T_out >= 1)) or (((wait_ack_5_15 >= 1) and (T_out >= 1)) or (((wait_ack_4_15 >= 1) and (T_out >= 1)) or (((wait_ack_3_15 >= 1) and (T_out >= 1)) or (((wait_ack_2_15 >= 1) and (T_out >= 1)) or (((wait_ack_1_15 >= 1) and (T_out >= 1)) or (((wait_ack_15_14 >= 1) and (T_out >= 1)) or (((wait_ack_13_14 >= 1) and (T_out >= 1)) or (((wait_ack_12_14 >= 1) and (T_out >= 1)) or (((wait_ack_11_14 >= 1) and (T_out >= 1)) or (((wait_ack_10_14 >= 1) and (T_out >= 1)) or (((wait_ack_9_14 >= 1) and (T_out >= 1)) or (((wait_ack_8_14 >= 1) and (T_out >= 1)) or (((wait_ack_7_14 >= 1) and (T_out >= 1)) or (((wait_ack_6_14 >= 1) and (T_out >= 1)) or (((wait_ack_5_14 >= 1) and (T_out >= 1)) or (((wait_ack_4_14 >= 1) and (T_out >= 1)) or (((wait_ack_3_14 >= 1) and (T_out >= 1)) or (((wait_ack_2_14 >= 1) and (T_out >= 1)) or (((wait_ack_1_14 >= 1) and (T_out >= 1)) or (((wait_ack_15_13 >= 1) and (T_out >= 1)) or (((wait_ack_14_13 >= 1) and (T_out >= 1)) or (((wait_ack_12_13 >= 1) and (T_out >= 1)) or (((wait_ack_11_13 >= 1) and (T_out >= 1)) or (((wait_ack_10_13 >= 1) and (T_out >= 1)) or (((wait_ack_9_13 >= 1) and (T_out >= 1)) or (((wait_ack_8_13 >= 1) and (T_out >= 1)) or (((wait_ack_7_13 >= 1) and (T_out >= 1)) or (((wait_ack_6_13 >= 1) and (T_out >= 1)) or (((wait_ack_5_13 >= 1) and (T_out >= 1)) or (((wait_ack_4_13 >= 1) and (T_out >= 1)) or (((wait_ack_3_13 >= 1) and (T_out >= 1)) or (((wait_ack_2_13 >= 1) and (T_out >= 1)) or (((wait_ack_1_13 >= 1) and (T_out >= 1)) or (((wait_ack_15_12 >= 1) and (T_out >= 1)) or (((wait_ack_14_12 >= 1) and (T_out >= 1)) or (((wait_ack_13_12 >= 1) and (T_out >= 1)) or (((wait_ack_11_12 >= 1) and (T_out >= 1)) or (((wait_ack_10_12 >= 1) and (T_out >= 1)) or (((wait_ack_9_12 >= 1) and (T_out >= 1)) or (((wait_ack_8_12 >= 1) and (T_out >= 1)) or (((wait_ack_7_12 >= 1) and (T_out >= 1)) or (((wait_ack_6_12 >= 1) and (T_out >= 1)) or (((wait_ack_5_12 >= 1) and (T_out >= 1)) or (((wait_ack_4_12 >= 1) and (T_out >= 1)) or (((wait_ack_3_12 >= 1) and (T_out >= 1)) or (((wait_ack_2_12 >= 1) and (T_out >= 1)) or (((wait_ack_1_12 >= 1) and (T_out >= 1)) or (((wait_ack_15_11 >= 1) and (T_out >= 1)) or (((wait_ack_14_11 >= 1) and (T_out >= 1)) or (((wait_ack_13_11 >= 1) and (T_out >= 1)) or (((wait_ack_12_11 >= 1) and (T_out >= 1)) or (((wait_ack_10_11 >= 1) and (T_out >= 1)) or (((wait_ack_9_11 >= 1) and (T_out >= 1)) or (((wait_ack_8_11 >= 1) and (T_out >= 1)) or (((wait_ack_7_11 >= 1) and (T_out >= 1)) or (((wait_ack_6_11 >= 1) and (T_out >= 1)) or (((wait_ack_5_11 >= 1) and (T_out >= 1)) or (((wait_ack_4_11 >= 1) and (T_out >= 1)) or (((wait_ack_3_11 >= 1) and (T_out >= 1)) or (((wait_ack_2_11 >= 1) and (T_out >= 1)) or (((wait_ack_1_11 >= 1) and (T_out >= 1)) or (((wait_ack_15_10 >= 1) and (T_out >= 1)) or (((wait_ack_14_10 >= 1) and (T_out >= 1)) or (((wait_ack_13_10 >= 1) and (T_out >= 1)) or (((wait_ack_12_10 >= 1) and (T_out >= 1)) or (((wait_ack_11_10 >= 1) and (T_out >= 1)) or (((wait_ack_9_10 >= 1) and (T_out >= 1)) or (((wait_ack_8_10 >= 1) and (T_out >= 1)) or (((wait_ack_7_10 >= 1) and (T_out >= 1)) or (((wait_ack_6_10 >= 1) and (T_out >= 1)) or (((wait_ack_5_10 >= 1) and (T_out >= 1)) or (((wait_ack_4_10 >= 1) and (T_out >= 1)) or (((wait_ack_3_10 >= 1) and (T_out >= 1)) or (((wait_ack_2_10 >= 1) and (T_out >= 1)) or (((wait_ack_1_10 >= 1) and (T_out >= 1)) or (((wait_ack_15_9 >= 1) and (T_out >= 1)) or (((wait_ack_14_9 >= 1) and (T_out >= 1)) or (((wait_ack_13_9 >= 1) and (T_out >= 1)) or (((wait_ack_12_9 >= 1) and (T_out >= 1)) or (((wait_ack_11_9 >= 1) and (T_out >= 1)) or (((wait_ack_10_9 >= 1) and (T_out >= 1)) or (((wait_ack_8_9 >= 1) and (T_out >= 1)) or (((wait_ack_7_9 >= 1) and (T_out >= 1)) or (((wait_ack_6_9 >= 1) and (T_out >= 1)) or (((wait_ack_5_9 >= 1) and (T_out >= 1)) or (((wait_ack_4_9 >= 1) and (T_out >= 1)) or (((wait_ack_3_9 >= 1) and (T_out >= 1)) or (((wait_ack_2_9 >= 1) and (T_out >= 1)) or (((wait_ack_1_9 >= 1) and (T_out >= 1)) or (((wait_ack_15_8 >= 1) and (T_out >= 1)) or (((wait_ack_14_8 >= 1) and (T_out >= 1)) or (((wait_ack_13_8 >= 1) and (T_out >= 1)) or (((wait_ack_12_8 >= 1) and (T_out >= 1)) or (((wait_ack_11_8 >= 1) and (T_out >= 1)) or (((wait_ack_10_8 >= 1) and (T_out >= 1)) or (((wait_ack_9_8 >= 1) and (T_out >= 1)) or (((wait_ack_7_8 >= 1) and (T_out >= 1)) or (((wait_ack_6_8 >= 1) and (T_out >= 1)) or (((wait_ack_5_8 >= 1) and (T_out >= 1)) or (((wait_ack_4_8 >= 1) and (T_out >= 1)) or (((wait_ack_3_8 >= 1) and (T_out >= 1)) or (((wait_ack_2_8 >= 1) and (T_out >= 1)) or (((wait_ack_1_8 >= 1) and (T_out >= 1)) or (((wait_ack_15_7 >= 1) and (T_out >= 1)) or (((wait_ack_14_7 >= 1) and (T_out >= 1)) or (((wait_ack_13_7 >= 1) and (T_out >= 1)) or (((wait_ack_12_7 >= 1) and (T_out >= 1)) or (((wait_ack_11_7 >= 1) and (T_out >= 1)) or (((wait_ack_10_7 >= 1) and (T_out >= 1)) or (((wait_ack_9_7 >= 1) and (T_out >= 1)) or (((wait_ack_8_7 >= 1) and (T_out >= 1)) or (((wait_ack_6_7 >= 1) and (T_out >= 1)) or (((wait_ack_5_7 >= 1) and (T_out >= 1)) or (((wait_ack_4_7 >= 1) and (T_out >= 1)) or (((wait_ack_3_7 >= 1) and (T_out >= 1)) or (((wait_ack_2_7 >= 1) and (T_out >= 1)) or (((wait_ack_1_7 >= 1) and (T_out >= 1)) or (((wait_ack_15_6 >= 1) and (T_out >= 1)) or (((wait_ack_14_6 >= 1) and (T_out >= 1)) or (((wait_ack_13_6 >= 1) and (T_out >= 1)) or (((wait_ack_12_6 >= 1) and (T_out >= 1)) or (((wait_ack_11_6 >= 1) and (T_out >= 1)) or (((wait_ack_10_6 >= 1) and (T_out >= 1)) or (((wait_ack_9_6 >= 1) and (T_out >= 1)) or (((wait_ack_8_6 >= 1) and (T_out >= 1)) or (((wait_ack_7_6 >= 1) and (T_out >= 1)) or (((wait_ack_5_6 >= 1) and (T_out >= 1)) or (((wait_ack_4_6 >= 1) and (T_out >= 1)) or (((wait_ack_3_6 >= 1) and (T_out >= 1)) or (((wait_ack_2_6 >= 1) and (T_out >= 1)) or (((wait_ack_1_6 >= 1) and (T_out >= 1)) or (((wait_ack_15_5 >= 1) and (T_out >= 1)) or (((wait_ack_14_5 >= 1) and (T_out >= 1)) or (((wait_ack_13_5 >= 1) and (T_out >= 1)) or (((wait_ack_12_5 >= 1) and (T_out >= 1)) or (((wait_ack_11_5 >= 1) and (T_out >= 1)) or (((wait_ack_10_5 >= 1) and (T_out >= 1)) or (((wait_ack_9_5 >= 1) and (T_out >= 1)) or (((wait_ack_8_5 >= 1) and (T_out >= 1)) or (((wait_ack_7_5 >= 1) and (T_out >= 1)) or (((wait_ack_6_5 >= 1) and (T_out >= 1)) or (((wait_ack_4_5 >= 1) and (T_out >= 1)) or (((wait_ack_3_5 >= 1) and (T_out >= 1)) or (((wait_ack_2_5 >= 1) and (T_out >= 1)) or (((wait_ack_1_5 >= 1) and (T_out >= 1)) or (((wait_ack_15_4 >= 1) and (T_out >= 1)) or (((wait_ack_14_4 >= 1) and (T_out >= 1)) or (((wait_ack_13_4 >= 1) and (T_out >= 1)) or (((wait_ack_12_4 >= 1) and (T_out >= 1)) or (((wait_ack_11_4 >= 1) and (T_out >= 1)) or (((wait_ack_10_4 >= 1) and (T_out >= 1)) or (((wait_ack_9_4 >= 1) and (T_out >= 1)) or (((wait_ack_8_4 >= 1) and (T_out >= 1)) or (((wait_ack_7_4 >= 1) and (T_out >= 1)) or (((wait_ack_6_4 >= 1) and (T_out >= 1)) or (((wait_ack_5_4 >= 1) and (T_out >= 1)) or (((wait_ack_3_4 >= 1) and (T_out >= 1)) or (((wait_ack_2_4 >= 1) and (T_out >= 1)) or (((wait_ack_1_4 >= 1) and (T_out >= 1)) or (((wait_ack_15_3 >= 1) and (T_out >= 1)) or (((wait_ack_14_3 >= 1) and (T_out >= 1)) or (((wait_ack_13_3 >= 1) and (T_out >= 1)) or (((wait_ack_12_3 >= 1) and (T_out >= 1)) or (((wait_ack_11_3 >= 1) and (T_out >= 1)) or (((wait_ack_10_3 >= 1) and (T_out >= 1)) or (((wait_ack_9_3 >= 1) and (T_out >= 1)) or (((wait_ack_8_3 >= 1) and (T_out >= 1)) or (((wait_ack_7_3 >= 1) and (T_out >= 1)) or (((wait_ack_6_3 >= 1) and (T_out >= 1)) or (((wait_ack_5_3 >= 1) and (T_out >= 1)) or (((wait_ack_4_3 >= 1) and (T_out >= 1)) or (((wait_ack_2_3 >= 1) and (T_out >= 1)) or (((wait_ack_1_3 >= 1) and (T_out >= 1)) or (((wait_ack_15_2 >= 1) and (T_out >= 1)) or (((wait_ack_14_2 >= 1) and (T_out >= 1)) or (((wait_ack_13_2 >= 1) and (T_out >= 1)) or (((wait_ack_12_2 >= 1) and (T_out >= 1)) or (((wait_ack_11_2 >= 1) and (T_out >= 1)) or (((wait_ack_10_2 >= 1) and (T_out >= 1)) or (((wait_ack_9_2 >= 1) and (T_out >= 1)) or (((wait_ack_8_2 >= 1) and (T_out >= 1)) or (((wait_ack_7_2 >= 1) and (T_out >= 1)) or (((wait_ack_6_2 >= 1) and (T_out >= 1)) or (((wait_ack_5_2 >= 1) and (T_out >= 1)) or (((wait_ack_4_2 >= 1) and (T_out >= 1)) or (((wait_ack_3_2 >= 1) and (T_out >= 1)) or (((wait_ack_1_2 >= 1) and (T_out >= 1)) or (((wait_ack_15_1 >= 1) and (T_out >= 1)) or (((wait_ack_14_1 >= 1) and (T_out >= 1)) or (((wait_ack_13_1 >= 1) and (T_out >= 1)) or (((wait_ack_12_1 >= 1) and (T_out >= 1)) or (((wait_ack_11_1 >= 1) and (T_out >= 1)) or (((wait_ack_10_1 >= 1) and (T_out >= 1)) or (((wait_ack_9_1 >= 1) and (T_out >= 1)) or (((wait_ack_8_1 >= 1) and (T_out >= 1)) or (((wait_ack_7_1 >= 1) and (T_out >= 1)) or (((wait_ack_6_1 >= 1) and (T_out >= 1)) or (((wait_ack_5_1 >= 1) and (T_out >= 1)) or (((wait_ack_4_1 >= 1) and (T_out >= 1)) or (((wait_ack_3_1 >= 1) and (T_out >= 1)) or ((wait_ack_2_1 >= 1) and (T_out >= 1)))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))
Query size reduced from 4320 to 3480 nodes (19.44 percent reduction).
Query reduction reached timeout.
Size of net before structural reductions: 621 places, 4771 transitions
Size of net after structural reductions: 621 places, 4771 transitions
Structural reduction finished after 0.119773 seconds

Net reduction is enabled.
Removed transitions: 0
Removed places: 0
Applications of rule A: 0
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0
No solution found
------------------- QUERY 11 ----------------------
Running query 11 for 1136 seconds. Remaining: 2 queries and 2268 seconds

Parameters: -s DFS -d 100 -q 100 ./model.pnml ./ReachabilityFireability.xml -x 11

Search=DFS,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=100,Stubborn_Reduction=ENABLED,Query_Simplication=ENABLED,QSTimeout=100,Siphon_Trap=DISABLED,LPSolve_Timeout=10

Query before reduction: AG ((((not ((R_tout >= 1) and (ACK >= 1))) or (((listen_15 >= 1) and (MSG_15 >= 1)) or (((listen_14 >= 1) and (MSG_14 >= 1)) or (((listen_13 >= 1) and (MSG_13 >= 1)) or (((listen_12 >= 1) and (MSG_12 >= 1)) or (((listen_11 >= 1) and (MSG_11 >= 1)) or (((listen_10 >= 1) and (MSG_10 >= 1)) or (((listen_9 >= 1) and (MSG_9 >= 1)) or (((listen_8 >= 1) and (MSG_8 >= 1)) or (((listen_7 >= 1) and (MSG_7 >= 1)) or (((listen_6 >= 1) and (MSG_6 >= 1)) or (((listen_5 >= 1) and (MSG_5 >= 1)) or (((listen_4 >= 1) and (MSG_4 >= 1)) or (((listen_3 >= 1) and (MSG_3 >= 1)) or (((listen_2 >= 1) and (MSG_2 >= 1)) or ((listen_1 >= 1) and (MSG_1 >= 1))))))))))))))))) or ((((R_tout >= 1) and (ACK >= 1)) and (((FMC_15 >= 1) and (S_tout >= 1)) or (((FMC_14 >= 1) and (S_tout >= 1)) or (((FMC_13 >= 1) and (S_tout >= 1)) or (((FMC_12 >= 1) and (S_tout >= 1)) or (((FMC_11 >= 1) and (S_tout >= 1)) or (((FMC_10 >= 1) and (S_tout >= 1)) or (((FMC_9 >= 1) and (S_tout >= 1)) or (((FMC_8 >= 1) and (S_tout >= 1)) or (((FMC_7 >= 1) and (S_tout >= 1)) or (((FMC_6 >= 1) and (S_tout >= 1)) or (((FMC_5 >= 1) and (S_tout >= 1)) or (((FMC_4 >= 1) and (S_tout >= 1)) or (((FMC_3 >= 1) and (S_tout >= 1)) or (((FMC_2 >= 1) and (S_tout >= 1)) or ((FMC_1 >= 1) and (S_tout >= 1))))))))))))))))) or (((wait_cable_15 >= 1) and (RMC_15 >= 1)) or (((wait_cable_14 >= 1) and (RMC_14 >= 1)) or (((wait_cable_13 >= 1) and (RMC_13 >= 1)) or (((wait_cable_12 >= 1) and (RMC_12 >= 1)) or (((wait_cable_11 >= 1) and (RMC_11 >= 1)) or (((wait_cable_10 >= 1) and (RMC_10 >= 1)) or (((wait_cable_9 >= 1) and (RMC_9 >= 1)) or (((wait_cable_8 >= 1) and (RMC_8 >= 1)) or (((wait_cable_7 >= 1) and (RMC_7 >= 1)) or (((wait_cable_6 >= 1) and (RMC_6 >= 1)) or (((wait_cable_5 >= 1) and (RMC_5 >= 1)) or (((wait_cable_4 >= 1) and (RMC_4 >= 1)) or (((wait_cable_3 >= 1) and (RMC_3 >= 1)) or (((wait_cable_2 >= 1) and (RMC_2 >= 1)) or ((wait_cable_1 >= 1) and (RMC_1 >= 1)))))))))))))))))) or ((((FMCb >= 1) and ((wait_ack_14_15 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_13_15 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_12_15 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_11_15 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_10_15 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_9_15 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_8_15 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_7_15 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_6_15 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_5_15 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_4_15 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_3_15 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_2_15 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_1_15 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_15_14 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_13_14 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_12_14 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_11_14 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_10_14 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_9_14 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_8_14 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_7_14 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_6_14 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_5_14 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_4_14 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_3_14 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_2_14 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_1_14 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_15_13 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_14_13 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_12_13 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_11_13 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_10_13 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_9_13 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_8_13 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_7_13 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_6_13 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_5_13 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_4_13 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_3_13 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_2_13 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_1_13 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_15_12 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_14_12 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_13_12 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_11_12 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_10_12 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_9_12 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_8_12 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_7_12 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_6_12 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_5_12 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_4_12 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_3_12 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_2_12 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_1_12 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_15_11 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_14_11 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_13_11 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_12_11 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_10_11 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_9_11 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_8_11 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_7_11 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_6_11 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_5_11 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_4_11 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_3_11 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_2_11 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_1_11 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_15_10 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_14_10 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_13_10 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_12_10 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_11_10 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_9_10 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_8_10 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_7_10 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_6_10 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_5_10 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_4_10 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_3_10 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_2_10 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_1_10 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_15_9 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_14_9 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_13_9 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_12_9 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_11_9 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_10_9 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_8_9 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_7_9 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_6_9 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_5_9 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_4_9 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_3_9 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_2_9 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_1_9 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_15_8 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_14_8 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_13_8 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_12_8 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_11_8 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_10_8 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_9_8 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_7_8 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_6_8 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_5_8 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_4_8 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_3_8 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_2_8 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_1_8 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_15_7 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_14_7 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_13_7 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_12_7 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_11_7 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_10_7 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_9_7 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_8_7 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_6_7 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_5_7 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_4_7 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_3_7 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_2_7 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_1_7 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_15_6 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_14_6 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_13_6 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_12_6 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_11_6 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_10_6 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_9_6 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_8_6 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_7_6 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_5_6 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_4_6 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_3_6 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_2_6 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_1_6 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_15_5 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_14_5 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_13_5 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_12_5 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_11_5 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_10_5 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_9_5 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_8_5 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_7_5 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_6_5 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_4_5 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_3_5 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_2_5 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_1_5 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_15_4 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_14_4 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_13_4 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_12_4 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_11_4 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_10_4 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_9_4 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_8_4 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_7_4 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_6_4 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_5_4 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_3_4 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_2_4 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_1_4 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_15_3 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_14_3 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_13_3 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_12_3 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_11_3 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_10_3 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_9_3 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_8_3 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_7_3 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_6_3 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_5_3 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_4_3 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_2_3 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_1_3 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_15_2 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_14_2 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_13_2 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_12_2 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_11_2 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_10_2 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_9_2 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_8_2 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_7_2 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_6_2 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_5_2 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_4_2 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_3_2 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_1_2 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_15_1 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_14_1 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_13_1 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_12_1 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_11_1 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_10_1 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_9_1 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_8_1 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_7_1 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_6_1 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_5_1 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_4_1 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_3_1 >= 1) and (ACK >= 1))) or ((FMCb >= 1) and ((wait_ack_2_1 >= 1) and (ACK >= 1)))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))) or (not (((R_tout >= 1) and (ACK >= 1)) or (((wait_cable_15 >= 1) and (RMC_15 >= 1)) or (((wait_cable_14 >= 1) and (RMC_14 >= 1)) or (((wait_cable_13 >= 1) and (RMC_13 >= 1)) or (((wait_cable_12 >= 1) and (RMC_12 >= 1)) or (((wait_cable_11 >= 1) and (RMC_11 >= 1)) or (((wait_cable_10 >= 1) and (RMC_10 >= 1)) or (((wait_cable_9 >= 1) and (RMC_9 >= 1)) or (((wait_cable_8 >= 1) and (RMC_8 >= 1)) or (((wait_cable_7 >= 1) and (RMC_7 >= 1)) or (((wait_cable_6 >= 1) and (RMC_6 >= 1)) or (((wait_cable_5 >= 1) and (RMC_5 >= 1)) or (((wait_cable_4 >= 1) and (RMC_4 >= 1)) or (((wait_cable_3 >= 1) and (RMC_3 >= 1)) or (((wait_cable_2 >= 1) and (RMC_2 >= 1)) or ((wait_cable_1 >= 1) and (RMC_1 >= 1))))))))))))))))))))
Query after reduction: AG (((((R_tout < 1) or (ACK < 1)) or (((listen_15 >= 1) and (MSG_15 >= 1)) or (((listen_14 >= 1) and (MSG_14 >= 1)) or (((listen_13 >= 1) and (MSG_13 >= 1)) or (((listen_12 >= 1) and (MSG_12 >= 1)) or (((listen_11 >= 1) and (MSG_11 >= 1)) or (((listen_10 >= 1) and (MSG_10 >= 1)) or (((listen_9 >= 1) and (MSG_9 >= 1)) or (((listen_8 >= 1) and (MSG_8 >= 1)) or (((listen_7 >= 1) and (MSG_7 >= 1)) or (((listen_6 >= 1) and (MSG_6 >= 1)) or (((listen_5 >= 1) and (MSG_5 >= 1)) or (((listen_4 >= 1) and (MSG_4 >= 1)) or (((listen_3 >= 1) and (MSG_3 >= 1)) or (((listen_2 >= 1) and (MSG_2 >= 1)) or ((listen_1 >= 1) and (MSG_1 >= 1))))))))))))))))) or (((wait_cable_15 >= 1) and (RMC_15 >= 1)) or (((wait_cable_14 >= 1) and (RMC_14 >= 1)) or (((wait_cable_13 >= 1) and (RMC_13 >= 1)) or (((wait_cable_12 >= 1) and (RMC_12 >= 1)) or (((wait_cable_11 >= 1) and (RMC_11 >= 1)) or (((wait_cable_10 >= 1) and (RMC_10 >= 1)) or (((wait_cable_9 >= 1) and (RMC_9 >= 1)) or (((wait_cable_8 >= 1) and (RMC_8 >= 1)) or (((wait_cable_7 >= 1) and (RMC_7 >= 1)) or (((wait_cable_6 >= 1) and (RMC_6 >= 1)) or (((wait_cable_5 >= 1) and (RMC_5 >= 1)) or (((wait_cable_4 >= 1) and (RMC_4 >= 1)) or (((wait_cable_3 >= 1) and (RMC_3 >= 1)) or (((wait_cable_2 >= 1) and (RMC_2 >= 1)) or ((wait_cable_1 >= 1) and (RMC_1 >= 1))))))))))))))))) or ((((FMCb >= 1) and ((wait_ack_14_15 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_13_15 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_12_15 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_11_15 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_10_15 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_9_15 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_8_15 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_7_15 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_6_15 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_5_15 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_4_15 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_3_15 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_2_15 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_1_15 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_15_14 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_13_14 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_12_14 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_11_14 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_10_14 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_9_14 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_8_14 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_7_14 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_6_14 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_5_14 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_4_14 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_3_14 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_2_14 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_1_14 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_15_13 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_14_13 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_12_13 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_11_13 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_10_13 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_9_13 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_8_13 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_7_13 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_6_13 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_5_13 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_4_13 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_3_13 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_2_13 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_1_13 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_15_12 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_14_12 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_13_12 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_11_12 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_10_12 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_9_12 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_8_12 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_7_12 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_6_12 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_5_12 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_4_12 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_3_12 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_2_12 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_1_12 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_15_11 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_14_11 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_13_11 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_12_11 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_10_11 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_9_11 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_8_11 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_7_11 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_6_11 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_5_11 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_4_11 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_3_11 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_2_11 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_1_11 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_15_10 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_14_10 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_13_10 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_12_10 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_11_10 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_9_10 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_8_10 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_7_10 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_6_10 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_5_10 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_4_10 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_3_10 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_2_10 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_1_10 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_15_9 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_14_9 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_13_9 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_12_9 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_11_9 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_10_9 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_8_9 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_7_9 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_6_9 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_5_9 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_4_9 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_3_9 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_2_9 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_1_9 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_15_8 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_14_8 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_13_8 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_12_8 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_11_8 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_10_8 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_9_8 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_7_8 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_6_8 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_5_8 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_4_8 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_3_8 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_2_8 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_1_8 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_15_7 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_14_7 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_13_7 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_12_7 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_11_7 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_10_7 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_9_7 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_8_7 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_6_7 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_5_7 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_4_7 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_3_7 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_2_7 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_1_7 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_15_6 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_14_6 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_13_6 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_12_6 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_11_6 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_10_6 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_9_6 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_8_6 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_7_6 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_5_6 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_4_6 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_3_6 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_2_6 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_1_6 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_15_5 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_14_5 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_13_5 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_12_5 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_11_5 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_10_5 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_9_5 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_8_5 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_7_5 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_6_5 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_4_5 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_3_5 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_2_5 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_1_5 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_15_4 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_14_4 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_13_4 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_12_4 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_11_4 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_10_4 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_9_4 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_8_4 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_7_4 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_6_4 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_5_4 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_3_4 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_2_4 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_1_4 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_15_3 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_14_3 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_13_3 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_12_3 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_11_3 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_10_3 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_9_3 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_8_3 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_7_3 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_6_3 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_5_3 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_4_3 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_2_3 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_1_3 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_15_2 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_14_2 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_13_2 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_12_2 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_11_2 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_10_2 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_9_2 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_8_2 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_7_2 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_6_2 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_5_2 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_4_2 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_3_2 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_1_2 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_15_1 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_14_1 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_13_1 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_12_1 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_11_1 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_10_1 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_9_1 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_8_1 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_7_1 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_6_1 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_5_1 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_4_1 >= 1) and (ACK >= 1))) or (((FMCb >= 1) and ((wait_ack_3_1 >= 1) and (ACK >= 1))) or ((FMCb >= 1) and ((wait_ack_2_1 >= 1) and (ACK >= 1)))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))) or (((R_tout < 1) or (ACK < 1)) and (((wait_cable_15 < 1) or (RMC_15 < 1)) and (((wait_cable_14 < 1) or (RMC_14 < 1)) and (((wait_cable_13 < 1) or (RMC_13 < 1)) and (((wait_cable_12 < 1) or (RMC_12 < 1)) and (((wait_cable_11 < 1) or (RMC_11 < 1)) and (((wait_cable_10 < 1) or (RMC_10 < 1)) and (((wait_cable_9 < 1) or (RMC_9 < 1)) and (((wait_cable_8 < 1) or (RMC_8 < 1)) and (((wait_cable_7 < 1) or (RMC_7 < 1)) and (((wait_cable_6 < 1) or (RMC_6 < 1)) and (((wait_cable_5 < 1) or (RMC_5 < 1)) and (((wait_cable_4 < 1) or (RMC_4 < 1)) and (((wait_cable_3 < 1) or (RMC_3 < 1)) and (((wait_cable_2 < 1) or (RMC_2 < 1)) and ((wait_cable_1 < 1) or (RMC_1 < 1)))))))))))))))))))
Query size reduced from 3024 to 2896 nodes (4.23 percent reduction).
Query reduction finished after 56.449265 seconds.
Size of net before structural reductions: 621 places, 4771 transitions
Size of net after structural reductions: 621 places, 4771 transitions
Structural reduction finished after 0.106935 seconds

Net reduction is enabled.
Removed transitions: 0
Removed places: 0
Applications of rule A: 0
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0
No solution found
------------------- QUERY 15 ----------------------
Running query 15 for 1134 seconds. Remaining: 1 queries and 1132 seconds

Parameters: -s DFS -d 100 -q 100 ./model.pnml ./ReachabilityFireability.xml -x 15

Search=DFS,Trace=DISABLED,State_Space_Exploration=DISABLED,Structural_Reduction=AGGRESSIVE,Struct_Red_Timout=100,Stubborn_Reduction=ENABLED,Query_Simplication=ENABLED,QSTimeout=100,Siphon_Trap=DISABLED,LPSolve_Timeout=10

Query before reduction: EF (((not (not (((wait_ack_14_15 >= 1) and (T_out >= 1)) or (((wait_ack_13_15 >= 1) and (T_out >= 1)) or (((wait_ack_12_15 >= 1) and (T_out >= 1)) or (((wait_ack_11_15 >= 1) and (T_out >= 1)) or (((wait_ack_10_15 >= 1) and (T_out >= 1)) or (((wait_ack_9_15 >= 1) and (T_out >= 1)) or (((wait_ack_8_15 >= 1) and (T_out >= 1)) or (((wait_ack_7_15 >= 1) and (T_out >= 1)) or (((wait_ack_6_15 >= 1) and (T_out >= 1)) or (((wait_ack_5_15 >= 1) and (T_out >= 1)) or (((wait_ack_4_15 >= 1) and (T_out >= 1)) or (((wait_ack_3_15 >= 1) and (T_out >= 1)) or (((wait_ack_2_15 >= 1) and (T_out >= 1)) or (((wait_ack_1_15 >= 1) and (T_out >= 1)) or (((wait_ack_15_14 >= 1) and (T_out >= 1)) or (((wait_ack_13_14 >= 1) and (T_out >= 1)) or (((wait_ack_12_14 >= 1) and (T_out >= 1)) or (((wait_ack_11_14 >= 1) and (T_out >= 1)) or (((wait_ack_10_14 >= 1) and (T_out >= 1)) or (((wait_ack_9_14 >= 1) and (T_out >= 1)) or (((wait_ack_8_14 >= 1) and (T_out >= 1)) or (((wait_ack_7_14 >= 1) and (T_out >= 1)) or (((wait_ack_6_14 >= 1) and (T_out >= 1)) or (((wait_ack_5_14 >= 1) and (T_out >= 1)) or (((wait_ack_4_14 >= 1) and (T_out >= 1)) or (((wait_ack_3_14 >= 1) and (T_out >= 1)) or (((wait_ack_2_14 >= 1) and (T_out >= 1)) or (((wait_ack_1_14 >= 1) and (T_out >= 1)) or (((wait_ack_15_13 >= 1) and (T_out >= 1)) or (((wait_ack_14_13 >= 1) and (T_out >= 1)) or (((wait_ack_12_13 >= 1) and (T_out >= 1)) or (((wait_ack_11_13 >= 1) and (T_out >= 1)) or (((wait_ack_10_13 >= 1) and (T_out >= 1)) or (((wait_ack_9_13 >= 1) and (T_out >= 1)) or (((wait_ack_8_13 >= 1) and (T_out >= 1)) or (((wait_ack_7_13 >= 1) and (T_out >= 1)) or (((wait_ack_6_13 >= 1) and (T_out >= 1)) or (((wait_ack_5_13 >= 1) and (T_out >= 1)) or (((wait_ack_4_13 >= 1) and (T_out >= 1)) or (((wait_ack_3_13 >= 1) and (T_out >= 1)) or (((wait_ack_2_13 >= 1) and (T_out >= 1)) or (((wait_ack_1_13 >= 1) and (T_out >= 1)) or (((wait_ack_15_12 >= 1) and (T_out >= 1)) or (((wait_ack_14_12 >= 1) and (T_out >= 1)) or (((wait_ack_13_12 >= 1) and (T_out >= 1)) or (((wait_ack_11_12 >= 1) and (T_out >= 1)) or (((wait_ack_10_12 >= 1) and (T_out >= 1)) or (((wait_ack_9_12 >= 1) and (T_out >= 1)) or (((wait_ack_8_12 >= 1) and (T_out >= 1)) or (((wait_ack_7_12 >= 1) and (T_out >= 1)) or (((wait_ack_6_12 >= 1) and (T_out >= 1)) or (((wait_ack_5_12 >= 1) and (T_out >= 1)) or (((wait_ack_4_12 >= 1) and (T_out >= 1)) or (((wait_ack_3_12 >= 1) and (T_out >= 1)) or (((wait_ack_2_12 >= 1) and (T_out >= 1)) or (((wait_ack_1_12 >= 1) and (T_out >= 1)) or (((wait_ack_15_11 >= 1) and (T_out >= 1)) or (((wait_ack_14_11 >= 1) and (T_out >= 1)) or (((wait_ack_13_11 >= 1) and (T_out >= 1)) or (((wait_ack_12_11 >= 1) and (T_out >= 1)) or (((wait_ack_10_11 >= 1) and (T_out >= 1)) or (((wait_ack_9_11 >= 1) and (T_out >= 1)) or (((wait_ack_8_11 >= 1) and (T_out >= 1)) or (((wait_ack_7_11 >= 1) and (T_out >= 1)) or (((wait_ack_6_11 >= 1) and (T_out >= 1)) or (((wait_ack_5_11 >= 1) and (T_out >= 1)) or (((wait_ack_4_11 >= 1) and (T_out >= 1)) or (((wait_ack_3_11 >= 1) and (T_out >= 1)) or (((wait_ack_2_11 >= 1) and (T_out >= 1)) or (((wait_ack_1_11 >= 1) and (T_out >= 1)) or (((wait_ack_15_10 >= 1) and (T_out >= 1)) or (((wait_ack_14_10 >= 1) and (T_out >= 1)) or (((wait_ack_13_10 >= 1) and (T_out >= 1)) or (((wait_ack_12_10 >= 1) and (T_out >= 1)) or (((wait_ack_11_10 >= 1) and (T_out >= 1)) or (((wait_ack_9_10 >= 1) and (T_out >= 1)) or (((wait_ack_8_10 >= 1) and (T_out >= 1)) or (((wait_ack_7_10 >= 1) and (T_out >= 1)) or (((wait_ack_6_10 >= 1) and (T_out >= 1)) or (((wait_ack_5_10 >= 1) and (T_out >= 1)) or (((wait_ack_4_10 >= 1) and (T_out >= 1)) or (((wait_ack_3_10 >= 1) and (T_out >= 1)) or (((wait_ack_2_10 >= 1) and (T_out >= 1)) or (((wait_ack_1_10 >= 1) and (T_out >= 1)) or (((wait_ack_15_9 >= 1) and (T_out >= 1)) or (((wait_ack_14_9 >= 1) and (T_out >= 1)) or (((wait_ack_13_9 >= 1) and (T_out >= 1)) or (((wait_ack_12_9 >= 1) and (T_out >= 1)) or (((wait_ack_11_9 >= 1) and (T_out >= 1)) or (((wait_ack_10_9 >= 1) and (T_out >= 1)) or (((wait_ack_8_9 >= 1) and (T_out >= 1)) or (((wait_ack_7_9 >= 1) and (T_out >= 1)) or (((wait_ack_6_9 >= 1) and (T_out >= 1)) or (((wait_ack_5_9 >= 1) and (T_out >= 1)) or (((wait_ack_4_9 >= 1) and (T_out >= 1)) or (((wait_ack_3_9 >= 1) and (T_out >= 1)) or (((wait_ack_2_9 >= 1) and (T_out >= 1)) or (((wait_ack_1_9 >= 1) and (T_out >= 1)) or (((wait_ack_15_8 >= 1) and (T_out >= 1)) or (((wait_ack_14_8 >= 1) and (T_out >= 1)) or (((wait_ack_13_8 >= 1) and (T_out >= 1)) or (((wait_ack_12_8 >= 1) and (T_out >= 1)) or (((wait_ack_11_8 >= 1) and (T_out >= 1)) or (((wait_ack_10_8 >= 1) and (T_out >= 1)) or (((wait_ack_9_8 >= 1) and (T_out >= 1)) or (((wait_ack_7_8 >= 1) and (T_out >= 1)) or (((wait_ack_6_8 >= 1) and (T_out >= 1)) or (((wait_ack_5_8 >= 1) and (T_out >= 1)) or (((wait_ack_4_8 >= 1) and (T_out >= 1)) or (((wait_ack_3_8 >= 1) and (T_out >= 1)) or (((wait_ack_2_8 >= 1) and (T_out >= 1)) or (((wait_ack_1_8 >= 1) and (T_out >= 1)) or (((wait_ack_15_7 >= 1) and (T_out >= 1)) or (((wait_ack_14_7 >= 1) and (T_out >= 1)) or (((wait_ack_13_7 >= 1) and (T_out >= 1)) or (((wait_ack_12_7 >= 1) and (T_out >= 1)) or (((wait_ack_11_7 >= 1) and (T_out >= 1)) or (((wait_ack_10_7 >= 1) and (T_out >= 1)) or (((wait_ack_9_7 >= 1) and (T_out >= 1)) or (((wait_ack_8_7 >= 1) and (T_out >= 1)) or (((wait_ack_6_7 >= 1) and (T_out >= 1)) or (((wait_ack_5_7 >= 1) and (T_out >= 1)) or (((wait_ack_4_7 >= 1) and (T_out >= 1)) or (((wait_ack_3_7 >= 1) and (T_out >= 1)) or (((wait_ack_2_7 >= 1) and (T_out >= 1)) or (((wait_ack_1_7 >= 1) and (T_out >= 1)) or (((wait_ack_15_6 >= 1) and (T_out >= 1)) or (((wait_ack_14_6 >= 1) and (T_out >= 1)) or (((wait_ack_13_6 >= 1) and (T_out >= 1)) or (((wait_ack_12_6 >= 1) and (T_out >= 1)) or (((wait_ack_11_6 >= 1) and (T_out >= 1)) or (((wait_ack_10_6 >= 1) and (T_out >= 1)) or (((wait_ack_9_6 >= 1) and (T_out >= 1)) or (((wait_ack_8_6 >= 1) and (T_out >= 1)) or (((wait_ack_7_6 >= 1) and (T_out >= 1)) or (((wait_ack_5_6 >= 1) and (T_out >= 1)) or (((wait_ack_4_6 >= 1) and (T_out >= 1)) or (((wait_ack_3_6 >= 1) and (T_out >= 1)) or (((wait_ack_2_6 >= 1) and (T_out >= 1)) or (((wait_ack_1_6 >= 1) and (T_out >= 1)) or (((wait_ack_15_5 >= 1) and (T_out >= 1)) or (((wait_ack_14_5 >= 1) and (T_out >= 1)) or (((wait_ack_13_5 >= 1) and (T_out >= 1)) or (((wait_ack_12_5 >= 1) and (T_out >= 1)) or (((wait_ack_11_5 >= 1) and (T_out >= 1)) or (((wait_ack_10_5 >= 1) and (T_out >= 1)) or (((wait_ack_9_5 >= 1) and (T_out >= 1)) or (((wait_ack_8_5 >= 1) and (T_out >= 1)) or (((wait_ack_7_5 >= 1) and (T_out >= 1)) or (((wait_ack_6_5 >= 1) and (T_out >= 1)) or (((wait_ack_4_5 >= 1) and (T_out >= 1)) or (((wait_ack_3_5 >= 1) and (T_out >= 1)) or (((wait_ack_2_5 >= 1) and (T_out >= 1)) or (((wait_ack_1_5 >= 1) and (T_out >= 1)) or (((wait_ack_15_4 >= 1) and (T_out >= 1)) or (((wait_ack_14_4 >= 1) and (T_out >= 1)) or (((wait_ack_13_4 >= 1) and (T_out >= 1)) or (((wait_ack_12_4 >= 1) and (T_out >= 1)) or (((wait_ack_11_4 >= 1) and (T_out >= 1)) or (((wait_ack_10_4 >= 1) and (T_out >= 1)) or (((wait_ack_9_4 >= 1) and (T_out >= 1)) or (((wait_ack_8_4 >= 1) and (T_out >= 1)) or (((wait_ack_7_4 >= 1) and (T_out >= 1)) or (((wait_ack_6_4 >= 1) and (T_out >= 1)) or (((wait_ack_5_4 >= 1) and (T_out >= 1)) or (((wait_ack_3_4 >= 1) and (T_out >= 1)) or (((wait_ack_2_4 >= 1) and (T_out >= 1)) or (((wait_ack_1_4 >= 1) and (T_out >= 1)) or (((wait_ack_15_3 >= 1) and (T_out >= 1)) or (((wait_ack_14_3 >= 1) and (T_out >= 1)) or (((wait_ack_13_3 >= 1) and (T_out >= 1)) or (((wait_ack_12_3 >= 1) and (T_out >= 1)) or (((wait_ack_11_3 >= 1) and (T_out >= 1)) or (((wait_ack_10_3 >= 1) and (T_out >= 1)) or (((wait_ack_9_3 >= 1) and (T_out >= 1)) or (((wait_ack_8_3 >= 1) and (T_out >= 1)) or (((wait_ack_7_3 >= 1) and (T_out >= 1)) or (((wait_ack_6_3 >= 1) and (T_out >= 1)) or (((wait_ack_5_3 >= 1) and (T_out >= 1)) or (((wait_ack_4_3 >= 1) and (T_out >= 1)) or (((wait_ack_2_3 >= 1) and (T_out >= 1)) or (((wait_ack_1_3 >= 1) and (T_out >= 1)) or (((wait_ack_15_2 >= 1) and (T_out >= 1)) or (((wait_ack_14_2 >= 1) and (T_out >= 1)) or (((wait_ack_13_2 >= 1) and (T_out >= 1)) or (((wait_ack_12_2 >= 1) and (T_out >= 1)) or (((wait_ack_11_2 >= 1) and (T_out >= 1)) or (((wait_ack_10_2 >= 1) and (T_out >= 1)) or (((wait_ack_9_2 >= 1) and (T_out >= 1)) or (((wait_ack_8_2 >= 1) and (T_out >= 1)) or (((wait_ack_7_2 >= 1) and (T_out >= 1)) or (((wait_ack_6_2 >= 1) and (T_out >= 1)) or (((wait_ack_5_2 >= 1) and (T_out >= 1)) or (((wait_ack_4_2 >= 1) and (T_out >= 1)) or (((wait_ack_3_2 >= 1) and (T_out >= 1)) or (((wait_ack_1_2 >= 1) and (T_out >= 1)) or (((wait_ack_15_1 >= 1) and (T_out >= 1)) or (((wait_ack_14_1 >= 1) and (T_out >= 1)) or (((wait_ack_13_1 >= 1) and (T_out >= 1)) or (((wait_ack_12_1 >= 1) and (T_out >= 1)) or (((wait_ack_11_1 >= 1) and (T_out >= 1)) or (((wait_ack_10_1 >= 1) and (T_out >= 1)) or (((wait_ack_9_1 >= 1) and (T_out >= 1)) or (((wait_ack_8_1 >= 1) and (T_out >= 1)) or (((wait_ack_7_1 >= 1) and (T_out >= 1)) or (((wait_ack_6_1 >= 1) and (T_out >= 1)) or (((wait_ack_5_1 >= 1) and (T_out >= 1)) or (((wait_ack_4_1 >= 1) and (T_out >= 1)) or (((wait_ack_3_1 >= 1) and (T_out >= 1)) or ((wait_ack_2_1 >= 1) and (T_out >= 1))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))) or (((((wait_msg_15 >= 1) and (MSG_15 >= 1)) or (((wait_msg_14 >= 1) and (MSG_14 >= 1)) or (((wait_msg_13 >= 1) and (MSG_13 >= 1)) or (((wait_msg_12 >= 1) and (MSG_12 >= 1)) or (((wait_msg_11 >= 1) and (MSG_11 >= 1)) or (((wait_msg_10 >= 1) and (MSG_10 >= 1)) or (((wait_msg_9 >= 1) and (MSG_9 >= 1)) or (((wait_msg_8 >= 1) and (MSG_8 >= 1)) or (((wait_msg_7 >= 1) and (MSG_7 >= 1)) or (((wait_msg_6 >= 1) and (MSG_6 >= 1)) or (((wait_msg_5 >= 1) and (MSG_5 >= 1)) or (((wait_msg_4 >= 1) and (MSG_4 >= 1)) or (((wait_msg_3 >= 1) and (MSG_3 >= 1)) or (((wait_msg_2 >= 1) and (MSG_2 >= 1)) or ((wait_msg_1 >= 1) and (MSG_1 >= 1)))))))))))))))) or (((Cpt1_15 >= 1) and (listen_15 >= 1)) or (((Cpt1_15 >= 1) and (listen_14 >= 1)) or (((Cpt1_15 >= 1) and (listen_13 >= 1)) or (((Cpt1_15 >= 1) and (listen_12 >= 1)) or (((Cpt1_15 >= 1) and (listen_11 >= 1)) or (((Cpt1_15 >= 1) and (listen_10 >= 1)) or (((Cpt1_15 >= 1) and (listen_9 >= 1)) or (((Cpt1_15 >= 1) and (listen_8 >= 1)) or (((Cpt1_15 >= 1) and (listen_7 >= 1)) or (((Cpt1_15 >= 1) and (listen_6 >= 1)) or (((Cpt1_15 >= 1) and (listen_5 >= 1)) or (((Cpt1_15 >= 1) and (listen_4 >= 1)) or (((Cpt1_15 >= 1) and (listen_3 >= 1)) or (((Cpt1_15 >= 1) and (listen_2 >= 1)) or (((Cpt1_15 >= 1) and (listen_1 >= 1)) or (((Cpt1_14 >= 1) and (listen_15 >= 1)) or (((Cpt1_14 >= 1) and (listen_14 >= 1)) or (((Cpt1_14 >= 1) and (listen_13 >= 1)) or (((Cpt1_14 >= 1) and (listen_12 >= 1)) or (((Cpt1_14 >= 1) and (listen_11 >= 1)) or (((Cpt1_14 >= 1) and (listen_10 >= 1)) or (((Cpt1_14 >= 1) and (listen_9 >= 1)) or (((Cpt1_14 >= 1) and (listen_8 >= 1)) or (((Cpt1_14 >= 1) and (listen_7 >= 1)) or (((Cpt1_14 >= 1) and (listen_6 >= 1)) or (((Cpt1_14 >= 1) and (listen_5 >= 1)) or (((Cpt1_14 >= 1) and (listen_4 >= 1)) or (((Cpt1_14 >= 1) and (listen_3 >= 1)) or (((Cpt1_14 >= 1) and (listen_2 >= 1)) or (((Cpt1_14 >= 1) and (listen_1 >= 1)) or (((Cpt1_13 >= 1) and (listen_15 >= 1)) or (((Cpt1_13 >= 1) and (listen_14 >= 1)) or (((Cpt1_13 >= 1) and (listen_13 >= 1)) or (((Cpt1_13 >= 1) and (listen_12 >= 1)) or (((Cpt1_13 >= 1) and (listen_11 >= 1)) or (((Cpt1_13 >= 1) and (listen_10 >= 1)) or (((Cpt1_13 >= 1) and (listen_9 >= 1)) or (((Cpt1_13 >= 1) and (listen_8 >= 1)) or (((Cpt1_13 >= 1) and (listen_7 >= 1)) or (((Cpt1_13 >= 1) and (listen_6 >= 1)) or (((Cpt1_13 >= 1) and (listen_5 >= 1)) or (((Cpt1_13 >= 1) and (listen_4 >= 1)) or (((Cpt1_13 >= 1) and (listen_3 >= 1)) or (((Cpt1_13 >= 1) and (listen_2 >= 1)) or (((Cpt1_13 >= 1) and (listen_1 >= 1)) or (((Cpt1_12 >= 1) and (listen_15 >= 1)) or (((Cpt1_12 >= 1) and (listen_14 >= 1)) or (((Cpt1_12 >= 1) and (listen_13 >= 1)) or (((Cpt1_12 >= 1) and (listen_12 >= 1)) or (((Cpt1_12 >= 1) and (listen_11 >= 1)) or (((Cpt1_12 >= 1) and (listen_10 >= 1)) or (((Cpt1_12 >= 1) and (listen_9 >= 1)) or (((Cpt1_12 >= 1) and (listen_8 >= 1)) or (((Cpt1_12 >= 1) and (listen_7 >= 1)) or (((Cpt1_12 >= 1) and (listen_6 >= 1)) or (((Cpt1_12 >= 1) and (listen_5 >= 1)) or (((Cpt1_12 >= 1) and (listen_4 >= 1)) or (((Cpt1_12 >= 1) and (listen_3 >= 1)) or (((Cpt1_12 >= 1) and (listen_2 >= 1)) or (((Cpt1_12 >= 1) and (listen_1 >= 1)) or (((Cpt1_11 >= 1) and (listen_15 >= 1)) or (((Cpt1_11 >= 1) and (listen_14 >= 1)) or (((Cpt1_11 >= 1) and (listen_13 >= 1)) or (((Cpt1_11 >= 1) and (listen_12 >= 1)) or (((Cpt1_11 >= 1) and (listen_11 >= 1)) or (((Cpt1_11 >= 1) and (listen_10 >= 1)) or (((Cpt1_11 >= 1) and (listen_9 >= 1)) or (((Cpt1_11 >= 1) and (listen_8 >= 1)) or (((Cpt1_11 >= 1) and (listen_7 >= 1)) or (((Cpt1_11 >= 1) and (listen_6 >= 1)) or (((Cpt1_11 >= 1) and (listen_5 >= 1)) or (((Cpt1_11 >= 1) and (listen_4 >= 1)) or (((Cpt1_11 >= 1) and (listen_3 >= 1)) or (((Cpt1_11 >= 1) and (listen_2 >= 1)) or (((Cpt1_11 >= 1) and (listen_1 >= 1)) or (((Cpt1_10 >= 1) and (listen_15 >= 1)) or (((Cpt1_10 >= 1) and (listen_14 >= 1)) or (((Cpt1_10 >= 1) and (listen_13 >= 1)) or (((Cpt1_10 >= 1) and (listen_12 >= 1)) or (((Cpt1_10 >= 1) and (listen_11 >= 1)) or (((Cpt1_10 >= 1) and (listen_10 >= 1)) or (((Cpt1_10 >= 1) and (listen_9 >= 1)) or (((Cpt1_10 >= 1) and (listen_8 >= 1)) or (((Cpt1_10 >= 1) and (listen_7 >= 1)) or (((Cpt1_10 >= 1) and (listen_6 >= 1)) or (((Cpt1_10 >= 1) and (listen_5 >= 1)) or (((Cpt1_10 >= 1) and (listen_4 >= 1)) or (((Cpt1_10 >= 1) and (listen_3 >= 1)) or (((Cpt1_10 >= 1) and (listen_2 >= 1)) or (((Cpt1_10 >= 1) and (listen_1 >= 1)) or (((Cpt1_9 >= 1) and (listen_15 >= 1)) or (((Cpt1_9 >= 1) and (listen_14 >= 1)) or (((Cpt1_9 >= 1) and (listen_13 >= 1)) or (((Cpt1_9 >= 1) and (listen_12 >= 1)) or (((Cpt1_9 >= 1) and (listen_11 >= 1)) or (((Cpt1_9 >= 1) and (listen_10 >= 1)) or (((Cpt1_9 >= 1) and (listen_9 >= 1)) or (((Cpt1_9 >= 1) and (listen_8 >= 1)) or (((Cpt1_9 >= 1) and (listen_7 >= 1)) or (((Cpt1_9 >= 1) and (listen_6 >= 1)) or (((Cpt1_9 >= 1) and (listen_5 >= 1)) or (((Cpt1_9 >= 1) and (listen_4 >= 1)) or (((Cpt1_9 >= 1) and (listen_3 >= 1)) or (((Cpt1_9 >= 1) and (listen_2 >= 1)) or (((Cpt1_9 >= 1) and (listen_1 >= 1)) or (((Cpt1_8 >= 1) and (listen_15 >= 1)) or (((Cpt1_8 >= 1) and (listen_14 >= 1)) or (((Cpt1_8 >= 1) and (listen_13 >= 1)) or (((Cpt1_8 >= 1) and (listen_12 >= 1)) or (((Cpt1_8 >= 1) and (listen_11 >= 1)) or (((Cpt1_8 >= 1) and (listen_10 >= 1)) or (((Cpt1_8 >= 1) and (listen_9 >= 1)) or (((Cpt1_8 >= 1) and (listen_8 >= 1)) or (((Cpt1_8 >= 1) and (listen_7 >= 1)) or (((Cpt1_8 >= 1) and (listen_6 >= 1)) or (((Cpt1_8 >= 1) and (listen_5 >= 1)) or (((Cpt1_8 >= 1) and (listen_4 >= 1)) or (((Cpt1_8 >= 1) and (listen_3 >= 1)) or (((Cpt1_8 >= 1) and (listen_2 >= 1)) or (((Cpt1_8 >= 1) and (listen_1 >= 1)) or (((Cpt1_7 >= 1) and (listen_15 >= 1)) or (((Cpt1_7 >= 1) and (listen_14 >= 1)) or (((Cpt1_7 >= 1) and (listen_13 >= 1)) or (((Cpt1_7 >= 1) and (listen_12 >= 1)) or (((Cpt1_7 >= 1) and (listen_11 >= 1)) or (((Cpt1_7 >= 1) and (listen_10 >= 1)) or (((Cpt1_7 >= 1) and (listen_9 >= 1)) or (((Cpt1_7 >= 1) and (listen_8 >= 1)) or (((Cpt1_7 >= 1) and (listen_7 >= 1)) or (((Cpt1_7 >= 1) and (listen_6 >= 1)) or (((Cpt1_7 >= 1) and (listen_5 >= 1)) or (((Cpt1_7 >= 1) and (listen_4 >= 1)) or (((Cpt1_7 >= 1) and (listen_3 >= 1)) or (((Cpt1_7 >= 1) and (listen_2 >= 1)) or (((Cpt1_7 >= 1) and (listen_1 >= 1)) or (((Cpt1_6 >= 1) and (listen_15 >= 1)) or (((Cpt1_6 >= 1) and (listen_14 >= 1)) or (((Cpt1_6 >= 1) and (listen_13 >= 1)) or (((Cpt1_6 >= 1) and (listen_12 >= 1)) or (((Cpt1_6 >= 1) and (listen_11 >= 1)) or (((Cpt1_6 >= 1) and (listen_10 >= 1)) or (((Cpt1_6 >= 1) and (listen_9 >= 1)) or (((Cpt1_6 >= 1) and (listen_8 >= 1)) or (((Cpt1_6 >= 1) and (listen_7 >= 1)) or (((Cpt1_6 >= 1) and (listen_6 >= 1)) or (((Cpt1_6 >= 1) and (listen_5 >= 1)) or (((Cpt1_6 >= 1) and (listen_4 >= 1)) or (((Cpt1_6 >= 1) and (listen_3 >= 1)) or (((Cpt1_6 >= 1) and (listen_2 >= 1)) or (((Cpt1_6 >= 1) and (listen_1 >= 1)) or (((Cpt1_5 >= 1) and (listen_15 >= 1)) or (((Cpt1_5 >= 1) and (listen_14 >= 1)) or (((Cpt1_5 >= 1) and (listen_13 >= 1)) or (((Cpt1_5 >= 1) and (listen_12 >= 1)) or (((Cpt1_5 >= 1) and (listen_11 >= 1)) or (((Cpt1_5 >= 1) and (listen_10 >= 1)) or (((Cpt1_5 >= 1) and (listen_9 >= 1)) or (((Cpt1_5 >= 1) and (listen_8 >= 1)) or (((Cpt1_5 >= 1) and (listen_7 >= 1)) or (((Cpt1_5 >= 1) and (listen_6 >= 1)) or (((Cpt1_5 >= 1) and (listen_5 >= 1)) or (((Cpt1_5 >= 1) and (listen_4 >= 1)) or (((Cpt1_5 >= 1) and (listen_3 >= 1)) or (((Cpt1_5 >= 1) and (listen_2 >= 1)) or (((Cpt1_5 >= 1) and (listen_1 >= 1)) or (((Cpt1_4 >= 1) and (listen_15 >= 1)) or (((Cpt1_4 >= 1) and (listen_14 >= 1)) or (((Cpt1_4 >= 1) and (listen_13 >= 1)) or (((Cpt1_4 >= 1) and (listen_12 >= 1)) or (((Cpt1_4 >= 1) and (listen_11 >= 1)) or (((Cpt1_4 >= 1) and (listen_10 >= 1)) or (((Cpt1_4 >= 1) and (listen_9 >= 1)) or (((Cpt1_4 >= 1) and (listen_8 >= 1)) or (((Cpt1_4 >= 1) and (listen_7 >= 1)) or (((Cpt1_4 >= 1) and (listen_6 >= 1)) or (((Cpt1_4 >= 1) and (listen_5 >= 1)) or (((Cpt1_4 >= 1) and (listen_4 >= 1)) or (((Cpt1_4 >= 1) and (listen_3 >= 1)) or (((Cpt1_4 >= 1) and (listen_2 >= 1)) or (((Cpt1_4 >= 1) and (listen_1 >= 1)) or (((Cpt1_3 >= 1) and (listen_15 >= 1)) or (((Cpt1_3 >= 1) and (listen_14 >= 1)) or (((Cpt1_3 >= 1) and (listen_13 >= 1)) or (((Cpt1_3 >= 1) and (listen_12 >= 1)) or (((Cpt1_3 >= 1) and (listen_11 >= 1)) or (((Cpt1_3 >= 1) and (listen_10 >= 1)) or (((Cpt1_3 >= 1) and (listen_9 >= 1)) or (((Cpt1_3 >= 1) and (listen_8 >= 1)) or (((Cpt1_3 >= 1) and (listen_7 >= 1)) or (((Cpt1_3 >= 1) and (listen_6 >= 1)) or (((Cpt1_3 >= 1) and (listen_5 >= 1)) or (((Cpt1_3 >= 1) and (listen_4 >= 1)) or (((Cpt1_3 >= 1) and (listen_3 >= 1)) or (((Cpt1_3 >= 1) and (listen_2 >= 1)) or (((Cpt1_3 >= 1) and (listen_1 >= 1)) or (((Cpt1_2 >= 1) and (listen_15 >= 1)) or (((Cpt1_2 >= 1) and (listen_14 >= 1)) or (((Cpt1_2 >= 1) and (listen_13 >= 1)) or (((Cpt1_2 >= 1) and (listen_12 >= 1)) or (((Cpt1_2 >= 1) and (listen_11 >= 1)) or (((Cpt1_2 >= 1) and (listen_10 >= 1)) or (((Cpt1_2 >= 1) and (listen_9 >= 1)) or (((Cpt1_2 >= 1) and (listen_8 >= 1)) or (((Cpt1_2 >= 1) and (listen_7 >= 1)) or (((Cpt1_2 >= 1) and (listen_6 >= 1)) or (((Cpt1_2 >= 1) and (listen_5 >= 1)) or (((Cpt1_2 >= 1) and (listen_4 >= 1)) or (((Cpt1_2 >= 1) and (listen_3 >= 1)) or (((Cpt1_2 >= 1) and (listen_2 >= 1)) or (((Cpt1_2 >= 1) and (listen_1 >= 1)) or (((Cpt1_1 >= 1) and (listen_15 >= 1)) or (((Cpt1_1 >= 1) and (listen_14 >= 1)) or (((Cpt1_1 >= 1) and (listen_13 >= 1)) or (((Cpt1_1 >= 1) and (listen_12 >= 1)) or (((Cpt1_1 >= 1) and (listen_11 >= 1)) or (((Cpt1_1 >= 1) and (listen_10 >= 1)) or (((Cpt1_1 >= 1) and (listen_9 >= 1)) or (((Cpt1_1 >= 1) and (listen_8 >= 1)) or (((Cpt1_1 >= 1) and (listen_7 >= 1)) or (((Cpt1_1 >= 1) and (listen_6 >= 1)) or (((Cpt1_1 >= 1) and (listen_5 >= 1)) or (((Cpt1_1 >= 1) and (listen_4 >= 1)) or (((Cpt1_1 >= 1) and (listen_3 >= 1)) or (((Cpt1_1 >= 1) and (listen_2 >= 1)) or ((Cpt1_1 >= 1) and (listen_1 >= 1))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))) and ((((wait_cable_14 >= 1) and ((msgl_15 >= 1) and (PMC_14 >= 1))) or (((wait_cable_13 >= 1) and ((msgl_15 >= 1) and (PMC_13 >= 1))) or (((wait_cable_12 >= 1) and ((msgl_15 >= 1) and (PMC_12 >= 1))) or (((wait_cable_11 >= 1) and ((msgl_15 >= 1) and (PMC_11 >= 1))) or (((wait_cable_10 >= 1) and ((msgl_15 >= 1) and (PMC_10 >= 1))) or (((wait_cable_9 >= 1) and ((msgl_15 >= 1) and (PMC_9 >= 1))) or (((wait_cable_8 >= 1) and ((msgl_15 >= 1) and (PMC_8 >= 1))) or (((wait_cable_7 >= 1) and ((msgl_15 >= 1) and (PMC_7 >= 1))) or (((wait_cable_6 >= 1) and ((msgl_15 >= 1) and (PMC_6 >= 1))) or (((wait_cable_5 >= 1) and ((msgl_15 >= 1) and (PMC_5 >= 1))) or (((wait_cable_4 >= 1) and ((msgl_15 >= 1) and (PMC_4 >= 1))) or (((wait_cable_3 >= 1) and ((msgl_15 >= 1) and (PMC_3 >= 1))) or (((wait_cable_2 >= 1) and ((msgl_15 >= 1) and (PMC_2 >= 1))) or (((wait_cable_1 >= 1) and ((msgl_15 >= 1) and (PMC_1 >= 1))) or (((wait_cable_15 >= 1) and ((msgl_14 >= 1) and (PMC_15 >= 1))) or (((wait_cable_13 >= 1) and ((msgl_14 >= 1) and (PMC_13 >= 1))) or (((wait_cable_12 >= 1) and ((msgl_14 >= 1) and (PMC_12 >= 1))) or (((wait_cable_11 >= 1) and ((msgl_14 >= 1) and (PMC_11 >= 1))) or (((wait_cable_10 >= 1) and ((msgl_14 >= 1) and (PMC_10 >= 1))) or (((wait_cable_9 >= 1) and ((msgl_14 >= 1) and (PMC_9 >= 1))) or (((wait_cable_8 >= 1) and ((msgl_14 >= 1) and (PMC_8 >= 1))) or (((wait_cable_7 >= 1) and ((msgl_14 >= 1) and (PMC_7 >= 1))) or (((wait_cable_6 >= 1) and ((msgl_14 >= 1) and (PMC_6 >= 1))) or (((wait_cable_5 >= 1) and ((msgl_14 >= 1) and (PMC_5 >= 1))) or (((wait_cable_4 >= 1) and ((msgl_14 >= 1) and (PMC_4 >= 1))) or (((wait_cable_3 >= 1) and ((msgl_14 >= 1) and (PMC_3 >= 1))) or (((wait_cable_2 >= 1) and ((msgl_14 >= 1) and (PMC_2 >= 1))) or (((wait_cable_1 >= 1) and ((msgl_14 >= 1) and (PMC_1 >= 1))) or (((wait_cable_15 >= 1) and ((msgl_13 >= 1) and (PMC_15 >= 1))) or (((wait_cable_14 >= 1) and ((msgl_13 >= 1) and (PMC_14 >= 1))) or (((wait_cable_12 >= 1) and ((msgl_13 >= 1) and (PMC_12 >= 1))) or (((wait_cable_11 >= 1) and ((msgl_13 >= 1) and (PMC_11 >= 1))) or (((wait_cable_10 >= 1) and ((msgl_13 >= 1) and (PMC_10 >= 1))) or (((wait_cable_9 >= 1) and ((msgl_13 >= 1) and (PMC_9 >= 1))) or (((wait_cable_8 >= 1) and ((msgl_13 >= 1) and (PMC_8 >= 1))) or (((wait_cable_7 >= 1) and ((msgl_13 >= 1) and (PMC_7 >= 1))) or (((wait_cable_6 >= 1) and ((msgl_13 >= 1) and (PMC_6 >= 1))) or (((wait_cable_5 >= 1) and ((msgl_13 >= 1) and (PMC_5 >= 1))) or (((wait_cable_4 >= 1) and ((msgl_13 >= 1) and (PMC_4 >= 1))) or (((wait_cable_3 >= 1) and ((msgl_13 >= 1) and (PMC_3 >= 1))) or (((wait_cable_2 >= 1) and ((msgl_13 >= 1) and (PMC_2 >= 1))) or (((wait_cable_1 >= 1) and ((msgl_13 >= 1) and (PMC_1 >= 1))) or (((wait_cable_15 >= 1) and ((msgl_12 >= 1) and (PMC_15 >= 1))) or (((wait_cable_14 >= 1) and ((msgl_12 >= 1) and (PMC_14 >= 1))) or (((wait_cable_13 >= 1) and ((msgl_12 >= 1) and (PMC_13 >= 1))) or (((wait_cable_11 >= 1) and ((msgl_12 >= 1) and (PMC_11 >= 1))) or (((wait_cable_10 >= 1) and ((msgl_12 >= 1) and (PMC_10 >= 1))) or (((wait_cable_9 >= 1) and ((msgl_12 >= 1) and (PMC_9 >= 1))) or (((wait_cable_8 >= 1) and ((msgl_12 >= 1) and (PMC_8 >= 1))) or (((wait_cable_7 >= 1) and ((msgl_12 >= 1) and (PMC_7 >= 1))) or (((wait_cable_6 >= 1) and ((msgl_12 >= 1) and (PMC_6 >= 1))) or (((wait_cable_5 >= 1) and ((msgl_12 >= 1) and (PMC_5 >= 1))) or (((wait_cable_4 >= 1) and ((msgl_12 >= 1) and (PMC_4 >= 1))) or (((wait_cable_3 >= 1) and ((msgl_12 >= 1) and (PMC_3 >= 1))) or (((wait_cable_2 >= 1) and ((msgl_12 >= 1) and (PMC_2 >= 1))) or (((wait_cable_1 >= 1) and ((msgl_12 >= 1) and (PMC_1 >= 1))) or (((wait_cable_15 >= 1) and ((msgl_11 >= 1) and (PMC_15 >= 1))) or (((wait_cable_14 >= 1) and ((msgl_11 >= 1) and (PMC_14 >= 1))) or (((wait_cable_13 >= 1) and ((msgl_11 >= 1) and (PMC_13 >= 1))) or (((wait_cable_12 >= 1) and ((msgl_11 >= 1) and (PMC_12 >= 1))) or (((wait_cable_10 >= 1) and ((msgl_11 >= 1) and (PMC_10 >= 1))) or (((wait_cable_9 >= 1) and ((msgl_11 >= 1) and (PMC_9 >= 1))) or (((wait_cable_8 >= 1) and ((msgl_11 >= 1) and (PMC_8 >= 1))) or (((wait_cable_7 >= 1) and ((msgl_11 >= 1) and (PMC_7 >= 1))) or (((wait_cable_6 >= 1) and ((msgl_11 >= 1) and (PMC_6 >= 1))) or (((wait_cable_5 >= 1) and ((msgl_11 >= 1) and (PMC_5 >= 1))) or (((wait_cable_4 >= 1) and ((msgl_11 >= 1) and (PMC_4 >= 1))) or (((wait_cable_3 >= 1) and ((msgl_11 >= 1) and (PMC_3 >= 1))) or (((wait_cable_2 >= 1) and ((msgl_11 >= 1) and (PMC_2 >= 1))) or (((wait_cable_1 >= 1) and ((msgl_11 >= 1) and (PMC_1 >= 1))) or (((wait_cable_15 >= 1) and ((msgl_10 >= 1) and (PMC_15 >= 1))) or (((wait_cable_14 >= 1) and ((msgl_10 >= 1) and (PMC_14 >= 1))) or (((wait_cable_13 >= 1) and ((msgl_10 >= 1) and (PMC_13 >= 1))) or (((wait_cable_12 >= 1) and ((msgl_10 >= 1) and (PMC_12 >= 1))) or (((wait_cable_11 >= 1) and ((msgl_10 >= 1) and (PMC_11 >= 1))) or (((wait_cable_9 >= 1) and ((msgl_10 >= 1) and (PMC_9 >= 1))) or (((wait_cable_8 >= 1) and ((msgl_10 >= 1) and (PMC_8 >= 1))) or (((wait_cable_7 >= 1) and ((msgl_10 >= 1) and (PMC_7 >= 1))) or (((wait_cable_6 >= 1) and ((msgl_10 >= 1) and (PMC_6 >= 1))) or (((wait_cable_5 >= 1) and ((msgl_10 >= 1) and (PMC_5 >= 1))) or (((wait_cable_4 >= 1) and ((msgl_10 >= 1) and (PMC_4 >= 1))) or (((wait_cable_3 >= 1) and ((msgl_10 >= 1) and (PMC_3 >= 1))) or (((wait_cable_2 >= 1) and ((msgl_10 >= 1) and (PMC_2 >= 1))) or (((wait_cable_1 >= 1) and ((msgl_10 >= 1) and (PMC_1 >= 1))) or (((wait_cable_15 >= 1) and ((msgl_9 >= 1) and (PMC_15 >= 1))) or (((wait_cable_14 >= 1) and ((msgl_9 >= 1) and (PMC_14 >= 1))) or (((wait_cable_13 >= 1) and ((msgl_9 >= 1) and (PMC_13 >= 1))) or (((wait_cable_12 >= 1) and ((msgl_9 >= 1) and (PMC_12 >= 1))) or (((wait_cable_11 >= 1) and ((msgl_9 >= 1) and (PMC_11 >= 1))) or (((wait_cable_10 >= 1) and ((msgl_9 >= 1) and (PMC_10 >= 1))) or (((wait_cable_8 >= 1) and ((msgl_9 >= 1) and (PMC_8 >= 1))) or (((wait_cable_7 >= 1) and ((msgl_9 >= 1) and (PMC_7 >= 1))) or (((wait_cable_6 >= 1) and ((msgl_9 >= 1) and (PMC_6 >= 1))) or (((wait_cable_5 >= 1) and ((msgl_9 >= 1) and (PMC_5 >= 1))) or (((wait_cable_4 >= 1) and ((msgl_9 >= 1) and (PMC_4 >= 1))) or (((wait_cable_3 >= 1) and ((msgl_9 >= 1) and (PMC_3 >= 1))) or (((wait_cable_2 >= 1) and ((msgl_9 >= 1) and (PMC_2 >= 1))) or (((wait_cable_1 >= 1) and ((msgl_9 >= 1) and (PMC_1 >= 1))) or (((wait_cable_15 >= 1) and ((msgl_8 >= 1) and (PMC_15 >= 1))) or (((wait_cable_14 >= 1) and ((msgl_8 >= 1) and (PMC_14 >= 1))) or (((wait_cable_13 >= 1) and ((msgl_8 >= 1) and (PMC_13 >= 1))) or (((wait_cable_12 >= 1) and ((msgl_8 >= 1) and (PMC_12 >= 1))) or (((wait_cable_11 >= 1) and ((msgl_8 >= 1) and (PMC_11 >= 1))) or (((wait_cable_10 >= 1) and ((msgl_8 >= 1) and (PMC_10 >= 1))) or (((wait_cable_9 >= 1) and ((msgl_8 >= 1) and (PMC_9 >= 1))) or (((wait_cable_7 >= 1) and ((msgl_8 >= 1) and (PMC_7 >= 1))) or (((wait_cable_6 >= 1) and ((msgl_8 >= 1) and (PMC_6 >= 1))) or (((wait_cable_5 >= 1) and ((msgl_8 >= 1) and (PMC_5 >= 1))) or (((wait_cable_4 >= 1) and ((msgl_8 >= 1) and (PMC_4 >= 1))) or (((wait_cable_3 >= 1) and ((msgl_8 >= 1) and (PMC_3 >= 1))) or (((wait_cable_2 >= 1) and ((msgl_8 >= 1) and (PMC_2 >= 1))) or (((wait_cable_1 >= 1) and ((msgl_8 >= 1) and (PMC_1 >= 1))) or (((wait_cable_15 >= 1) and ((msgl_7 >= 1) and (PMC_15 >= 1))) or (((wait_cable_14 >= 1) and ((msgl_7 >= 1) and (PMC_14 >= 1))) or (((wait_cable_13 >= 1) and ((msgl_7 >= 1) and (PMC_13 >= 1))) or (((wait_cable_12 >= 1) and ((msgl_7 >= 1) and (PMC_12 >= 1))) or (((wait_cable_11 >= 1) and ((msgl_7 >= 1) and (PMC_11 >= 1))) or (((wait_cable_10 >= 1) and ((msgl_7 >= 1) and (PMC_10 >= 1))) or (((wait_cable_9 >= 1) and ((msgl_7 >= 1) and (PMC_9 >= 1))) or (((wait_cable_8 >= 1) and ((msgl_7 >= 1) and (PMC_8 >= 1))) or (((wait_cable_6 >= 1) and ((msgl_7 >= 1) and (PMC_6 >= 1))) or (((wait_cable_5 >= 1) and ((msgl_7 >= 1) and (PMC_5 >= 1))) or (((wait_cable_4 >= 1) and ((msgl_7 >= 1) and (PMC_4 >= 1))) or (((wait_cable_3 >= 1) and ((msgl_7 >= 1) and (PMC_3 >= 1))) or (((wait_cable_2 >= 1) and ((msgl_7 >= 1) and (PMC_2 >= 1))) or (((wait_cable_1 >= 1) and ((msgl_7 >= 1) and (PMC_1 >= 1))) or (((wait_cable_15 >= 1) and ((msgl_6 >= 1) and (PMC_15 >= 1))) or (((wait_cable_14 >= 1) and ((msgl_6 >= 1) and (PMC_14 >= 1))) or (((wait_cable_13 >= 1) and ((msgl_6 >= 1) and (PMC_13 >= 1))) or (((wait_cable_12 >= 1) and ((msgl_6 >= 1) and (PMC_12 >= 1))) or (((wait_cable_11 >= 1) and ((msgl_6 >= 1) and (PMC_11 >= 1))) or (((wait_cable_10 >= 1) and ((msgl_6 >= 1) and (PMC_10 >= 1))) or (((wait_cable_9 >= 1) and ((msgl_6 >= 1) and (PMC_9 >= 1))) or (((wait_cable_8 >= 1) and ((msgl_6 >= 1) and (PMC_8 >= 1))) or (((wait_cable_7 >= 1) and ((msgl_6 >= 1) and (PMC_7 >= 1))) or (((wait_cable_5 >= 1) and ((msgl_6 >= 1) and (PMC_5 >= 1))) or (((wait_cable_4 >= 1) and ((msgl_6 >= 1) and (PMC_4 >= 1))) or (((wait_cable_3 >= 1) and ((msgl_6 >= 1) and (PMC_3 >= 1))) or (((wait_cable_2 >= 1) and ((msgl_6 >= 1) and (PMC_2 >= 1))) or (((wait_cable_1 >= 1) and ((msgl_6 >= 1) and (PMC_1 >= 1))) or (((wait_cable_15 >= 1) and ((msgl_5 >= 1) and (PMC_15 >= 1))) or (((wait_cable_14 >= 1) and ((msgl_5 >= 1) and (PMC_14 >= 1))) or (((wait_cable_13 >= 1) and ((msgl_5 >= 1) and (PMC_13 >= 1))) or (((wait_cable_12 >= 1) and ((msgl_5 >= 1) and (PMC_12 >= 1))) or (((wait_cable_11 >= 1) and ((msgl_5 >= 1) and (PMC_11 >= 1))) or (((wait_cable_10 >= 1) and ((msgl_5 >= 1) and (PMC_10 >= 1))) or (((wait_cable_9 >= 1) and ((msgl_5 >= 1) and (PMC_9 >= 1))) or (((wait_cable_8 >= 1) and ((msgl_5 >= 1) and (PMC_8 >= 1))) or (((wait_cable_7 >= 1) and ((msgl_5 >= 1) and (PMC_7 >= 1))) or (((wait_cable_6 >= 1) and ((msgl_5 >= 1) and (PMC_6 >= 1))) or (((wait_cable_4 >= 1) and ((msgl_5 >= 1) and (PMC_4 >= 1))) or (((wait_cable_3 >= 1) and ((msgl_5 >= 1) and (PMC_3 >= 1))) or (((wait_cable_2 >= 1) and ((msgl_5 >= 1) and (PMC_2 >= 1))) or (((wait_cable_1 >= 1) and ((msgl_5 >= 1) and (PMC_1 >= 1))) or (((wait_cable_15 >= 1) and ((msgl_4 >= 1) and (PMC_15 >= 1))) or (((wait_cable_14 >= 1) and ((msgl_4 >= 1) and (PMC_14 >= 1))) or (((wait_cable_13 >= 1) and ((msgl_4 >= 1) and (PMC_13 >= 1))) or (((wait_cable_12 >= 1) and ((msgl_4 >= 1) and (PMC_12 >= 1))) or (((wait_cable_11 >= 1) and ((msgl_4 >= 1) and (PMC_11 >= 1))) or (((wait_cable_10 >= 1) and ((msgl_4 >= 1) and (PMC_10 >= 1))) or (((wait_cable_9 >= 1) and ((msgl_4 >= 1) and (PMC_9 >= 1))) or (((wait_cable_8 >= 1) and ((msgl_4 >= 1) and (PMC_8 >= 1))) or (((wait_cable_7 >= 1) and ((msgl_4 >= 1) and (PMC_7 >= 1))) or (((wait_cable_6 >= 1) and ((msgl_4 >= 1) and (PMC_6 >= 1))) or (((wait_cable_5 >= 1) and ((msgl_4 >= 1) and (PMC_5 >= 1))) or (((wait_cable_3 >= 1) and ((msgl_4 >= 1) and (PMC_3 >= 1))) or (((wait_cable_2 >= 1) and ((msgl_4 >= 1) and (PMC_2 >= 1))) or (((wait_cable_1 >= 1) and ((msgl_4 >= 1) and (PMC_1 >= 1))) or (((wait_cable_15 >= 1) and ((msgl_3 >= 1) and (PMC_15 >= 1))) or (((wait_cable_14 >= 1) and ((msgl_3 >= 1) and (PMC_14 >= 1))) or (((wait_cable_13 >= 1) and ((msgl_3 >= 1) and (PMC_13 >= 1))) or (((wait_cable_12 >= 1) and ((msgl_3 >= 1) and (PMC_12 >= 1))) or (((wait_cable_11 >= 1) and ((msgl_3 >= 1) and (PMC_11 >= 1))) or (((wait_cable_10 >= 1) and ((msgl_3 >= 1) and (PMC_10 >= 1))) or (((wait_cable_9 >= 1) and ((msgl_3 >= 1) and (PMC_9 >= 1))) or (((wait_cable_8 >= 1) and ((msgl_3 >= 1) and (PMC_8 >= 1))) or (((wait_cable_7 >= 1) and ((msgl_3 >= 1) and (PMC_7 >= 1))) or (((wait_cable_6 >= 1) and ((msgl_3 >= 1) and (PMC_6 >= 1))) or (((wait_cable_5 >= 1) and ((msgl_3 >= 1) and (PMC_5 >= 1))) or (((wait_cable_4 >= 1) and ((msgl_3 >= 1) and (PMC_4 >= 1))) or (((wait_cable_2 >= 1) and ((msgl_3 >= 1) and (PMC_2 >= 1))) or (((wait_cable_1 >= 1) and ((msgl_3 >= 1) and (PMC_1 >= 1))) or (((wait_cable_15 >= 1) and ((msgl_2 >= 1) and (PMC_15 >= 1))) or (((wait_cable_14 >= 1) and ((msgl_2 >= 1) and (PMC_14 >= 1))) or (((wait_cable_13 >= 1) and ((msgl_2 >= 1) and (PMC_13 >= 1))) or (((wait_cable_12 >= 1) and ((msgl_2 >= 1) and (PMC_12 >= 1))) or (((wait_cable_11 >= 1) and ((msgl_2 >= 1) and (PMC_11 >= 1))) or (((wait_cable_10 >= 1) and ((msgl_2 >= 1) and (PMC_10 >= 1))) or (((wait_cable_9 >= 1) and ((msgl_2 >= 1) and (PMC_9 >= 1))) or (((wait_cable_8 >= 1) and ((msgl_2 >= 1) and (PMC_8 >= 1))) or (((wait_cable_7 >= 1) and ((msgl_2 >= 1) and (PMC_7 >= 1))) or (((wait_cable_6 >= 1) and ((msgl_2 >= 1) and (PMC_6 >= 1))) or (((wait_cable_5 >= 1) and ((msgl_2 >= 1) and (PMC_5 >= 1))) or (((wait_cable_4 >= 1) and ((msgl_2 >= 1) and (PMC_4 >= 1))) or (((wait_cable_3 >= 1) and ((msgl_2 >= 1) and (PMC_3 >= 1))) or (((wait_cable_1 >= 1) and ((msgl_2 >= 1) and (PMC_1 >= 1))) or (((wait_cable_15 >= 1) and ((msgl_1 >= 1) and (PMC_15 >= 1))) or (((wait_cable_14 >= 1) and ((msgl_1 >= 1) and (PMC_14 >= 1))) or (((wait_cable_13 >= 1) and ((msgl_1 >= 1) and (PMC_13 >= 1))) or (((wait_cable_12 >= 1) and ((msgl_1 >= 1) and (PMC_12 >= 1))) or (((wait_cable_11 >= 1) and ((msgl_1 >= 1) and (PMC_11 >= 1))) or (((wait_cable_10 >= 1) and ((msgl_1 >= 1) and (PMC_10 >= 1))) or (((wait_cable_9 >= 1) and ((msgl_1 >= 1) and (PMC_9 >= 1))) or (((wait_cable_8 >= 1) and ((msgl_1 >= 1) and (PMC_8 >= 1))) or (((wait_cable_7 >= 1) and ((msgl_1 >= 1) and (PMC_7 >= 1))) or (((wait_cable_6 >= 1) and ((msgl_1 >= 1) and (PMC_6 >= 1))) or (((wait_cable_5 >= 1) and ((msgl_1 >= 1) and (PMC_5 >= 1))) or (((wait_cable_4 >= 1) and ((msgl_1 >= 1) and (PMC_4 >= 1))) or (((wait_cable_3 >= 1) and ((msgl_1 >= 1) and (PMC_3 >= 1))) or ((wait_cable_2 >= 1) and ((msgl_1 >= 1) and (PMC_2 >= 1)))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))) or (((wait_ack_14_15 >= 1) and (T_out >= 1)) or (((wait_ack_13_15 >= 1) and (T_out >= 1)) or (((wait_ack_12_15 >= 1) and (T_out >= 1)) or (((wait_ack_11_15 >= 1) and (T_out >= 1)) or (((wait_ack_10_15 >= 1) and (T_out >= 1)) or (((wait_ack_9_15 >= 1) and (T_out >= 1)) or (((wait_ack_8_15 >= 1) and (T_out >= 1)) or (((wait_ack_7_15 >= 1) and (T_out >= 1)) or (((wait_ack_6_15 >= 1) and (T_out >= 1)) or (((wait_ack_5_15 >= 1) and (T_out >= 1)) or (((wait_ack_4_15 >= 1) and (T_out >= 1)) or (((wait_ack_3_15 >= 1) and (T_out >= 1)) or (((wait_ack_2_15 >= 1) and (T_out >= 1)) or (((wait_ack_1_15 >= 1) and (T_out >= 1)) or (((wait_ack_15_14 >= 1) and (T_out >= 1)) or (((wait_ack_13_14 >= 1) and (T_out >= 1)) or (((wait_ack_12_14 >= 1) and (T_out >= 1)) or (((wait_ack_11_14 >= 1) and (T_out >= 1)) or (((wait_ack_10_14 >= 1) and (T_out >= 1)) or (((wait_ack_9_14 >= 1) and (T_out >= 1)) or (((wait_ack_8_14 >= 1) and (T_out >= 1)) or (((wait_ack_7_14 >= 1) and (T_out >= 1)) or (((wait_ack_6_14 >= 1) and (T_out >= 1)) or (((wait_ack_5_14 >= 1) and (T_out >= 1)) or (((wait_ack_4_14 >= 1) and (T_out >= 1)) or (((wait_ack_3_14 >= 1) and (T_out >= 1)) or (((wait_ack_2_14 >= 1) and (T_out >= 1)) or (((wait_ack_1_14 >= 1) and (T_out >= 1)) or (((wait_ack_15_13 >= 1) and (T_out >= 1)) or (((wait_ack_14_13 >= 1) and (T_out >= 1)) or (((wait_ack_12_13 >= 1) and (T_out >= 1)) or (((wait_ack_11_13 >= 1) and (T_out >= 1)) or (((wait_ack_10_13 >= 1) and (T_out >= 1)) or (((wait_ack_9_13 >= 1) and (T_out >= 1)) or (((wait_ack_8_13 >= 1) and (T_out >= 1)) or (((wait_ack_7_13 >= 1) and (T_out >= 1)) or (((wait_ack_6_13 >= 1) and (T_out >= 1)) or (((wait_ack_5_13 >= 1) and (T_out >= 1)) or (((wait_ack_4_13 >= 1) and (T_out >= 1)) or (((wait_ack_3_13 >= 1) and (T_out >= 1)) or (((wait_ack_2_13 >= 1) and (T_out >= 1)) or (((wait_ack_1_13 >= 1) and (T_out >= 1)) or (((wait_ack_15_12 >= 1) and (T_out >= 1)) or (((wait_ack_14_12 >= 1) and (T_out >= 1)) or (((wait_ack_13_12 >= 1) and (T_out >= 1)) or (((wait_ack_11_12 >= 1) and (T_out >= 1)) or (((wait_ack_10_12 >= 1) and (T_out >= 1)) or (((wait_ack_9_12 >= 1) and (T_out >= 1)) or (((wait_ack_8_12 >= 1) and (T_out >= 1)) or (((wait_ack_7_12 >= 1) and (T_out >= 1)) or (((wait_ack_6_12 >= 1) and (T_out >= 1)) or (((wait_ack_5_12 >= 1) and (T_out >= 1)) or (((wait_ack_4_12 >= 1) and (T_out >= 1)) or (((wait_ack_3_12 >= 1) and (T_out >= 1)) or (((wait_ack_2_12 >= 1) and (T_out >= 1)) or (((wait_ack_1_12 >= 1) and (T_out >= 1)) or (((wait_ack_15_11 >= 1) and (T_out >= 1)) or (((wait_ack_14_11 >= 1) and (T_out >= 1)) or (((wait_ack_13_11 >= 1) and (T_out >= 1)) or (((wait_ack_12_11 >= 1) and (T_out >= 1)) or (((wait_ack_10_11 >= 1) and (T_out >= 1)) or (((wait_ack_9_11 >= 1) and (T_out >= 1)) or (((wait_ack_8_11 >= 1) and (T_out >= 1)) or (((wait_ack_7_11 >= 1) and (T_out >= 1)) or (((wait_ack_6_11 >= 1) and (T_out >= 1)) or (((wait_ack_5_11 >= 1) and (T_out >= 1)) or (((wait_ack_4_11 >= 1) and (T_out >= 1)) or (((wait_ack_3_11 >= 1) and (T_out >= 1)) or (((wait_ack_2_11 >= 1) and (T_out >= 1)) or (((wait_ack_1_11 >= 1) and (T_out >= 1)) or (((wait_ack_15_10 >= 1) and (T_out >= 1)) or (((wait_ack_14_10 >= 1) and (T_out >= 1)) or (((wait_ack_13_10 >= 1) and (T_out >= 1)) or (((wait_ack_12_10 >= 1) and (T_out >= 1)) or (((wait_ack_11_10 >= 1) and (T_out >= 1)) or (((wait_ack_9_10 >= 1) and (T_out >= 1)) or (((wait_ack_8_10 >= 1) and (T_out >= 1)) or (((wait_ack_7_10 >= 1) and (T_out >= 1)) or (((wait_ack_6_10 >= 1) and (T_out >= 1)) or (((wait_ack_5_10 >= 1) and (T_out >= 1)) or (((wait_ack_4_10 >= 1) and (T_out >= 1)) or (((wait_ack_3_10 >= 1) and (T_out >= 1)) or (((wait_ack_2_10 >= 1) and (T_out >= 1)) or (((wait_ack_1_10 >= 1) and (T_out >= 1)) or (((wait_ack_15_9 >= 1) and (T_out >= 1)) or (((wait_ack_14_9 >= 1) and (T_out >= 1)) or (((wait_ack_13_9 >= 1) and (T_out >= 1)) or (((wait_ack_12_9 >= 1) and (T_out >= 1)) or (((wait_ack_11_9 >= 1) and (T_out >= 1)) or (((wait_ack_10_9 >= 1) and (T_out >= 1)) or (((wait_ack_8_9 >= 1) and (T_out >= 1)) or (((wait_ack_7_9 >= 1) and (T_out >= 1)) or (((wait_ack_6_9 >= 1) and (T_out >= 1)) or (((wait_ack_5_9 >= 1) and (T_out >= 1)) or (((wait_ack_4_9 >= 1) and (T_out >= 1)) or (((wait_ack_3_9 >= 1) and (T_out >= 1)) or (((wait_ack_2_9 >= 1) and (T_out >= 1)) or (((wait_ack_1_9 >= 1) and (T_out >= 1)) or (((wait_ack_15_8 >= 1) and (T_out >= 1)) or (((wait_ack_14_8 >= 1) and (T_out >= 1)) or (((wait_ack_13_8 >= 1) and (T_out >= 1)) or (((wait_ack_12_8 >= 1) and (T_out >= 1)) or (((wait_ack_11_8 >= 1) and (T_out >= 1)) or (((wait_ack_10_8 >= 1) and (T_out >= 1)) or (((wait_ack_9_8 >= 1) and (T_out >= 1)) or (((wait_ack_7_8 >= 1) and (T_out >= 1)) or (((wait_ack_6_8 >= 1) and (T_out >= 1)) or (((wait_ack_5_8 >= 1) and (T_out >= 1)) or (((wait_ack_4_8 >= 1) and (T_out >= 1)) or (((wait_ack_3_8 >= 1) and (T_out >= 1)) or (((wait_ack_2_8 >= 1) and (T_out >= 1)) or (((wait_ack_1_8 >= 1) and (T_out >= 1)) or (((wait_ack_15_7 >= 1) and (T_out >= 1)) or (((wait_ack_14_7 >= 1) and (T_out >= 1)) or (((wait_ack_13_7 >= 1) and (T_out >= 1)) or (((wait_ack_12_7 >= 1) and (T_out >= 1)) or (((wait_ack_11_7 >= 1) and (T_out >= 1)) or (((wait_ack_10_7 >= 1) and (T_out >= 1)) or (((wait_ack_9_7 >= 1) and (T_out >= 1)) or (((wait_ack_8_7 >= 1) and (T_out >= 1)) or (((wait_ack_6_7 >= 1) and (T_out >= 1)) or (((wait_ack_5_7 >= 1) and (T_out >= 1)) or (((wait_ack_4_7 >= 1) and (T_out >= 1)) or (((wait_ack_3_7 >= 1) and (T_out >= 1)) or (((wait_ack_2_7 >= 1) and (T_out >= 1)) or (((wait_ack_1_7 >= 1) and (T_out >= 1)) or (((wait_ack_15_6 >= 1) and (T_out >= 1)) or (((wait_ack_14_6 >= 1) and (T_out >= 1)) or (((wait_ack_13_6 >= 1) and (T_out >= 1)) or (((wait_ack_12_6 >= 1) and (T_out >= 1)) or (((wait_ack_11_6 >= 1) and (T_out >= 1)) or (((wait_ack_10_6 >= 1) and (T_out >= 1)) or (((wait_ack_9_6 >= 1) and (T_out >= 1)) or (((wait_ack_8_6 >= 1) and (T_out >= 1)) or (((wait_ack_7_6 >= 1) and (T_out >= 1)) or (((wait_ack_5_6 >= 1) and (T_out >= 1)) or (((wait_ack_4_6 >= 1) and (T_out >= 1)) or (((wait_ack_3_6 >= 1) and (T_out >= 1)) or (((wait_ack_2_6 >= 1) and (T_out >= 1)) or (((wait_ack_1_6 >= 1) and (T_out >= 1)) or (((wait_ack_15_5 >= 1) and (T_out >= 1)) or (((wait_ack_14_5 >= 1) and (T_out >= 1)) or (((wait_ack_13_5 >= 1) and (T_out >= 1)) or (((wait_ack_12_5 >= 1) and (T_out >= 1)) or (((wait_ack_11_5 >= 1) and (T_out >= 1)) or (((wait_ack_10_5 >= 1) and (T_out >= 1)) or (((wait_ack_9_5 >= 1) and (T_out >= 1)) or (((wait_ack_8_5 >= 1) and (T_out >= 1)) or (((wait_ack_7_5 >= 1) and (T_out >= 1)) or (((wait_ack_6_5 >= 1) and (T_out >= 1)) or (((wait_ack_4_5 >= 1) and (T_out >= 1)) or (((wait_ack_3_5 >= 1) and (T_out >= 1)) or (((wait_ack_2_5 >= 1) and (T_out >= 1)) or (((wait_ack_1_5 >= 1) and (T_out >= 1)) or (((wait_ack_15_4 >= 1) and (T_out >= 1)) or (((wait_ack_14_4 >= 1) and (T_out >= 1)) or (((wait_ack_13_4 >= 1) and (T_out >= 1)) or (((wait_ack_12_4 >= 1) and (T_out >= 1)) or (((wait_ack_11_4 >= 1) and (T_out >= 1)) or (((wait_ack_10_4 >= 1) and (T_out >= 1)) or (((wait_ack_9_4 >= 1) and (T_out >= 1)) or (((wait_ack_8_4 >= 1) and (T_out >= 1)) or (((wait_ack_7_4 >= 1) and (T_out >= 1)) or (((wait_ack_6_4 >= 1) and (T_out >= 1)) or (((wait_ack_5_4 >= 1) and (T_out >= 1)) or (((wait_ack_3_4 >= 1) and (T_out >= 1)) or (((wait_ack_2_4 >= 1) and (T_out >= 1)) or (((wait_ack_1_4 >= 1) and (T_out >= 1)) or (((wait_ack_15_3 >= 1) and (T_out >= 1)) or (((wait_ack_14_3 >= 1) and (T_out >= 1)) or (((wait_ack_13_3 >= 1) and (T_out >= 1)) or (((wait_ack_12_3 >= 1) and (T_out >= 1)) or (((wait_ack_11_3 >= 1) and (T_out >= 1)) or (((wait_ack_10_3 >= 1) and (T_out >= 1)) or (((wait_ack_9_3 >= 1) and (T_out >= 1)) or (((wait_ack_8_3 >= 1) and (T_out >= 1)) or (((wait_ack_7_3 >= 1) and (T_out >= 1)) or (((wait_ack_6_3 >= 1) and (T_out >= 1)) or (((wait_ack_5_3 >= 1) and (T_out >= 1)) or (((wait_ack_4_3 >= 1) and (T_out >= 1)) or (((wait_ack_2_3 >= 1) and (T_out >= 1)) or (((wait_ack_1_3 >= 1) and (T_out >= 1)) or (((wait_ack_15_2 >= 1) and (T_out >= 1)) or (((wait_ack_14_2 >= 1) and (T_out >= 1)) or (((wait_ack_13_2 >= 1) and (T_out >= 1)) or (((wait_ack_12_2 >= 1) and (T_out >= 1)) or (((wait_ack_11_2 >= 1) and (T_out >= 1)) or (((wait_ack_10_2 >= 1) and (T_out >= 1)) or (((wait_ack_9_2 >= 1) and (T_out >= 1)) or (((wait_ack_8_2 >= 1) and (T_out >= 1)) or (((wait_ack_7_2 >= 1) and (T_out >= 1)) or (((wait_ack_6_2 >= 1) and (T_out >= 1)) or (((wait_ack_5_2 >= 1) and (T_out >= 1)) or (((wait_ack_4_2 >= 1) and (T_out >= 1)) or (((wait_ack_3_2 >= 1) and (T_out >= 1)) or (((wait_ack_1_2 >= 1) and (T_out >= 1)) or (((wait_ack_15_1 >= 1) and (T_out >= 1)) or (((wait_ack_14_1 >= 1) and (T_out >= 1)) or (((wait_ack_13_1 >= 1) and (T_out >= 1)) or (((wait_ack_12_1 >= 1) and (T_out >= 1)) or (((wait_ack_11_1 >= 1) and (T_out >= 1)) or (((wait_ack_10_1 >= 1) and (T_out >= 1)) or (((wait_ack_9_1 >= 1) and (T_out >= 1)) or (((wait_ack_8_1 >= 1) and (T_out >= 1)) or (((wait_ack_7_1 >= 1) and (T_out >= 1)) or (((wait_ack_6_1 >= 1) and (T_out >= 1)) or (((wait_ack_5_1 >= 1) and (T_out >= 1)) or (((wait_ack_4_1 >= 1) and (T_out >= 1)) or (((wait_ack_3_1 >= 1) and (T_out >= 1)) or ((wait_ack_2_1 >= 1) and (T_out >= 1)))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))) and ((((((cable_free >= 1) and ((FMCb >= 1) and ((AMC_15_15 >= 1) and (Cpt2_15 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_14_15 >= 1) and (Cpt2_15 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_13_15 >= 1) and (Cpt2_15 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_12_15 >= 1) and (Cpt2_15 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_11_15 >= 1) and (Cpt2_15 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_10_15 >= 1) and (Cpt2_15 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_9_15 >= 1) and (Cpt2_15 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_8_15 >= 1) and (Cpt2_15 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_7_15 >= 1) and (Cpt2_15 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_6_15 >= 1) and (Cpt2_15 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_5_15 >= 1) and (Cpt2_15 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_4_15 >= 1) and (Cpt2_15 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_3_15 >= 1) and (Cpt2_15 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_2_15 >= 1) and (Cpt2_15 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_1_15 >= 1) and (Cpt2_15 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_15_14 >= 1) and (Cpt2_14 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_14_14 >= 1) and (Cpt2_14 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_13_14 >= 1) and (Cpt2_14 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_12_14 >= 1) and (Cpt2_14 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_11_14 >= 1) and (Cpt2_14 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_10_14 >= 1) and (Cpt2_14 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_9_14 >= 1) and (Cpt2_14 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_8_14 >= 1) and (Cpt2_14 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_7_14 >= 1) and (Cpt2_14 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_6_14 >= 1) and (Cpt2_14 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_5_14 >= 1) and (Cpt2_14 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_4_14 >= 1) and (Cpt2_14 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_3_14 >= 1) and (Cpt2_14 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_2_14 >= 1) and (Cpt2_14 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_1_14 >= 1) and (Cpt2_14 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_15_13 >= 1) and (Cpt2_13 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_14_13 >= 1) and (Cpt2_13 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_13_13 >= 1) and (Cpt2_13 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_12_13 >= 1) and (Cpt2_13 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_11_13 >= 1) and (Cpt2_13 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_10_13 >= 1) and (Cpt2_13 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_9_13 >= 1) and (Cpt2_13 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_8_13 >= 1) and (Cpt2_13 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_7_13 >= 1) and (Cpt2_13 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_6_13 >= 1) and (Cpt2_13 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_5_13 >= 1) and (Cpt2_13 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_4_13 >= 1) and (Cpt2_13 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_3_13 >= 1) and (Cpt2_13 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_2_13 >= 1) and (Cpt2_13 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_1_13 >= 1) and (Cpt2_13 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_15_12 >= 1) and (Cpt2_12 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_14_12 >= 1) and (Cpt2_12 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_13_12 >= 1) and (Cpt2_12 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_12_12 >= 1) and (Cpt2_12 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_11_12 >= 1) and (Cpt2_12 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_10_12 >= 1) and (Cpt2_12 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_9_12 >= 1) and (Cpt2_12 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_8_12 >= 1) and (Cpt2_12 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_7_12 >= 1) and (Cpt2_12 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_6_12 >= 1) and (Cpt2_12 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_5_12 >= 1) and (Cpt2_12 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_4_12 >= 1) and (Cpt2_12 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_3_12 >= 1) and (Cpt2_12 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_2_12 >= 1) and (Cpt2_12 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_1_12 >= 1) and (Cpt2_12 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_15_11 >= 1) and (Cpt2_11 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_14_11 >= 1) and (Cpt2_11 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_13_11 >= 1) and (Cpt2_11 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_12_11 >= 1) and (Cpt2_11 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_11_11 >= 1) and (Cpt2_11 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_10_11 >= 1) and (Cpt2_11 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_9_11 >= 1) and (Cpt2_11 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_8_11 >= 1) and (Cpt2_11 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_7_11 >= 1) and (Cpt2_11 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_6_11 >= 1) and (Cpt2_11 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_5_11 >= 1) and (Cpt2_11 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_4_11 >= 1) and (Cpt2_11 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_3_11 >= 1) and (Cpt2_11 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_2_11 >= 1) and (Cpt2_11 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_1_11 >= 1) and (Cpt2_11 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_15_10 >= 1) and (Cpt2_10 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_14_10 >= 1) and (Cpt2_10 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_13_10 >= 1) and (Cpt2_10 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_12_10 >= 1) and (Cpt2_10 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_11_10 >= 1) and (Cpt2_10 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_10_10 >= 1) and (Cpt2_10 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_9_10 >= 1) and (Cpt2_10 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_8_10 >= 1) and (Cpt2_10 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_7_10 >= 1) and (Cpt2_10 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_6_10 >= 1) and (Cpt2_10 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_5_10 >= 1) and (Cpt2_10 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_4_10 >= 1) and (Cpt2_10 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_3_10 >= 1) and (Cpt2_10 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_2_10 >= 1) and (Cpt2_10 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_1_10 >= 1) and (Cpt2_10 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_15_9 >= 1) and (Cpt2_9 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_14_9 >= 1) and (Cpt2_9 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_13_9 >= 1) and (Cpt2_9 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_12_9 >= 1) and (Cpt2_9 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_11_9 >= 1) and (Cpt2_9 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_10_9 >= 1) and (Cpt2_9 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_9_9 >= 1) and (Cpt2_9 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_8_9 >= 1) and (Cpt2_9 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_7_9 >= 1) and (Cpt2_9 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_6_9 >= 1) and (Cpt2_9 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_5_9 >= 1) and (Cpt2_9 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_4_9 >= 1) and (Cpt2_9 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_3_9 >= 1) and (Cpt2_9 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_2_9 >= 1) and (Cpt2_9 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_1_9 >= 1) and (Cpt2_9 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_15_8 >= 1) and (Cpt2_8 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_14_8 >= 1) and (Cpt2_8 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_13_8 >= 1) and (Cpt2_8 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_12_8 >= 1) and (Cpt2_8 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_11_8 >= 1) and (Cpt2_8 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_10_8 >= 1) and (Cpt2_8 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_9_8 >= 1) and (Cpt2_8 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_8_8 >= 1) and (Cpt2_8 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_7_8 >= 1) and (Cpt2_8 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_6_8 >= 1) and (Cpt2_8 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_5_8 >= 1) and (Cpt2_8 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_4_8 >= 1) and (Cpt2_8 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_3_8 >= 1) and (Cpt2_8 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_2_8 >= 1) and (Cpt2_8 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_1_8 >= 1) and (Cpt2_8 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_15_7 >= 1) and (Cpt2_7 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_14_7 >= 1) and (Cpt2_7 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_13_7 >= 1) and (Cpt2_7 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_12_7 >= 1) and (Cpt2_7 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_11_7 >= 1) and (Cpt2_7 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_10_7 >= 1) and (Cpt2_7 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_9_7 >= 1) and (Cpt2_7 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_8_7 >= 1) and (Cpt2_7 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_7_7 >= 1) and (Cpt2_7 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_6_7 >= 1) and (Cpt2_7 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_5_7 >= 1) and (Cpt2_7 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_4_7 >= 1) and (Cpt2_7 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_3_7 >= 1) and (Cpt2_7 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_2_7 >= 1) and (Cpt2_7 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_1_7 >= 1) and (Cpt2_7 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_15_6 >= 1) and (Cpt2_6 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_14_6 >= 1) and (Cpt2_6 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_13_6 >= 1) and (Cpt2_6 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_12_6 >= 1) and (Cpt2_6 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_11_6 >= 1) and (Cpt2_6 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_10_6 >= 1) and (Cpt2_6 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_9_6 >= 1) and (Cpt2_6 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_8_6 >= 1) and (Cpt2_6 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_7_6 >= 1) and (Cpt2_6 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_6_6 >= 1) and (Cpt2_6 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_5_6 >= 1) and (Cpt2_6 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_4_6 >= 1) and (Cpt2_6 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_3_6 >= 1) and (Cpt2_6 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_2_6 >= 1) and (Cpt2_6 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_1_6 >= 1) and (Cpt2_6 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_15_5 >= 1) and (Cpt2_5 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_14_5 >= 1) and (Cpt2_5 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_13_5 >= 1) and (Cpt2_5 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_12_5 >= 1) and (Cpt2_5 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_11_5 >= 1) and (Cpt2_5 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_10_5 >= 1) and (Cpt2_5 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_9_5 >= 1) and (Cpt2_5 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_8_5 >= 1) and (Cpt2_5 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_7_5 >= 1) and (Cpt2_5 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_6_5 >= 1) and (Cpt2_5 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_5_5 >= 1) and (Cpt2_5 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_4_5 >= 1) and (Cpt2_5 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_3_5 >= 1) and (Cpt2_5 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_2_5 >= 1) and (Cpt2_5 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_1_5 >= 1) and (Cpt2_5 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_15_4 >= 1) and (Cpt2_4 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_14_4 >= 1) and (Cpt2_4 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_13_4 >= 1) and (Cpt2_4 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_12_4 >= 1) and (Cpt2_4 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_11_4 >= 1) and (Cpt2_4 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_10_4 >= 1) and (Cpt2_4 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_9_4 >= 1) and (Cpt2_4 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_8_4 >= 1) and (Cpt2_4 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_7_4 >= 1) and (Cpt2_4 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_6_4 >= 1) and (Cpt2_4 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_5_4 >= 1) and (Cpt2_4 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_4_4 >= 1) and (Cpt2_4 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_3_4 >= 1) and (Cpt2_4 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_2_4 >= 1) and (Cpt2_4 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_1_4 >= 1) and (Cpt2_4 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_15_3 >= 1) and (Cpt2_3 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_14_3 >= 1) and (Cpt2_3 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_13_3 >= 1) and (Cpt2_3 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_12_3 >= 1) and (Cpt2_3 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_11_3 >= 1) and (Cpt2_3 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_10_3 >= 1) and (Cpt2_3 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_9_3 >= 1) and (Cpt2_3 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_8_3 >= 1) and (Cpt2_3 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_7_3 >= 1) and (Cpt2_3 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_6_3 >= 1) and (Cpt2_3 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_5_3 >= 1) and (Cpt2_3 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_4_3 >= 1) and (Cpt2_3 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_3_3 >= 1) and (Cpt2_3 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_2_3 >= 1) and (Cpt2_3 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_1_3 >= 1) and (Cpt2_3 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_15_2 >= 1) and (Cpt2_2 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_14_2 >= 1) and (Cpt2_2 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_13_2 >= 1) and (Cpt2_2 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_12_2 >= 1) and (Cpt2_2 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_11_2 >= 1) and (Cpt2_2 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_10_2 >= 1) and (Cpt2_2 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_9_2 >= 1) and (Cpt2_2 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_8_2 >= 1) and (Cpt2_2 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_7_2 >= 1) and (Cpt2_2 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_6_2 >= 1) and (Cpt2_2 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_5_2 >= 1) and (Cpt2_2 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_4_2 >= 1) and (Cpt2_2 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_3_2 >= 1) and (Cpt2_2 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_2_2 >= 1) and (Cpt2_2 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_1_2 >= 1) and (Cpt2_2 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_15_1 >= 1) and (Cpt2_1 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_14_1 >= 1) and (Cpt2_1 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_13_1 >= 1) and (Cpt2_1 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_12_1 >= 1) and (Cpt2_1 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_11_1 >= 1) and (Cpt2_1 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_10_1 >= 1) and (Cpt2_1 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_9_1 >= 1) and (Cpt2_1 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_8_1 >= 1) and (Cpt2_1 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_7_1 >= 1) and (Cpt2_1 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_6_1 >= 1) and (Cpt2_1 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_5_1 >= 1) and (Cpt2_1 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_4_1 >= 1) and (Cpt2_1 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_3_1 >= 1) and (Cpt2_1 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_2_1 >= 1) and (Cpt2_1 >= 1)))) or ((cable_free >= 1) and ((FMCb >= 1) and ((AMC_1_1 >= 1) and (Cpt2_1 >= 1)))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))) and (((Cpt1_15 >= 1) and (listen_15 >= 1)) or (((Cpt1_15 >= 1) and (listen_14 >= 1)) or (((Cpt1_15 >= 1) and (listen_13 >= 1)) or (((Cpt1_15 >= 1) and (listen_12 >= 1)) or (((Cpt1_15 >= 1) and (listen_11 >= 1)) or (((Cpt1_15 >= 1) and (listen_10 >= 1)) or (((Cpt1_15 >= 1) and (listen_9 >= 1)) or (((Cpt1_15 >= 1) and (listen_8 >= 1)) or (((Cpt1_15 >= 1) and (listen_7 >= 1)) or (((Cpt1_15 >= 1) and (listen_6 >= 1)) or (((Cpt1_15 >= 1) and (listen_5 >= 1)) or (((Cpt1_15 >= 1) and (listen_4 >= 1)) or (((Cpt1_15 >= 1) and (listen_3 >= 1)) or (((Cpt1_15 >= 1) and (listen_2 >= 1)) or (((Cpt1_15 >= 1) and (listen_1 >= 1)) or (((Cpt1_14 >= 1) and (listen_15 >= 1)) or (((Cpt1_14 >= 1) and (listen_14 >= 1)) or (((Cpt1_14 >= 1) and (listen_13 >= 1)) or (((Cpt1_14 >= 1) and (listen_12 >= 1)) or (((Cpt1_14 >= 1) and (listen_11 >= 1)) or (((Cpt1_14 >= 1) and (listen_10 >= 1)) or (((Cpt1_14 >= 1) and (listen_9 >= 1)) or (((Cpt1_14 >= 1) and (listen_8 >= 1)) or (((Cpt1_14 >= 1) and (listen_7 >= 1)) or (((Cpt1_14 >= 1) and (listen_6 >= 1)) or (((Cpt1_14 >= 1) and (listen_5 >= 1)) or (((Cpt1_14 >= 1) and (listen_4 >= 1)) or (((Cpt1_14 >= 1) and (listen_3 >= 1)) or (((Cpt1_14 >= 1) and (listen_2 >= 1)) or (((Cpt1_14 >= 1) and (listen_1 >= 1)) or (((Cpt1_13 >= 1) and (listen_15 >= 1)) or (((Cpt1_13 >= 1) and (listen_14 >= 1)) or (((Cpt1_13 >= 1) and (listen_13 >= 1)) or (((Cpt1_13 >= 1) and (listen_12 >= 1)) or (((Cpt1_13 >= 1) and (listen_11 >= 1)) or (((Cpt1_13 >= 1) and (listen_10 >= 1)) or (((Cpt1_13 >= 1) and (listen_9 >= 1)) or (((Cpt1_13 >= 1) and (listen_8 >= 1)) or (((Cpt1_13 >= 1) and (listen_7 >= 1)) or (((Cpt1_13 >= 1) and (listen_6 >= 1)) or (((Cpt1_13 >= 1) and (listen_5 >= 1)) or (((Cpt1_13 >= 1) and (listen_4 >= 1)) or (((Cpt1_13 >= 1) and (listen_3 >= 1)) or (((Cpt1_13 >= 1) and (listen_2 >= 1)) or (((Cpt1_13 >= 1) and (listen_1 >= 1)) or (((Cpt1_12 >= 1) and (listen_15 >= 1)) or (((Cpt1_12 >= 1) and (listen_14 >= 1)) or (((Cpt1_12 >= 1) and (listen_13 >= 1)) or (((Cpt1_12 >= 1) and (listen_12 >= 1)) or (((Cpt1_12 >= 1) and (listen_11 >= 1)) or (((Cpt1_12 >= 1) and (listen_10 >= 1)) or (((Cpt1_12 >= 1) and (listen_9 >= 1)) or (((Cpt1_12 >= 1) and (listen_8 >= 1)) or (((Cpt1_12 >= 1) and (listen_7 >= 1)) or (((Cpt1_12 >= 1) and (listen_6 >= 1)) or (((Cpt1_12 >= 1) and (listen_5 >= 1)) or (((Cpt1_12 >= 1) and (listen_4 >= 1)) or (((Cpt1_12 >= 1) and (listen_3 >= 1)) or (((Cpt1_12 >= 1) and (listen_2 >= 1)) or (((Cpt1_12 >= 1) and (listen_1 >= 1)) or (((Cpt1_11 >= 1) and (listen_15 >= 1)) or (((Cpt1_11 >= 1) and (listen_14 >= 1)) or (((Cpt1_11 >= 1) and (listen_13 >= 1)) or (((Cpt1_11 >= 1) and (listen_12 >= 1)) or (((Cpt1_11 >= 1) and (listen_11 >= 1)) or (((Cpt1_11 >= 1) and (listen_10 >= 1)) or (((Cpt1_11 >= 1) and (listen_9 >= 1)) or (((Cpt1_11 >= 1) and (listen_8 >= 1)) or (((Cpt1_11 >= 1) and (listen_7 >= 1)) or (((Cpt1_11 >= 1) and (listen_6 >= 1)) or (((Cpt1_11 >= 1) and (listen_5 >= 1)) or (((Cpt1_11 >= 1) and (listen_4 >= 1)) or (((Cpt1_11 >= 1) and (listen_3 >= 1)) or (((Cpt1_11 >= 1) and (listen_2 >= 1)) or (((Cpt1_11 >= 1) and (listen_1 >= 1)) or (((Cpt1_10 >= 1) and (listen_15 >= 1)) or (((Cpt1_10 >= 1) and (listen_14 >= 1)) or (((Cpt1_10 >= 1) and (listen_13 >= 1)) or (((Cpt1_10 >= 1) and (listen_12 >= 1)) or (((Cpt1_10 >= 1) and (listen_11 >= 1)) or (((Cpt1_10 >= 1) and (listen_10 >= 1)) or (((Cpt1_10 >= 1) and (listen_9 >= 1)) or (((Cpt1_10 >= 1) and (listen_8 >= 1)) or (((Cpt1_10 >= 1) and (listen_7 >= 1)) or (((Cpt1_10 >= 1) and (listen_6 >= 1)) or (((Cpt1_10 >= 1) and (listen_5 >= 1)) or (((Cpt1_10 >= 1) and (listen_4 >= 1)) or (((Cpt1_10 >= 1) and (listen_3 >= 1)) or (((Cpt1_10 >= 1) and (listen_2 >= 1)) or (((Cpt1_10 >= 1) and (listen_1 >= 1)) or (((Cpt1_9 >= 1) and (listen_15 >= 1)) or (((Cpt1_9 >= 1) and (listen_14 >= 1)) or (((Cpt1_9 >= 1) and (listen_13 >= 1)) or (((Cpt1_9 >= 1) and (listen_12 >= 1)) or (((Cpt1_9 >= 1) and (listen_11 >= 1)) or (((Cpt1_9 >= 1) and (listen_10 >= 1)) or (((Cpt1_9 >= 1) and (listen_9 >= 1)) or (((Cpt1_9 >= 1) and (listen_8 >= 1)) or (((Cpt1_9 >= 1) and (listen_7 >= 1)) or (((Cpt1_9 >= 1) and (listen_6 >= 1)) or (((Cpt1_9 >= 1) and (listen_5 >= 1)) or (((Cpt1_9 >= 1) and (listen_4 >= 1)) or (((Cpt1_9 >= 1) and (listen_3 >= 1)) or (((Cpt1_9 >= 1) and (listen_2 >= 1)) or (((Cpt1_9 >= 1) and (listen_1 >= 1)) or (((Cpt1_8 >= 1) and (listen_15 >= 1)) or (((Cpt1_8 >= 1) and (listen_14 >= 1)) or (((Cpt1_8 >= 1) and (listen_13 >= 1)) or (((Cpt1_8 >= 1) and (listen_12 >= 1)) or (((Cpt1_8 >= 1) and (listen_11 >= 1)) or (((Cpt1_8 >= 1) and (listen_10 >= 1)) or (((Cpt1_8 >= 1) and (listen_9 >= 1)) or (((Cpt1_8 >= 1) and (listen_8 >= 1)) or (((Cpt1_8 >= 1) and (listen_7 >= 1)) or (((Cpt1_8 >= 1) and (listen_6 >= 1)) or (((Cpt1_8 >= 1) and (listen_5 >= 1)) or (((Cpt1_8 >= 1) and (listen_4 >= 1)) or (((Cpt1_8 >= 1) and (listen_3 >= 1)) or (((Cpt1_8 >= 1) and (listen_2 >= 1)) or (((Cpt1_8 >= 1) and (listen_1 >= 1)) or (((Cpt1_7 >= 1) and (listen_15 >= 1)) or (((Cpt1_7 >= 1) and (listen_14 >= 1)) or (((Cpt1_7 >= 1) and (listen_13 >= 1)) or (((Cpt1_7 >= 1) and (listen_12 >= 1)) or (((Cpt1_7 >= 1) and (listen_11 >= 1)) or (((Cpt1_7 >= 1) and (listen_10 >= 1)) or (((Cpt1_7 >= 1) and (listen_9 >= 1)) or (((Cpt1_7 >= 1) and (listen_8 >= 1)) or (((Cpt1_7 >= 1) and (listen_7 >= 1)) or (((Cpt1_7 >= 1) and (listen_6 >= 1)) or (((Cpt1_7 >= 1) and (listen_5 >= 1)) or (((Cpt1_7 >= 1) and (listen_4 >= 1)) or (((Cpt1_7 >= 1) and (listen_3 >= 1)) or (((Cpt1_7 >= 1) and (listen_2 >= 1)) or (((Cpt1_7 >= 1) and (listen_1 >= 1)) or (((Cpt1_6 >= 1) and (listen_15 >= 1)) or (((Cpt1_6 >= 1) and (listen_14 >= 1)) or (((Cpt1_6 >= 1) and (listen_13 >= 1)) or (((Cpt1_6 >= 1) and (listen_12 >= 1)) or (((Cpt1_6 >= 1) and (listen_11 >= 1)) or (((Cpt1_6 >= 1) and (listen_10 >= 1)) or (((Cpt1_6 >= 1) and (listen_9 >= 1)) or (((Cpt1_6 >= 1) and (listen_8 >= 1)) or (((Cpt1_6 >= 1) and (listen_7 >= 1)) or (((Cpt1_6 >= 1) and (listen_6 >= 1)) or (((Cpt1_6 >= 1) and (listen_5 >= 1)) or (((Cpt1_6 >= 1) and (listen_4 >= 1)) or (((Cpt1_6 >= 1) and (listen_3 >= 1)) or (((Cpt1_6 >= 1) and (listen_2 >= 1)) or (((Cpt1_6 >= 1) and (listen_1 >= 1)) or (((Cpt1_5 >= 1) and (listen_15 >= 1)) or (((Cpt1_5 >= 1) and (listen_14 >= 1)) or (((Cpt1_5 >= 1) and (listen_13 >= 1)) or (((Cpt1_5 >= 1) and (listen_12 >= 1)) or (((Cpt1_5 >= 1) and (listen_11 >= 1)) or (((Cpt1_5 >= 1) and (listen_10 >= 1)) or (((Cpt1_5 >= 1) and (listen_9 >= 1)) or (((Cpt1_5 >= 1) and (listen_8 >= 1)) or (((Cpt1_5 >= 1) and (listen_7 >= 1)) or (((Cpt1_5 >= 1) and (listen_6 >= 1)) or (((Cpt1_5 >= 1) and (listen_5 >= 1)) or (((Cpt1_5 >= 1) and (listen_4 >= 1)) or (((Cpt1_5 >= 1) and (listen_3 >= 1)) or (((Cpt1_5 >= 1) and (listen_2 >= 1)) or (((Cpt1_5 >= 1) and (listen_1 >= 1)) or (((Cpt1_4 >= 1) and (listen_15 >= 1)) or (((Cpt1_4 >= 1) and (listen_14 >= 1)) or (((Cpt1_4 >= 1) and (listen_13 >= 1)) or (((Cpt1_4 >= 1) and (listen_12 >= 1)) or (((Cpt1_4 >= 1) and (listen_11 >= 1)) or (((Cpt1_4 >= 1) and (listen_10 >= 1)) or (((Cpt1_4 >= 1) and (listen_9 >= 1)) or (((Cpt1_4 >= 1) and (listen_8 >= 1)) or (((Cpt1_4 >= 1) and (listen_7 >= 1)) or (((Cpt1_4 >= 1) and (listen_6 >= 1)) or (((Cpt1_4 >= 1) and (listen_5 >= 1)) or (((Cpt1_4 >= 1) and (listen_4 >= 1)) or (((Cpt1_4 >= 1) and (listen_3 >= 1)) or (((Cpt1_4 >= 1) and (listen_2 >= 1)) or (((Cpt1_4 >= 1) and (listen_1 >= 1)) or (((Cpt1_3 >= 1) and (listen_15 >= 1)) or (((Cpt1_3 >= 1) and (listen_14 >= 1)) or (((Cpt1_3 >= 1) and (listen_13 >= 1)) or (((Cpt1_3 >= 1) and (listen_12 >= 1)) or (((Cpt1_3 >= 1) and (listen_11 >= 1)) or (((Cpt1_3 >= 1) and (listen_10 >= 1)) or (((Cpt1_3 >= 1) and (listen_9 >= 1)) or (((Cpt1_3 >= 1) and (listen_8 >= 1)) or (((Cpt1_3 >= 1) and (listen_7 >= 1)) or (((Cpt1_3 >= 1) and (listen_6 >= 1)) or (((Cpt1_3 >= 1) and (listen_5 >= 1)) or (((Cpt1_3 >= 1) and (listen_4 >= 1)) or (((Cpt1_3 >= 1) and (listen_3 >= 1)) or (((Cpt1_3 >= 1) and (listen_2 >= 1)) or (((Cpt1_3 >= 1) and (listen_1 >= 1)) or (((Cpt1_2 >= 1) and (listen_15 >= 1)) or (((Cpt1_2 >= 1) and (listen_14 >= 1)) or (((Cpt1_2 >= 1) and (listen_13 >= 1)) or (((Cpt1_2 >= 1) and (listen_12 >= 1)) or (((Cpt1_2 >= 1) and (listen_11 >= 1)) or (((Cpt1_2 >= 1) and (listen_10 >= 1)) or (((Cpt1_2 >= 1) and (listen_9 >= 1)) or (((Cpt1_2 >= 1) and (listen_8 >= 1)) or (((Cpt1_2 >= 1) and (listen_7 >= 1)) or (((Cpt1_2 >= 1) and (listen_6 >= 1)) or (((Cpt1_2 >= 1) and (listen_5 >= 1)) or (((Cpt1_2 >= 1) and (listen_4 >= 1)) or (((Cpt1_2 >= 1) and (listen_3 >= 1)) or (((Cpt1_2 >= 1) and (listen_2 >= 1)) or (((Cpt1_2 >= 1) and (listen_1 >= 1)) or (((Cpt1_1 >= 1) and (listen_15 >= 1)) or (((Cpt1_1 >= 1) and (listen_14 >= 1)) or (((Cpt1_1 >= 1) and (listen_13 >= 1)) or (((Cpt1_1 >= 1) and (listen_12 >= 1)) or (((Cpt1_1 >= 1) and (listen_11 >= 1)) or (((Cpt1_1 >= 1) and (listen_10 >= 1)) or (((Cpt1_1 >= 1) and (listen_9 >= 1)) or (((Cpt1_1 >= 1) and (listen_8 >= 1)) or (((Cpt1_1 >= 1) and (listen_7 >= 1)) or (((Cpt1_1 >= 1) and (listen_6 >= 1)) or (((Cpt1_1 >= 1) and (listen_5 >= 1)) or (((Cpt1_1 >= 1) and (listen_4 >= 1)) or (((Cpt1_1 >= 1) and (listen_3 >= 1)) or (((Cpt1_1 >= 1) and (listen_2 >= 1)) or ((Cpt1_1 >= 1) and (listen_1 >= 1))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))) and (((R_tout >= 1) and (ACK >= 1)) or (((wait_ack_14_15 >= 1) and (T_out >= 1)) or (((wait_ack_13_15 >= 1) and (T_out >= 1)) or (((wait_ack_12_15 >= 1) and (T_out >= 1)) or (((wait_ack_11_15 >= 1) and (T_out >= 1)) or (((wait_ack_10_15 >= 1) and (T_out >= 1)) or (((wait_ack_9_15 >= 1) and (T_out >= 1)) or (((wait_ack_8_15 >= 1) and (T_out >= 1)) or (((wait_ack_7_15 >= 1) and (T_out >= 1)) or (((wait_ack_6_15 >= 1) and (T_out >= 1)) or (((wait_ack_5_15 >= 1) and (T_out >= 1)) or (((wait_ack_4_15 >= 1) and (T_out >= 1)) or (((wait_ack_3_15 >= 1) and (T_out >= 1)) or (((wait_ack_2_15 >= 1) and (T_out >= 1)) or (((wait_ack_1_15 >= 1) and (T_out >= 1)) or (((wait_ack_15_14 >= 1) and (T_out >= 1)) or (((wait_ack_13_14 >= 1) and (T_out >= 1)) or (((wait_ack_12_14 >= 1) and (T_out >= 1)) or (((wait_ack_11_14 >= 1) and (T_out >= 1)) or (((wait_ack_10_14 >= 1) and (T_out >= 1)) or (((wait_ack_9_14 >= 1) and (T_out >= 1)) or (((wait_ack_8_14 >= 1) and (T_out >= 1)) or (((wait_ack_7_14 >= 1) and (T_out >= 1)) or (((wait_ack_6_14 >= 1) and (T_out >= 1)) or (((wait_ack_5_14 >= 1) and (T_out >= 1)) or (((wait_ack_4_14 >= 1) and (T_out >= 1)) or (((wait_ack_3_14 >= 1) and (T_out >= 1)) or (((wait_ack_2_14 >= 1) and (T_out >= 1)) or (((wait_ack_1_14 >= 1) and (T_out >= 1)) or (((wait_ack_15_13 >= 1) and (T_out >= 1)) or (((wait_ack_14_13 >= 1) and (T_out >= 1)) or (((wait_ack_12_13 >= 1) and (T_out >= 1)) or (((wait_ack_11_13 >= 1) and (T_out >= 1)) or (((wait_ack_10_13 >= 1) and (T_out >= 1)) or (((wait_ack_9_13 >= 1) and (T_out >= 1)) or (((wait_ack_8_13 >= 1) and (T_out >= 1)) or (((wait_ack_7_13 >= 1) and (T_out >= 1)) or (((wait_ack_6_13 >= 1) and (T_out >= 1)) or (((wait_ack_5_13 >= 1) and (T_out >= 1)) or (((wait_ack_4_13 >= 1) and (T_out >= 1)) or (((wait_ack_3_13 >= 1) and (T_out >= 1)) or (((wait_ack_2_13 >= 1) and (T_out >= 1)) or (((wait_ack_1_13 >= 1) and (T_out >= 1)) or (((wait_ack_15_12 >= 1) and (T_out >= 1)) or (((wait_ack_14_12 >= 1) and (T_out >= 1)) or (((wait_ack_13_12 >= 1) and (T_out >= 1)) or (((wait_ack_11_12 >= 1) and (T_out >= 1)) or (((wait_ack_10_12 >= 1) and (T_out >= 1)) or (((wait_ack_9_12 >= 1) and (T_out >= 1)) or (((wait_ack_8_12 >= 1) and (T_out >= 1)) or (((wait_ack_7_12 >= 1) and (T_out >= 1)) or (((wait_ack_6_12 >= 1) and (T_out >= 1)) or (((wait_ack_5_12 >= 1) and (T_out >= 1)) or (((wait_ack_4_12 >= 1) and (T_out >= 1)) or (((wait_ack_3_12 >= 1) and (T_out >= 1)) or (((wait_ack_2_12 >= 1) and (T_out >= 1)) or (((wait_ack_1_12 >= 1) and (T_out >= 1)) or (((wait_ack_15_11 >= 1) and (T_out >= 1)) or (((wait_ack_14_11 >= 1) and (T_out >= 1)) or (((wait_ack_13_11 >= 1) and (T_out >= 1)) or (((wait_ack_12_11 >= 1) and (T_out >= 1)) or (((wait_ack_10_11 >= 1) and (T_out >= 1)) or (((wait_ack_9_11 >= 1) and (T_out >= 1)) or (((wait_ack_8_11 >= 1) and (T_out >= 1)) or (((wait_ack_7_11 >= 1) and (T_out >= 1)) or (((wait_ack_6_11 >= 1) and (T_out >= 1)) or (((wait_ack_5_11 >= 1) and (T_out >= 1)) or (((wait_ack_4_11 >= 1) and (T_out >= 1)) or (((wait_ack_3_11 >= 1) and (T_out >= 1)) or (((wait_ack_2_11 >= 1) and (T_out >= 1)) or (((wait_ack_1_11 >= 1) and (T_out >= 1)) or (((wait_ack_15_10 >= 1) and (T_out >= 1)) or (((wait_ack_14_10 >= 1) and (T_out >= 1)) or (((wait_ack_13_10 >= 1) and (T_out >= 1)) or (((wait_ack_12_10 >= 1) and (T_out >= 1)) or (((wait_ack_11_10 >= 1) and (T_out >= 1)) or (((wait_ack_9_10 >= 1) and (T_out >= 1)) or (((wait_ack_8_10 >= 1) and (T_out >= 1)) or (((wait_ack_7_10 >= 1) and (T_out >= 1)) or (((wait_ack_6_10 >= 1) and (T_out >= 1)) or (((wait_ack_5_10 >= 1) and (T_out >= 1)) or (((wait_ack_4_10 >= 1) and (T_out >= 1)) or (((wait_ack_3_10 >= 1) and (T_out >= 1)) or (((wait_ack_2_10 >= 1) and (T_out >= 1)) or (((wait_ack_1_10 >= 1) and (T_out >= 1)) or (((wait_ack_15_9 >= 1) and (T_out >= 1)) or (((wait_ack_14_9 >= 1) and (T_out >= 1)) or (((wait_ack_13_9 >= 1) and (T_out >= 1)) or (((wait_ack_12_9 >= 1) and (T_out >= 1)) or (((wait_ack_11_9 >= 1) and (T_out >= 1)) or (((wait_ack_10_9 >= 1) and (T_out >= 1)) or (((wait_ack_8_9 >= 1) and (T_out >= 1)) or (((wait_ack_7_9 >= 1) and (T_out >= 1)) or (((wait_ack_6_9 >= 1) and (T_out >= 1)) or (((wait_ack_5_9 >= 1) and (T_out >= 1)) or (((wait_ack_4_9 >= 1) and (T_out >= 1)) or (((wait_ack_3_9 >= 1) and (T_out >= 1)) or (((wait_ack_2_9 >= 1) and (T_out >= 1)) or (((wait_ack_1_9 >= 1) and (T_out >= 1)) or (((wait_ack_15_8 >= 1) and (T_out >= 1)) or (((wait_ack_14_8 >= 1) and (T_out >= 1)) or (((wait_ack_13_8 >= 1) and (T_out >= 1)) or (((wait_ack_12_8 >= 1) and (T_out >= 1)) or (((wait_ack_11_8 >= 1) and (T_out >= 1)) or (((wait_ack_10_8 >= 1) and (T_out >= 1)) or (((wait_ack_9_8 >= 1) and (T_out >= 1)) or (((wait_ack_7_8 >= 1) and (T_out >= 1)) or (((wait_ack_6_8 >= 1) and (T_out >= 1)) or (((wait_ack_5_8 >= 1) and (T_out >= 1)) or (((wait_ack_4_8 >= 1) and (T_out >= 1)) or (((wait_ack_3_8 >= 1) and (T_out >= 1)) or (((wait_ack_2_8 >= 1) and (T_out >= 1)) or (((wait_ack_1_8 >= 1) and (T_out >= 1)) or (((wait_ack_15_7 >= 1) and (T_out >= 1)) or (((wait_ack_14_7 >= 1) and (T_out >= 1)) or (((wait_ack_13_7 >= 1) and (T_out >= 1)) or (((wait_ack_12_7 >= 1) and (T_out >= 1)) or (((wait_ack_11_7 >= 1) and (T_out >= 1)) or (((wait_ack_10_7 >= 1) and (T_out >= 1)) or (((wait_ack_9_7 >= 1) and (T_out >= 1)) or (((wait_ack_8_7 >= 1) and (T_out >= 1)) or (((wait_ack_6_7 >= 1) and (T_out >= 1)) or (((wait_ack_5_7 >= 1) and (T_out >= 1)) or (((wait_ack_4_7 >= 1) and (T_out >= 1)) or (((wait_ack_3_7 >= 1) and (T_out >= 1)) or (((wait_ack_2_7 >= 1) and (T_out >= 1)) or (((wait_ack_1_7 >= 1) and (T_out >= 1)) or (((wait_ack_15_6 >= 1) and (T_out >= 1)) or (((wait_ack_14_6 >= 1) and (T_out >= 1)) or (((wait_ack_13_6 >= 1) and (T_out >= 1)) or (((wait_ack_12_6 >= 1) and (T_out >= 1)) or (((wait_ack_11_6 >= 1) and (T_out >= 1)) or (((wait_ack_10_6 >= 1) and (T_out >= 1)) or (((wait_ack_9_6 >= 1) and (T_out >= 1)) or (((wait_ack_8_6 >= 1) and (T_out >= 1)) or (((wait_ack_7_6 >= 1) and (T_out >= 1)) or (((wait_ack_5_6 >= 1) and (T_out >= 1)) or (((wait_ack_4_6 >= 1) and (T_out >= 1)) or (((wait_ack_3_6 >= 1) and (T_out >= 1)) or (((wait_ack_2_6 >= 1) and (T_out >= 1)) or (((wait_ack_1_6 >= 1) and (T_out >= 1)) or (((wait_ack_15_5 >= 1) and (T_out >= 1)) or (((wait_ack_14_5 >= 1) and (T_out >= 1)) or (((wait_ack_13_5 >= 1) and (T_out >= 1)) or (((wait_ack_12_5 >= 1) and (T_out >= 1)) or (((wait_ack_11_5 >= 1) and (T_out >= 1)) or (((wait_ack_10_5 >= 1) and (T_out >= 1)) or (((wait_ack_9_5 >= 1) and (T_out >= 1)) or (((wait_ack_8_5 >= 1) and (T_out >= 1)) or (((wait_ack_7_5 >= 1) and (T_out >= 1)) or (((wait_ack_6_5 >= 1) and (T_out >= 1)) or (((wait_ack_4_5 >= 1) and (T_out >= 1)) or (((wait_ack_3_5 >= 1) and (T_out >= 1)) or (((wait_ack_2_5 >= 1) and (T_out >= 1)) or (((wait_ack_1_5 >= 1) and (T_out >= 1)) or (((wait_ack_15_4 >= 1) and (T_out >= 1)) or (((wait_ack_14_4 >= 1) and (T_out >= 1)) or (((wait_ack_13_4 >= 1) and (T_out >= 1)) or (((wait_ack_12_4 >= 1) and (T_out >= 1)) or (((wait_ack_11_4 >= 1) and (T_out >= 1)) or (((wait_ack_10_4 >= 1) and (T_out >= 1)) or (((wait_ack_9_4 >= 1) and (T_out >= 1)) or (((wait_ack_8_4 >= 1) and (T_out >= 1)) or (((wait_ack_7_4 >= 1) and (T_out >= 1)) or (((wait_ack_6_4 >= 1) and (T_out >= 1)) or (((wait_ack_5_4 >= 1) and (T_out >= 1)) or (((wait_ack_3_4 >= 1) and (T_out >= 1)) or (((wait_ack_2_4 >= 1) and (T_out >= 1)) or (((wait_ack_1_4 >= 1) and (T_out >= 1)) or (((wait_ack_15_3 >= 1) and (T_out >= 1)) or (((wait_ack_14_3 >= 1) and (T_out >= 1)) or (((wait_ack_13_3 >= 1) and (T_out >= 1)) or (((wait_ack_12_3 >= 1) and (T_out >= 1)) or (((wait_ack_11_3 >= 1) and (T_out >= 1)) or (((wait_ack_10_3 >= 1) and (T_out >= 1)) or (((wait_ack_9_3 >= 1) and (T_out >= 1)) or (((wait_ack_8_3 >= 1) and (T_out >= 1)) or (((wait_ack_7_3 >= 1) and (T_out >= 1)) or (((wait_ack_6_3 >= 1) and (T_out >= 1)) or (((wait_ack_5_3 >= 1) and (T_out >= 1)) or (((wait_ack_4_3 >= 1) and (T_out >= 1)) or (((wait_ack_2_3 >= 1) and (T_out >= 1)) or (((wait_ack_1_3 >= 1) and (T_out >= 1)) or (((wait_ack_15_2 >= 1) and (T_out >= 1)) or (((wait_ack_14_2 >= 1) and (T_out >= 1)) or (((wait_ack_13_2 >= 1) and (T_out >= 1)) or (((wait_ack_12_2 >= 1) and (T_out >= 1)) or (((wait_ack_11_2 >= 1) and (T_out >= 1)) or (((wait_ack_10_2 >= 1) and (T_out >= 1)) or (((wait_ack_9_2 >= 1) and (T_out >= 1)) or (((wait_ack_8_2 >= 1) and (T_out >= 1)) or (((wait_ack_7_2 >= 1) and (T_out >= 1)) or (((wait_ack_6_2 >= 1) and (T_out >= 1)) or (((wait_ack_5_2 >= 1) and (T_out >= 1)) or (((wait_ack_4_2 >= 1) and (T_out >= 1)) or (((wait_ack_3_2 >= 1) and (T_out >= 1)) or (((wait_ack_1_2 >= 1) and (T_out >= 1)) or (((wait_ack_15_1 >= 1) and (T_out >= 1)) or (((wait_ack_14_1 >= 1) and (T_out >= 1)) or (((wait_ack_13_1 >= 1) and (T_out >= 1)) or (((wait_ack_12_1 >= 1) and (T_out >= 1)) or (((wait_ack_11_1 >= 1) and (T_out >= 1)) or (((wait_ack_10_1 >= 1) and (T_out >= 1)) or (((wait_ack_9_1 >= 1) and (T_out >= 1)) or (((wait_ack_8_1 >= 1) and (T_out >= 1)) or (((wait_ack_7_1 >= 1) and (T_out >= 1)) or (((wait_ack_6_1 >= 1) and (T_out >= 1)) or (((wait_ack_5_1 >= 1) and (T_out >= 1)) or (((wait_ack_4_1 >= 1) and (T_out >= 1)) or (((wait_ack_3_1 >= 1) and (T_out >= 1)) or ((wait_ack_2_1 >= 1) and (T_out >= 1))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))) and (not ((((wait_cable_15 >= 1) and (RMC_15 >= 1)) or (((wait_cable_14 >= 1) and (RMC_14 >= 1)) or (((wait_cable_13 >= 1) and (RMC_13 >= 1)) or (((wait_cable_12 >= 1) and (RMC_12 >= 1)) or (((wait_cable_11 >= 1) and (RMC_11 >= 1)) or (((wait_cable_10 >= 1) and (RMC_10 >= 1)) or (((wait_cable_9 >= 1) and (RMC_9 >= 1)) or (((wait_cable_8 >= 1) and (RMC_8 >= 1)) or (((wait_cable_7 >= 1) and (RMC_7 >= 1)) or (((wait_cable_6 >= 1) and (RMC_6 >= 1)) or (((wait_cable_5 >= 1) and (RMC_5 >= 1)) or (((wait_cable_4 >= 1) and (RMC_4 >= 1)) or (((wait_cable_3 >= 1) and (RMC_3 >= 1)) or (((wait_cable_2 >= 1) and (RMC_2 >= 1)) or ((wait_cable_1 >= 1) and (RMC_1 >= 1)))))))))))))))) or (((loop_em_15 >= 1) and (Cpt1_15 >= 1)) or (((loop_em_14 >= 1) and (Cpt1_15 >= 1)) or (((loop_em_13 >= 1) and (Cpt1_15 >= 1)) or (((loop_em_12 >= 1) and (Cpt1_15 >= 1)) or (((loop_em_11 >= 1) and (Cpt1_15 >= 1)) or (((loop_em_10 >= 1) and (Cpt1_15 >= 1)) or (((loop_em_9 >= 1) and (Cpt1_15 >= 1)) or (((loop_em_8 >= 1) and (Cpt1_15 >= 1)) or (((loop_em_7 >= 1) and (Cpt1_15 >= 1)) or (((loop_em_6 >= 1) and (Cpt1_15 >= 1)) or (((loop_em_5 >= 1) and (Cpt1_15 >= 1)) or (((loop_em_4 >= 1) and (Cpt1_15 >= 1)) or (((loop_em_3 >= 1) and (Cpt1_15 >= 1)) or (((loop_em_2 >= 1) and (Cpt1_15 >= 1)) or (((loop_em_1 >= 1) and (Cpt1_15 >= 1)) or (((loop_em_15 >= 1) and (Cpt1_14 >= 1)) or (((loop_em_14 >= 1) and (Cpt1_14 >= 1)) or (((loop_em_13 >= 1) and (Cpt1_14 >= 1)) or (((loop_em_12 >= 1) and (Cpt1_14 >= 1)) or (((loop_em_11 >= 1) and (Cpt1_14 >= 1)) or (((loop_em_10 >= 1) and (Cpt1_14 >= 1)) or (((loop_em_9 >= 1) and (Cpt1_14 >= 1)) or (((loop_em_8 >= 1) and (Cpt1_14 >= 1)) or (((loop_em_7 >= 1) and (Cpt1_14 >= 1)) or (((loop_em_6 >= 1) and (Cpt1_14 >= 1)) or (((loop_em_5 >= 1) and (Cpt1_14 >= 1)) or (((loop_em_4 >= 1) and (Cpt1_14 >= 1)) or (((loop_em_3 >= 1) and (Cpt1_14 >= 1)) or (((loop_em_2 >= 1) and (Cpt1_14 >= 1)) or (((loop_em_1 >= 1) and (Cpt1_14 >= 1)) or (((loop_em_15 >= 1) and (Cpt1_13 >= 1)) or (((loop_em_14 >= 1) and (Cpt1_13 >= 1)) or (((loop_em_13 >= 1) and (Cpt1_13 >= 1)) or (((loop_em_12 >= 1) and (Cpt1_13 >= 1)) or (((loop_em_11 >= 1) and (Cpt1_13 >= 1)) or (((loop_em_10 >= 1) and (Cpt1_13 >= 1)) or (((loop_em_9 >= 1) and (Cpt1_13 >= 1)) or (((loop_em_8 >= 1) and (Cpt1_13 >= 1)) or (((loop_em_7 >= 1) and (Cpt1_13 >= 1)) or (((loop_em_6 >= 1) and (Cpt1_13 >= 1)) or (((loop_em_5 >= 1) and (Cpt1_13 >= 1)) or (((loop_em_4 >= 1) and (Cpt1_13 >= 1)) or (((loop_em_3 >= 1) and (Cpt1_13 >= 1)) or (((loop_em_2 >= 1) and (Cpt1_13 >= 1)) or (((loop_em_1 >= 1) and (Cpt1_13 >= 1)) or (((loop_em_15 >= 1) and (Cpt1_12 >= 1)) or (((loop_em_14 >= 1) and (Cpt1_12 >= 1)) or (((loop_em_13 >= 1) and (Cpt1_12 >= 1)) or (((loop_em_12 >= 1) and (Cpt1_12 >= 1)) or (((loop_em_11 >= 1) and (Cpt1_12 >= 1)) or (((loop_em_10 >= 1) and (Cpt1_12 >= 1)) or (((loop_em_9 >= 1) and (Cpt1_12 >= 1)) or (((loop_em_8 >= 1) and (Cpt1_12 >= 1)) or (((loop_em_7 >= 1) and (Cpt1_12 >= 1)) or (((loop_em_6 >= 1) and (Cpt1_12 >= 1)) or (((loop_em_5 >= 1) and (Cpt1_12 >= 1)) or (((loop_em_4 >= 1) and (Cpt1_12 >= 1)) or (((loop_em_3 >= 1) and (Cpt1_12 >= 1)) or (((loop_em_2 >= 1) and (Cpt1_12 >= 1)) or (((loop_em_1 >= 1) and (Cpt1_12 >= 1)) or (((loop_em_15 >= 1) and (Cpt1_11 >= 1)) or (((loop_em_14 >= 1) and (Cpt1_11 >= 1)) or (((loop_em_13 >= 1) and (Cpt1_11 >= 1)) or (((loop_em_12 >= 1) and (Cpt1_11 >= 1)) or (((loop_em_11 >= 1) and (Cpt1_11 >= 1)) or (((loop_em_10 >= 1) and (Cpt1_11 >= 1)) or (((loop_em_9 >= 1) and (Cpt1_11 >= 1)) or (((loop_em_8 >= 1) and (Cpt1_11 >= 1)) or (((loop_em_7 >= 1) and (Cpt1_11 >= 1)) or (((loop_em_6 >= 1) and (Cpt1_11 >= 1)) or (((loop_em_5 >= 1) and (Cpt1_11 >= 1)) or (((loop_em_4 >= 1) and (Cpt1_11 >= 1)) or (((loop_em_3 >= 1) and (Cpt1_11 >= 1)) or (((loop_em_2 >= 1) and (Cpt1_11 >= 1)) or (((loop_em_1 >= 1) and (Cpt1_11 >= 1)) or (((loop_em_15 >= 1) and (Cpt1_10 >= 1)) or (((loop_em_14 >= 1) and (Cpt1_10 >= 1)) or (((loop_em_13 >= 1) and (Cpt1_10 >= 1)) or (((loop_em_12 >= 1) and (Cpt1_10 >= 1)) or (((loop_em_11 >= 1) and (Cpt1_10 >= 1)) or (((loop_em_10 >= 1) and (Cpt1_10 >= 1)) or (((loop_em_9 >= 1) and (Cpt1_10 >= 1)) or (((loop_em_8 >= 1) and (Cpt1_10 >= 1)) or (((loop_em_7 >= 1) and (Cpt1_10 >= 1)) or (((loop_em_6 >= 1) and (Cpt1_10 >= 1)) or (((loop_em_5 >= 1) and (Cpt1_10 >= 1)) or (((loop_em_4 >= 1) and (Cpt1_10 >= 1)) or (((loop_em_3 >= 1) and (Cpt1_10 >= 1)) or (((loop_em_2 >= 1) and (Cpt1_10 >= 1)) or (((loop_em_1 >= 1) and (Cpt1_10 >= 1)) or (((loop_em_15 >= 1) and (Cpt1_9 >= 1)) or (((loop_em_14 >= 1) and (Cpt1_9 >= 1)) or (((loop_em_13 >= 1) and (Cpt1_9 >= 1)) or (((loop_em_12 >= 1) and (Cpt1_9 >= 1)) or (((loop_em_11 >= 1) and (Cpt1_9 >= 1)) or (((loop_em_10 >= 1) and (Cpt1_9 >= 1)) or (((loop_em_9 >= 1) and (Cpt1_9 >= 1)) or (((loop_em_8 >= 1) and (Cpt1_9 >= 1)) or (((loop_em_7 >= 1) and (Cpt1_9 >= 1)) or (((loop_em_6 >= 1) and (Cpt1_9 >= 1)) or (((loop_em_5 >= 1) and (Cpt1_9 >= 1)) or (((loop_em_4 >= 1) and (Cpt1_9 >= 1)) or (((loop_em_3 >= 1) and (Cpt1_9 >= 1)) or (((loop_em_2 >= 1) and (Cpt1_9 >= 1)) or (((loop_em_1 >= 1) and (Cpt1_9 >= 1)) or (((loop_em_15 >= 1) and (Cpt1_8 >= 1)) or (((loop_em_14 >= 1) and (Cpt1_8 >= 1)) or (((loop_em_13 >= 1) and (Cpt1_8 >= 1)) or (((loop_em_12 >= 1) and (Cpt1_8 >= 1)) or (((loop_em_11 >= 1) and (Cpt1_8 >= 1)) or (((loop_em_10 >= 1) and (Cpt1_8 >= 1)) or (((loop_em_9 >= 1) and (Cpt1_8 >= 1)) or (((loop_em_8 >= 1) and (Cpt1_8 >= 1)) or (((loop_em_7 >= 1) and (Cpt1_8 >= 1)) or (((loop_em_6 >= 1) and (Cpt1_8 >= 1)) or (((loop_em_5 >= 1) and (Cpt1_8 >= 1)) or (((loop_em_4 >= 1) and (Cpt1_8 >= 1)) or (((loop_em_3 >= 1) and (Cpt1_8 >= 1)) or (((loop_em_2 >= 1) and (Cpt1_8 >= 1)) or (((loop_em_1 >= 1) and (Cpt1_8 >= 1)) or (((loop_em_15 >= 1) and (Cpt1_7 >= 1)) or (((loop_em_14 >= 1) and (Cpt1_7 >= 1)) or (((loop_em_13 >= 1) and (Cpt1_7 >= 1)) or (((loop_em_12 >= 1) and (Cpt1_7 >= 1)) or (((loop_em_11 >= 1) and (Cpt1_7 >= 1)) or (((loop_em_10 >= 1) and (Cpt1_7 >= 1)) or (((loop_em_9 >= 1) and (Cpt1_7 >= 1)) or (((loop_em_8 >= 1) and (Cpt1_7 >= 1)) or (((loop_em_7 >= 1) and (Cpt1_7 >= 1)) or (((loop_em_6 >= 1) and (Cpt1_7 >= 1)) or (((loop_em_5 >= 1) and (Cpt1_7 >= 1)) or (((loop_em_4 >= 1) and (Cpt1_7 >= 1)) or (((loop_em_3 >= 1) and (Cpt1_7 >= 1)) or (((loop_em_2 >= 1) and (Cpt1_7 >= 1)) or (((loop_em_1 >= 1) and (Cpt1_7 >= 1)) or (((loop_em_15 >= 1) and (Cpt1_6 >= 1)) or (((loop_em_14 >= 1) and (Cpt1_6 >= 1)) or (((loop_em_13 >= 1) and (Cpt1_6 >= 1)) or (((loop_em_12 >= 1) and (Cpt1_6 >= 1)) or (((loop_em_11 >= 1) and (Cpt1_6 >= 1)) or (((loop_em_10 >= 1) and (Cpt1_6 >= 1)) or (((loop_em_9 >= 1) and (Cpt1_6 >= 1)) or (((loop_em_8 >= 1) and (Cpt1_6 >= 1)) or (((loop_em_7 >= 1) and (Cpt1_6 >= 1)) or (((loop_em_6 >= 1) and (Cpt1_6 >= 1)) or (((loop_em_5 >= 1) and (Cpt1_6 >= 1)) or (((loop_em_4 >= 1) and (Cpt1_6 >= 1)) or (((loop_em_3 >= 1) and (Cpt1_6 >= 1)) or (((loop_em_2 >= 1) and (Cpt1_6 >= 1)) or (((loop_em_1 >= 1) and (Cpt1_6 >= 1)) or (((loop_em_15 >= 1) and (Cpt1_5 >= 1)) or (((loop_em_14 >= 1) and (Cpt1_5 >= 1)) or (((loop_em_13 >= 1) and (Cpt1_5 >= 1)) or (((loop_em_12 >= 1) and (Cpt1_5 >= 1)) or (((loop_em_11 >= 1) and (Cpt1_5 >= 1)) or (((loop_em_10 >= 1) and (Cpt1_5 >= 1)) or (((loop_em_9 >= 1) and (Cpt1_5 >= 1)) or (((loop_em_8 >= 1) and (Cpt1_5 >= 1)) or (((loop_em_7 >= 1) and (Cpt1_5 >= 1)) or (((loop_em_6 >= 1) and (Cpt1_5 >= 1)) or (((loop_em_5 >= 1) and (Cpt1_5 >= 1)) or (((loop_em_4 >= 1) and (Cpt1_5 >= 1)) or (((loop_em_3 >= 1) and (Cpt1_5 >= 1)) or (((loop_em_2 >= 1) and (Cpt1_5 >= 1)) or (((loop_em_1 >= 1) and (Cpt1_5 >= 1)) or (((loop_em_15 >= 1) and (Cpt1_4 >= 1)) or (((loop_em_14 >= 1) and (Cpt1_4 >= 1)) or (((loop_em_13 >= 1) and (Cpt1_4 >= 1)) or (((loop_em_12 >= 1) and (Cpt1_4 >= 1)) or (((loop_em_11 >= 1) and (Cpt1_4 >= 1)) or (((loop_em_10 >= 1) and (Cpt1_4 >= 1)) or (((loop_em_9 >= 1) and (Cpt1_4 >= 1)) or (((loop_em_8 >= 1) and (Cpt1_4 >= 1)) or (((loop_em_7 >= 1) and (Cpt1_4 >= 1)) or (((loop_em_6 >= 1) and (Cpt1_4 >= 1)) or (((loop_em_5 >= 1) and (Cpt1_4 >= 1)) or (((loop_em_4 >= 1) and (Cpt1_4 >= 1)) or (((loop_em_3 >= 1) and (Cpt1_4 >= 1)) or (((loop_em_2 >= 1) and (Cpt1_4 >= 1)) or (((loop_em_1 >= 1) and (Cpt1_4 >= 1)) or (((loop_em_15 >= 1) and (Cpt1_3 >= 1)) or (((loop_em_14 >= 1) and (Cpt1_3 >= 1)) or (((loop_em_13 >= 1) and (Cpt1_3 >= 1)) or (((loop_em_12 >= 1) and (Cpt1_3 >= 1)) or (((loop_em_11 >= 1) and (Cpt1_3 >= 1)) or (((loop_em_10 >= 1) and (Cpt1_3 >= 1)) or (((loop_em_9 >= 1) and (Cpt1_3 >= 1)) or (((loop_em_8 >= 1) and (Cpt1_3 >= 1)) or (((loop_em_7 >= 1) and (Cpt1_3 >= 1)) or (((loop_em_6 >= 1) and (Cpt1_3 >= 1)) or (((loop_em_5 >= 1) and (Cpt1_3 >= 1)) or (((loop_em_4 >= 1) and (Cpt1_3 >= 1)) or (((loop_em_3 >= 1) and (Cpt1_3 >= 1)) or (((loop_em_2 >= 1) and (Cpt1_3 >= 1)) or (((loop_em_1 >= 1) and (Cpt1_3 >= 1)) or (((loop_em_15 >= 1) and (Cpt1_2 >= 1)) or (((loop_em_14 >= 1) and (Cpt1_2 >= 1)) or (((loop_em_13 >= 1) and (Cpt1_2 >= 1)) or (((loop_em_12 >= 1) and (Cpt1_2 >= 1)) or (((loop_em_11 >= 1) and (Cpt1_2 >= 1)) or (((loop_em_10 >= 1) and (Cpt1_2 >= 1)) or (((loop_em_9 >= 1) and (Cpt1_2 >= 1)) or (((loop_em_8 >= 1) and (Cpt1_2 >= 1)) or (((loop_em_7 >= 1) and (Cpt1_2 >= 1)) or (((loop_em_6 >= 1) and (Cpt1_2 >= 1)) or (((loop_em_5 >= 1) and (Cpt1_2 >= 1)) or (((loop_em_4 >= 1) and (Cpt1_2 >= 1)) or (((loop_em_3 >= 1) and (Cpt1_2 >= 1)) or (((loop_em_2 >= 1) and (Cpt1_2 >= 1)) or (((loop_em_1 >= 1) and (Cpt1_2 >= 1)) or (((loop_em_15 >= 1) and (Cpt1_1 >= 1)) or (((loop_em_14 >= 1) and (Cpt1_1 >= 1)) or (((loop_em_13 >= 1) and (Cpt1_1 >= 1)) or (((loop_em_12 >= 1) and (Cpt1_1 >= 1)) or (((loop_em_11 >= 1) and (Cpt1_1 >= 1)) or (((loop_em_10 >= 1) and (Cpt1_1 >= 1)) or (((loop_em_9 >= 1) and (Cpt1_1 >= 1)) or (((loop_em_8 >= 1) and (Cpt1_1 >= 1)) or (((loop_em_7 >= 1) and (Cpt1_1 >= 1)) or (((loop_em_6 >= 1) and (Cpt1_1 >= 1)) or (((loop_em_5 >= 1) and (Cpt1_1 >= 1)) or (((loop_em_4 >= 1) and (Cpt1_1 >= 1)) or (((loop_em_3 >= 1) and (Cpt1_1 >= 1)) or (((loop_em_2 >= 1) and (Cpt1_1 >= 1)) or ((loop_em_1 >= 1) and (Cpt1_1 >= 1))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))
Query after reduction: EF (((((wait_ack_14_15 >= 1) and (T_out >= 1)) or (((wait_ack_13_15 >= 1) and (T_out >= 1)) or (((wait_ack_12_15 >= 1) and (T_out >= 1)) or (((wait_ack_11_15 >= 1) and (T_out >= 1)) or (((wait_ack_10_15 >= 1) and (T_out >= 1)) or (((wait_ack_9_15 >= 1) and (T_out >= 1)) or (((wait_ack_8_15 >= 1) and (T_out >= 1)) or (((wait_ack_7_15 >= 1) and (T_out >= 1)) or (((wait_ack_6_15 >= 1) and (T_out >= 1)) or (((wait_ack_5_15 >= 1) and (T_out >= 1)) or (((wait_ack_4_15 >= 1) and (T_out >= 1)) or (((wait_ack_3_15 >= 1) and (T_out >= 1)) or (((wait_ack_2_15 >= 1) and (T_out >= 1)) or (((wait_ack_1_15 >= 1) and (T_out >= 1)) or (((wait_ack_15_14 >= 1) and (T_out >= 1)) or (((wait_ack_13_14 >= 1) and (T_out >= 1)) or (((wait_ack_12_14 >= 1) and (T_out >= 1)) or (((wait_ack_11_14 >= 1) and (T_out >= 1)) or (((wait_ack_10_14 >= 1) and (T_out >= 1)) or (((wait_ack_9_14 >= 1) and (T_out >= 1)) or (((wait_ack_8_14 >= 1) and (T_out >= 1)) or (((wait_ack_7_14 >= 1) and (T_out >= 1)) or (((wait_ack_6_14 >= 1) and (T_out >= 1)) or (((wait_ack_5_14 >= 1) and (T_out >= 1)) or (((wait_ack_4_14 >= 1) and (T_out >= 1)) or (((wait_ack_3_14 >= 1) and (T_out >= 1)) or (((wait_ack_2_14 >= 1) and (T_out >= 1)) or (((wait_ack_1_14 >= 1) and (T_out >= 1)) or (((wait_ack_15_13 >= 1) and (T_out >= 1)) or (((wait_ack_14_13 >= 1) and (T_out >= 1)) or (((wait_ack_12_13 >= 1) and (T_out >= 1)) or (((wait_ack_11_13 >= 1) and (T_out >= 1)) or (((wait_ack_10_13 >= 1) and (T_out >= 1)) or (((wait_ack_9_13 >= 1) and (T_out >= 1)) or (((wait_ack_8_13 >= 1) and (T_out >= 1)) or (((wait_ack_7_13 >= 1) and (T_out >= 1)) or (((wait_ack_6_13 >= 1) and (T_out >= 1)) or (((wait_ack_5_13 >= 1) and (T_out >= 1)) or (((wait_ack_4_13 >= 1) and (T_out >= 1)) or (((wait_ack_3_13 >= 1) and (T_out >= 1)) or (((wait_ack_2_13 >= 1) and (T_out >= 1)) or (((wait_ack_1_13 >= 1) and (T_out >= 1)) or (((wait_ack_15_12 >= 1) and (T_out >= 1)) or (((wait_ack_14_12 >= 1) and (T_out >= 1)) or (((wait_ack_13_12 >= 1) and (T_out >= 1)) or (((wait_ack_11_12 >= 1) and (T_out >= 1)) or (((wait_ack_10_12 >= 1) and (T_out >= 1)) or (((wait_ack_9_12 >= 1) and (T_out >= 1)) or (((wait_ack_8_12 >= 1) and (T_out >= 1)) or (((wait_ack_7_12 >= 1) and (T_out >= 1)) or (((wait_ack_6_12 >= 1) and (T_out >= 1)) or (((wait_ack_5_12 >= 1) and (T_out >= 1)) or (((wait_ack_4_12 >= 1) and (T_out >= 1)) or (((wait_ack_3_12 >= 1) and (T_out >= 1)) or (((wait_ack_2_12 >= 1) and (T_out >= 1)) or (((wait_ack_1_12 >= 1) and (T_out >= 1)) or (((wait_ack_15_11 >= 1) and (T_out >= 1)) or (((wait_ack_14_11 >= 1) and (T_out >= 1)) or (((wait_ack_13_11 >= 1) and (T_out >= 1)) or (((wait_ack_12_11 >= 1) and (T_out >= 1)) or (((wait_ack_10_11 >= 1) and (T_out >= 1)) or (((wait_ack_9_11 >= 1) and (T_out >= 1)) or (((wait_ack_8_11 >= 1) and (T_out >= 1)) or (((wait_ack_7_11 >= 1) and (T_out >= 1)) or (((wait_ack_6_11 >= 1) and (T_out >= 1)) or (((wait_ack_5_11 >= 1) and (T_out >= 1)) or (((wait_ack_4_11 >= 1) and (T_out >= 1)) or (((wait_ack_3_11 >= 1) and (T_out >= 1)) or (((wait_ack_2_11 >= 1) and (T_out >= 1)) or (((wait_ack_1_11 >= 1) and (T_out >= 1)) or (((wait_ack_15_10 >= 1) and (T_out >= 1)) or (((wait_ack_14_10 >= 1) and (T_out >= 1)) or (((wait_ack_13_10 >= 1) and (T_out >= 1)) or (((wait_ack_12_10 >= 1) and (T_out >= 1)) or (((wait_ack_11_10 >= 1) and (T_out >= 1)) or (((wait_ack_9_10 >= 1) and (T_out >= 1)) or (((wait_ack_8_10 >= 1) and (T_out >= 1)) or (((wait_ack_7_10 >= 1) and (T_out >= 1)) or (((wait_ack_6_10 >= 1) and (T_out >= 1)) or (((wait_ack_5_10 >= 1) and (T_out >= 1)) or (((wait_ack_4_10 >= 1) and (T_out >= 1)) or (((wait_ack_3_10 >= 1) and (T_out >= 1)) or (((wait_ack_2_10 >= 1) and (T_out >= 1)) or (((wait_ack_1_10 >= 1) and (T_out >= 1)) or (((wait_ack_15_9 >= 1) and (T_out >= 1)) or (((wait_ack_14_9 >= 1) and (T_out >= 1)) or (((wait_ack_13_9 >= 1) and (T_out >= 1)) or (((wait_ack_12_9 >= 1) and (T_out >= 1)) or (((wait_ack_11_9 >= 1) and (T_out >= 1)) or (((wait_ack_10_9 >= 1) and (T_out >= 1)) or (((wait_ack_8_9 >= 1) and (T_out >= 1)) or (((wait_ack_7_9 >= 1) and (T_out >= 1)) or (((wait_ack_6_9 >= 1) and (T_out >= 1)) or (((wait_ack_5_9 >= 1) and (T_out >= 1)) or (((wait_ack_4_9 >= 1) and (T_out >= 1)) or (((wait_ack_3_9 >= 1) and (T_out >= 1)) or (((wait_ack_2_9 >= 1) and (T_out >= 1)) or (((wait_ack_1_9 >= 1) and (T_out >= 1)) or (((wait_ack_15_8 >= 1) and (T_out >= 1)) or (((wait_ack_14_8 >= 1) and (T_out >= 1)) or (((wait_ack_13_8 >= 1) and (T_out >= 1)) or (((wait_ack_12_8 >= 1) and (T_out >= 1)) or (((wait_ack_11_8 >= 1) and (T_out >= 1)) or (((wait_ack_10_8 >= 1) and (T_out >= 1)) or (((wait_ack_9_8 >= 1) and (T_out >= 1)) or (((wait_ack_7_8 >= 1) and (T_out >= 1)) or (((wait_ack_6_8 >= 1) and (T_out >= 1)) or (((wait_ack_5_8 >= 1) and (T_out >= 1)) or (((wait_ack_4_8 >= 1) and (T_out >= 1)) or (((wait_ack_3_8 >= 1) and (T_out >= 1)) or (((wait_ack_2_8 >= 1) and (T_out >= 1)) or (((wait_ack_1_8 >= 1) and (T_out >= 1)) or (((wait_ack_15_7 >= 1) and (T_out >= 1)) or (((wait_ack_14_7 >= 1) and (T_out >= 1)) or (((wait_ack_13_7 >= 1) and (T_out >= 1)) or (((wait_ack_12_7 >= 1) and (T_out >= 1)) or (((wait_ack_11_7 >= 1) and (T_out >= 1)) or (((wait_ack_10_7 >= 1) and (T_out >= 1)) or (((wait_ack_9_7 >= 1) and (T_out >= 1)) or (((wait_ack_8_7 >= 1) and (T_out >= 1)) or (((wait_ack_6_7 >= 1) and (T_out >= 1)) or (((wait_ack_5_7 >= 1) and (T_out >= 1)) or (((wait_ack_4_7 >= 1) and (T_out >= 1)) or (((wait_ack_3_7 >= 1) and (T_out >= 1)) or (((wait_ack_2_7 >= 1) and (T_out >= 1)) or (((wait_ack_1_7 >= 1) and (T_out >= 1)) or (((wait_ack_15_6 >= 1) and (T_out >= 1)) or (((wait_ack_14_6 >= 1) and (T_out >= 1)) or (((wait_ack_13_6 >= 1) and (T_out >= 1)) or (((wait_ack_12_6 >= 1) and (T_out >= 1)) or (((wait_ack_11_6 >= 1) and (T_out >= 1)) or (((wait_ack_10_6 >= 1) and (T_out >= 1)) or (((wait_ack_9_6 >= 1) and (T_out >= 1)) or (((wait_ack_8_6 >= 1) and (T_out >= 1)) or (((wait_ack_7_6 >= 1) and (T_out >= 1)) or (((wait_ack_5_6 >= 1) and (T_out >= 1)) or (((wait_ack_4_6 >= 1) and (T_out >= 1)) or (((wait_ack_3_6 >= 1) and (T_out >= 1)) or (((wait_ack_2_6 >= 1) and (T_out >= 1)) or (((wait_ack_1_6 >= 1) and (T_out >= 1)) or (((wait_ack_15_5 >= 1) and (T_out >= 1)) or (((wait_ack_14_5 >= 1) and (T_out >= 1)) or (((wait_ack_13_5 >= 1) and (T_out >= 1)) or (((wait_ack_12_5 >= 1) and (T_out >= 1)) or (((wait_ack_11_5 >= 1) and (T_out >= 1)) or (((wait_ack_10_5 >= 1) and (T_out >= 1)) or (((wait_ack_9_5 >= 1) and (T_out >= 1)) or (((wait_ack_8_5 >= 1) and (T_out >= 1)) or (((wait_ack_7_5 >= 1) and (T_out >= 1)) or (((wait_ack_6_5 >= 1) and (T_out >= 1)) or (((wait_ack_4_5 >= 1) and (T_out >= 1)) or (((wait_ack_3_5 >= 1) and (T_out >= 1)) or (((wait_ack_2_5 >= 1) and (T_out >= 1)) or (((wait_ack_1_5 >= 1) and (T_out >= 1)) or (((wait_ack_15_4 >= 1) and (T_out >= 1)) or (((wait_ack_14_4 >= 1) and (T_out >= 1)) or (((wait_ack_13_4 >= 1) and (T_out >= 1)) or (((wait_ack_12_4 >= 1) and (T_out >= 1)) or (((wait_ack_11_4 >= 1) and (T_out >= 1)) or (((wait_ack_10_4 >= 1) and (T_out >= 1)) or (((wait_ack_9_4 >= 1) and (T_out >= 1)) or (((wait_ack_8_4 >= 1) and (T_out >= 1)) or (((wait_ack_7_4 >= 1) and (T_out >= 1)) or (((wait_ack_6_4 >= 1) and (T_out >= 1)) or (((wait_ack_5_4 >= 1) and (T_out >= 1)) or (((wait_ack_3_4 >= 1) and (T_out >= 1)) or (((wait_ack_2_4 >= 1) and (T_out >= 1)) or (((wait_ack_1_4 >= 1) and (T_out >= 1)) or (((wait_ack_15_3 >= 1) and (T_out >= 1)) or (((wait_ack_14_3 >= 1) and (T_out >= 1)) or (((wait_ack_13_3 >= 1) and (T_out >= 1)) or (((wait_ack_12_3 >= 1) and (T_out >= 1)) or (((wait_ack_11_3 >= 1) and (T_out >= 1)) or (((wait_ack_10_3 >= 1) and (T_out >= 1)) or (((wait_ack_9_3 >= 1) and (T_out >= 1)) or (((wait_ack_8_3 >= 1) and (T_out >= 1)) or (((wait_ack_7_3 >= 1) and (T_out >= 1)) or (((wait_ack_6_3 >= 1) and (T_out >= 1)) or (((wait_ack_5_3 >= 1) and (T_out >= 1)) or (((wait_ack_4_3 >= 1) and (T_out >= 1)) or (((wait_ack_2_3 >= 1) and (T_out >= 1)) or (((wait_ack_1_3 >= 1) and (T_out >= 1)) or (((wait_ack_15_2 >= 1) and (T_out >= 1)) or (((wait_ack_14_2 >= 1) and (T_out >= 1)) or (((wait_ack_13_2 >= 1) and (T_out >= 1)) or (((wait_ack_12_2 >= 1) and (T_out >= 1)) or (((wait_ack_11_2 >= 1) and (T_out >= 1)) or (((wait_ack_10_2 >= 1) and (T_out >= 1)) or (((wait_ack_9_2 >= 1) and (T_out >= 1)) or (((wait_ack_8_2 >= 1) and (T_out >= 1)) or (((wait_ack_7_2 >= 1) and (T_out >= 1)) or (((wait_ack_6_2 >= 1) and (T_out >= 1)) or (((wait_ack_5_2 >= 1) and (T_out >= 1)) or (((wait_ack_4_2 >= 1) and (T_out >= 1)) or (((wait_ack_3_2 >= 1) and (T_out >= 1)) or (((wait_ack_1_2 >= 1) and (T_out >= 1)) or (((wait_ack_15_1 >= 1) and (T_out >= 1)) or (((wait_ack_14_1 >= 1) and (T_out >= 1)) or (((wait_ack_13_1 >= 1) and (T_out >= 1)) or (((wait_ack_12_1 >= 1) and (T_out >= 1)) or (((wait_ack_11_1 >= 1) and (T_out >= 1)) or (((wait_ack_10_1 >= 1) and (T_out >= 1)) or (((wait_ack_9_1 >= 1) and (T_out >= 1)) or (((wait_ack_8_1 >= 1) and (T_out >= 1)) or (((wait_ack_7_1 >= 1) and (T_out >= 1)) or (((wait_ack_6_1 >= 1) and (T_out >= 1)) or (((wait_ack_5_1 >= 1) and (T_out >= 1)) or (((wait_ack_4_1 >= 1) and (T_out >= 1)) or (((wait_ack_3_1 >= 1) and (T_out >= 1)) or ((wait_ack_2_1 >= 1) and (T_out >= 1))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))) or (((((wait_msg_15 >= 1) and (MSG_15 >= 1)) or (((wait_msg_14 >= 1) and (MSG_14 >= 1)) or (((wait_msg_13 >= 1) and (MSG_13 >= 1)) or (((wait_msg_12 >= 1) and (MSG_12 >= 1)) or (((wait_msg_11 >= 1) and (MSG_11 >= 1)) or (((wait_msg_10 >= 1) and (MSG_10 >= 1)) or (((wait_msg_9 >= 1) and (MSG_9 >= 1)) or (((wait_msg_8 >= 1) and (MSG_8 >= 1)) or (((wait_msg_7 >= 1) and (MSG_7 >= 1)) or (((wait_msg_6 >= 1) and (MSG_6 >= 1)) or (((wait_msg_5 >= 1) and (MSG_5 >= 1)) or (((wait_msg_4 >= 1) and (MSG_4 >= 1)) or (((wait_msg_3 >= 1) and (MSG_3 >= 1)) or (((wait_msg_2 >= 1) and (MSG_2 >= 1)) or ((wait_msg_1 >= 1) and (MSG_1 >= 1)))))))))))))))) or (((Cpt1_15 >= 1) and (listen_15 >= 1)) or (((Cpt1_15 >= 1) and (listen_14 >= 1)) or (((Cpt1_15 >= 1) and (listen_13 >= 1)) or (((Cpt1_15 >= 1) and (listen_12 >= 1)) or (((Cpt1_15 >= 1) and (listen_11 >= 1)) or (((Cpt1_15 >= 1) and (listen_10 >= 1)) or (((Cpt1_15 >= 1) and (listen_9 >= 1)) or (((Cpt1_15 >= 1) and (listen_8 >= 1)) or (((Cpt1_15 >= 1) and (listen_7 >= 1)) or (((Cpt1_15 >= 1) and (listen_6 >= 1)) or (((Cpt1_15 >= 1) and (listen_5 >= 1)) or (((Cpt1_15 >= 1) and (listen_4 >= 1)) or (((Cpt1_15 >= 1) and (listen_3 >= 1)) or (((Cpt1_15 >= 1) and (listen_2 >= 1)) or (((Cpt1_15 >= 1) and (listen_1 >= 1)) or (((Cpt1_14 >= 1) and (listen_15 >= 1)) or (((Cpt1_14 >= 1) and (listen_14 >= 1)) or (((Cpt1_14 >= 1) and (listen_13 >= 1)) or (((Cpt1_14 >= 1) and (listen_12 >= 1)) or (((Cpt1_14 >= 1) and (listen_11 >= 1)) or (((Cpt1_14 >= 1) and (listen_10 >= 1)) or (((Cpt1_14 >= 1) and (listen_9 >= 1)) or (((Cpt1_14 >= 1) and (listen_8 >= 1)) or (((Cpt1_14 >= 1) and (listen_7 >= 1)) or (((Cpt1_14 >= 1) and (listen_6 >= 1)) or (((Cpt1_14 >= 1) and (listen_5 >= 1)) or (((Cpt1_14 >= 1) and (listen_4 >= 1)) or (((Cpt1_14 >= 1) and (listen_3 >= 1)) or (((Cpt1_14 >= 1) and (listen_2 >= 1)) or (((Cpt1_14 >= 1) and (listen_1 >= 1)) or (((Cpt1_13 >= 1) and (listen_15 >= 1)) or (((Cpt1_13 >= 1) and (listen_14 >= 1)) or (((Cpt1_13 >= 1) and (listen_13 >= 1)) or (((Cpt1_13 >= 1) and (listen_12 >= 1)) or (((Cpt1_13 >= 1) and (listen_11 >= 1)) or (((Cpt1_13 >= 1) and (listen_10 >= 1)) or (((Cpt1_13 >= 1) and (listen_9 >= 1)) or (((Cpt1_13 >= 1) and (listen_8 >= 1)) or (((Cpt1_13 >= 1) and (listen_7 >= 1)) or (((Cpt1_13 >= 1) and (listen_6 >= 1)) or (((Cpt1_13 >= 1) and (listen_5 >= 1)) or (((Cpt1_13 >= 1) and (listen_4 >= 1)) or (((Cpt1_13 >= 1) and (listen_3 >= 1)) or (((Cpt1_13 >= 1) and (listen_2 >= 1)) or (((Cpt1_13 >= 1) and (listen_1 >= 1)) or (((Cpt1_12 >= 1) and (listen_15 >= 1)) or (((Cpt1_12 >= 1) and (listen_14 >= 1)) or (((Cpt1_12 >= 1) and (listen_13 >= 1)) or (((Cpt1_12 >= 1) and (listen_12 >= 1)) or (((Cpt1_12 >= 1) and (listen_11 >= 1)) or (((Cpt1_12 >= 1) and (listen_10 >= 1)) or (((Cpt1_12 >= 1) and (listen_9 >= 1)) or (((Cpt1_12 >= 1) and (listen_8 >= 1)) or (((Cpt1_12 >= 1) and (listen_7 >= 1)) or (((Cpt1_12 >= 1) and (listen_6 >= 1)) or (((Cpt1_12 >= 1) and (listen_5 >= 1)) or (((Cpt1_12 >= 1) and (listen_4 >= 1)) or (((Cpt1_12 >= 1) and (listen_3 >= 1)) or (((Cpt1_12 >= 1) and (listen_2 >= 1)) or (((Cpt1_12 >= 1) and (listen_1 >= 1)) or (((Cpt1_11 >= 1) and (listen_15 >= 1)) or (((Cpt1_11 >= 1) and (listen_14 >= 1)) or (((Cpt1_11 >= 1) and (listen_13 >= 1)) or (((Cpt1_11 >= 1) and (listen_12 >= 1)) or (((Cpt1_11 >= 1) and (listen_11 >= 1)) or (((Cpt1_11 >= 1) and (listen_10 >= 1)) or (((Cpt1_11 >= 1) and (listen_9 >= 1)) or (((Cpt1_11 >= 1) and (listen_8 >= 1)) or (((Cpt1_11 >= 1) and (listen_7 >= 1)) or (((Cpt1_11 >= 1) and (listen_6 >= 1)) or (((Cpt1_11 >= 1) and (listen_5 >= 1)) or (((Cpt1_11 >= 1) and (listen_4 >= 1)) or (((Cpt1_11 >= 1) and (listen_3 >= 1)) or (((Cpt1_11 >= 1) and (listen_2 >= 1)) or (((Cpt1_11 >= 1) and (listen_1 >= 1)) or (((Cpt1_10 >= 1) and (listen_15 >= 1)) or (((Cpt1_10 >= 1) and (listen_14 >= 1)) or (((Cpt1_10 >= 1) and (listen_13 >= 1)) or (((Cpt1_10 >= 1) and (listen_12 >= 1)) or (((Cpt1_10 >= 1) and (listen_11 >= 1)) or (((Cpt1_10 >= 1) and (listen_10 >= 1)) or (((Cpt1_10 >= 1) and (listen_9 >= 1)) or (((Cpt1_10 >= 1) and (listen_8 >= 1)) or (((Cpt1_10 >= 1) and (listen_7 >= 1)) or (((Cpt1_10 >= 1) and (listen_6 >= 1)) or (((Cpt1_10 >= 1) and (listen_5 >= 1)) or (((Cpt1_10 >= 1) and (listen_4 >= 1)) or (((Cpt1_10 >= 1) and (listen_3 >= 1)) or (((Cpt1_10 >= 1) and (listen_2 >= 1)) or (((Cpt1_10 >= 1) and (listen_1 >= 1)) or (((Cpt1_9 >= 1) and (listen_15 >= 1)) or (((Cpt1_9 >= 1) and (listen_14 >= 1)) or (((Cpt1_9 >= 1) and (listen_13 >= 1)) or (((Cpt1_9 >= 1) and (listen_12 >= 1)) or (((Cpt1_9 >= 1) and (listen_11 >= 1)) or (((Cpt1_9 >= 1) and (listen_10 >= 1)) or (((Cpt1_9 >= 1) and (listen_9 >= 1)) or (((Cpt1_9 >= 1) and (listen_8 >= 1)) or (((Cpt1_9 >= 1) and (listen_7 >= 1)) or (((Cpt1_9 >= 1) and (listen_6 >= 1)) or (((Cpt1_9 >= 1) and (listen_5 >= 1)) or (((Cpt1_9 >= 1) and (listen_4 >= 1)) or (((Cpt1_9 >= 1) and (listen_3 >= 1)) or (((Cpt1_9 >= 1) and (listen_2 >= 1)) or (((Cpt1_9 >= 1) and (listen_1 >= 1)) or (((Cpt1_8 >= 1) and (listen_15 >= 1)) or (((Cpt1_8 >= 1) and (listen_14 >= 1)) or (((Cpt1_8 >= 1) and (listen_13 >= 1)) or (((Cpt1_8 >= 1) and (listen_12 >= 1)) or (((Cpt1_8 >= 1) and (listen_11 >= 1)) or (((Cpt1_8 >= 1) and (listen_10 >= 1)) or (((Cpt1_8 >= 1) and (listen_9 >= 1)) or (((Cpt1_8 >= 1) and (listen_8 >= 1)) or (((Cpt1_8 >= 1) and (listen_7 >= 1)) or (((Cpt1_8 >= 1) and (listen_6 >= 1)) or (((Cpt1_8 >= 1) and (listen_5 >= 1)) or (((Cpt1_8 >= 1) and (listen_4 >= 1)) or (((Cpt1_8 >= 1) and (listen_3 >= 1)) or (((Cpt1_8 >= 1) and (listen_2 >= 1)) or (((Cpt1_8 >= 1) and (listen_1 >= 1)) or (((Cpt1_7 >= 1) and (listen_15 >= 1)) or (((Cpt1_7 >= 1) and (listen_14 >= 1)) or (((Cpt1_7 >= 1) and (listen_13 >= 1)) or (((Cpt1_7 >= 1) and (listen_12 >= 1)) or (((Cpt1_7 >= 1) and (listen_11 >= 1)) or (((Cpt1_7 >= 1) and (listen_10 >= 1)) or (((Cpt1_7 >= 1) and (listen_9 >= 1)) or (((Cpt1_7 >= 1) and (listen_8 >= 1)) or (((Cpt1_7 >= 1) and (listen_7 >= 1)) or (((Cpt1_7 >= 1) and (listen_6 >= 1)) or (((Cpt1_7 >= 1) and (listen_5 >= 1)) or (((Cpt1_7 >= 1) and (listen_4 >= 1)) or (((Cpt1_7 >= 1) and (listen_3 >= 1)) or (((Cpt1_7 >= 1) and (listen_2 >= 1)) or (((Cpt1_7 >= 1) and (listen_1 >= 1)) or (((Cpt1_6 >= 1) and (listen_15 >= 1)) or (((Cpt1_6 >= 1) and (listen_14 >= 1)) or (((Cpt1_6 >= 1) and (listen_13 >= 1)) or (((Cpt1_6 >= 1) and (listen_12 >= 1)) or (((Cpt1_6 >= 1) and (listen_11 >= 1)) or (((Cpt1_6 >= 1) and (listen_10 >= 1)) or (((Cpt1_6 >= 1) and (listen_9 >= 1)) or (((Cpt1_6 >= 1) and (listen_8 >= 1)) or (((Cpt1_6 >= 1) and (listen_7 >= 1)) or (((Cpt1_6 >= 1) and (listen_6 >= 1)) or (((Cpt1_6 >= 1) and (listen_5 >= 1)) or (((Cpt1_6 >= 1) and (listen_4 >= 1)) or (((Cpt1_6 >= 1) and (listen_3 >= 1)) or (((Cpt1_6 >= 1) and (listen_2 >= 1)) or (((Cpt1_6 >= 1) and (listen_1 >= 1)) or (((Cpt1_5 >= 1) and (listen_15 >= 1)) or (((Cpt1_5 >= 1) and (listen_14 >= 1)) or (((Cpt1_5 >= 1) and (listen_13 >= 1)) or (((Cpt1_5 >= 1) and (listen_12 >= 1)) or (((Cpt1_5 >= 1) and (listen_11 >= 1)) or (((Cpt1_5 >= 1) and (listen_10 >= 1)) or (((Cpt1_5 >= 1) and (listen_9 >= 1)) or (((Cpt1_5 >= 1) and (listen_8 >= 1)) or (((Cpt1_5 >= 1) and (listen_7 >= 1)) or (((Cpt1_5 >= 1) and (listen_6 >= 1)) or (((Cpt1_5 >= 1) and (listen_5 >= 1)) or (((Cpt1_5 >= 1) and (listen_4 >= 1)) or (((Cpt1_5 >= 1) and (listen_3 >= 1)) or (((Cpt1_5 >= 1) and (listen_2 >= 1)) or (((Cpt1_5 >= 1) and (listen_1 >= 1)) or (((Cpt1_4 >= 1) and (listen_15 >= 1)) or (((Cpt1_4 >= 1) and (listen_14 >= 1)) or (((Cpt1_4 >= 1) and (listen_13 >= 1)) or (((Cpt1_4 >= 1) and (listen_12 >= 1)) or (((Cpt1_4 >= 1) and (listen_11 >= 1)) or (((Cpt1_4 >= 1) and (listen_10 >= 1)) or (((Cpt1_4 >= 1) and (listen_9 >= 1)) or (((Cpt1_4 >= 1) and (listen_8 >= 1)) or (((Cpt1_4 >= 1) and (listen_7 >= 1)) or (((Cpt1_4 >= 1) and (listen_6 >= 1)) or (((Cpt1_4 >= 1) and (listen_5 >= 1)) or (((Cpt1_4 >= 1) and (listen_4 >= 1)) or (((Cpt1_4 >= 1) and (listen_3 >= 1)) or (((Cpt1_4 >= 1) and (listen_2 >= 1)) or (((Cpt1_4 >= 1) and (listen_1 >= 1)) or (((Cpt1_3 >= 1) and (listen_15 >= 1)) or (((Cpt1_3 >= 1) and (listen_14 >= 1)) or (((Cpt1_3 >= 1) and (listen_13 >= 1)) or (((Cpt1_3 >= 1) and (listen_12 >= 1)) or (((Cpt1_3 >= 1) and (listen_11 >= 1)) or (((Cpt1_3 >= 1) and (listen_10 >= 1)) or (((Cpt1_3 >= 1) and (listen_9 >= 1)) or (((Cpt1_3 >= 1) and (listen_8 >= 1)) or (((Cpt1_3 >= 1) and (listen_7 >= 1)) or (((Cpt1_3 >= 1) and (listen_6 >= 1)) or (((Cpt1_3 >= 1) and (listen_5 >= 1)) or (((Cpt1_3 >= 1) and (listen_4 >= 1)) or (((Cpt1_3 >= 1) and (listen_3 >= 1)) or (((Cpt1_3 >= 1) and (listen_2 >= 1)) or (((Cpt1_3 >= 1) and (listen_1 >= 1)) or (((Cpt1_2 >= 1) and (listen_15 >= 1)) or (((Cpt1_2 >= 1) and (listen_14 >= 1)) or (((Cpt1_2 >= 1) and (listen_13 >= 1)) or (((Cpt1_2 >= 1) and (listen_12 >= 1)) or (((Cpt1_2 >= 1) and (listen_11 >= 1)) or (((Cpt1_2 >= 1) and (listen_10 >= 1)) or (((Cpt1_2 >= 1) and (listen_9 >= 1)) or (((Cpt1_2 >= 1) and (listen_8 >= 1)) or (((Cpt1_2 >= 1) and (listen_7 >= 1)) or (((Cpt1_2 >= 1) and (listen_6 >= 1)) or (((Cpt1_2 >= 1) and (listen_5 >= 1)) or (((Cpt1_2 >= 1) and (listen_4 >= 1)) or (((Cpt1_2 >= 1) and (listen_3 >= 1)) or (((Cpt1_2 >= 1) and (listen_2 >= 1)) or (((Cpt1_2 >= 1) and (listen_1 >= 1)) or (((Cpt1_1 >= 1) and (listen_15 >= 1)) or (((Cpt1_1 >= 1) and (listen_14 >= 1)) or (((Cpt1_1 >= 1) and (listen_13 >= 1)) or (((Cpt1_1 >= 1) and (listen_12 >= 1)) or (((Cpt1_1 >= 1) and (listen_11 >= 1)) or (((Cpt1_1 >= 1) and (listen_10 >= 1)) or (((Cpt1_1 >= 1) and (listen_9 >= 1)) or (((Cpt1_1 >= 1) and (listen_8 >= 1)) or (((Cpt1_1 >= 1) and (listen_7 >= 1)) or (((Cpt1_1 >= 1) and (listen_6 >= 1)) or (((Cpt1_1 >= 1) and (listen_5 >= 1)) or (((Cpt1_1 >= 1) and (listen_4 >= 1)) or (((Cpt1_1 >= 1) and (listen_3 >= 1)) or (((Cpt1_1 >= 1) and (listen_2 >= 1)) or ((Cpt1_1 >= 1) and (listen_1 >= 1))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))) and ((((wait_cable_14 >= 1) and (PMC_14 >= 1)) or (((wait_cable_13 >= 1) and (PMC_13 >= 1)) or (((wait_cable_12 >= 1) and (PMC_12 >= 1)) or (((wait_cable_11 >= 1) and (PMC_11 >= 1)) or (((wait_cable_10 >= 1) and (PMC_10 >= 1)) or (((wait_cable_9 >= 1) and (PMC_9 >= 1)) or (((wait_cable_8 >= 1) and (PMC_8 >= 1)) or (((wait_cable_7 >= 1) and (PMC_7 >= 1)) or (((wait_cable_6 >= 1) and (PMC_6 >= 1)) or (((wait_cable_5 >= 1) and (PMC_5 >= 1)) or (((wait_cable_4 >= 1) and (PMC_4 >= 1)) or (((wait_cable_3 >= 1) and (PMC_3 >= 1)) or (((wait_cable_2 >= 1) and (PMC_2 >= 1)) or (((wait_cable_1 >= 1) and (PMC_1 >= 1)) or (((wait_cable_15 >= 1) and (PMC_15 >= 1)) or (((wait_cable_13 >= 1) and (PMC_13 >= 1)) or (((wait_cable_12 >= 1) and (PMC_12 >= 1)) or (((wait_cable_11 >= 1) and (PMC_11 >= 1)) or (((wait_cable_10 >= 1) and (PMC_10 >= 1)) or (((wait_cable_9 >= 1) and (PMC_9 >= 1)) or (((wait_cable_8 >= 1) and (PMC_8 >= 1)) or (((wait_cable_7 >= 1) and (PMC_7 >= 1)) or (((wait_cable_6 >= 1) and (PMC_6 >= 1)) or (((wait_cable_5 >= 1) and (PMC_5 >= 1)) or (((wait_cable_4 >= 1) and (PMC_4 >= 1)) or (((wait_cable_3 >= 1) and (PMC_3 >= 1)) or (((wait_cable_2 >= 1) and (PMC_2 >= 1)) or (((wait_cable_1 >= 1) and (PMC_1 >= 1)) or (((wait_cable_15 >= 1) and (PMC_15 >= 1)) or (((wait_cable_14 >= 1) and (PMC_14 >= 1)) or (((wait_cable_12 >= 1) and (PMC_12 >= 1)) or (((wait_cable_11 >= 1) and (PMC_11 >= 1)) or (((wait_cable_10 >= 1) and (PMC_10 >= 1)) or (((wait_cable_9 >= 1) and (PMC_9 >= 1)) or (((wait_cable_8 >= 1) and (PMC_8 >= 1)) or (((wait_cable_7 >= 1) and (PMC_7 >= 1)) or (((wait_cable_6 >= 1) and (PMC_6 >= 1)) or (((wait_cable_5 >= 1) and (PMC_5 >= 1)) or (((wait_cable_4 >= 1) and (PMC_4 >= 1)) or (((wait_cable_3 >= 1) and (PMC_3 >= 1)) or (((wait_cable_2 >= 1) and (PMC_2 >= 1)) or (((wait_cable_1 >= 1) and (PMC_1 >= 1)) or (((wait_cable_15 >= 1) and (PMC_15 >= 1)) or (((wait_cable_14 >= 1) and (PMC_14 >= 1)) or (((wait_cable_13 >= 1) and (PMC_13 >= 1)) or (((wait_cable_11 >= 1) and (PMC_11 >= 1)) or (((wait_cable_10 >= 1) and (PMC_10 >= 1)) or (((wait_cable_9 >= 1) and (PMC_9 >= 1)) or (((wait_cable_8 >= 1) and (PMC_8 >= 1)) or (((wait_cable_7 >= 1) and (PMC_7 >= 1)) or (((wait_cable_6 >= 1) and (PMC_6 >= 1)) or (((wait_cable_5 >= 1) and (PMC_5 >= 1)) or (((wait_cable_4 >= 1) and (PMC_4 >= 1)) or (((wait_cable_3 >= 1) and (PMC_3 >= 1)) or (((wait_cable_2 >= 1) and (PMC_2 >= 1)) or (((wait_cable_1 >= 1) and (PMC_1 >= 1)) or (((wait_cable_15 >= 1) and (PMC_15 >= 1)) or (((wait_cable_14 >= 1) and (PMC_14 >= 1)) or (((wait_cable_13 >= 1) and (PMC_13 >= 1)) or (((wait_cable_12 >= 1) and (PMC_12 >= 1)) or (((wait_cable_10 >= 1) and (PMC_10 >= 1)) or (((wait_cable_9 >= 1) and (PMC_9 >= 1)) or (((wait_cable_8 >= 1) and (PMC_8 >= 1)) or (((wait_cable_7 >= 1) and (PMC_7 >= 1)) or (((wait_cable_6 >= 1) and (PMC_6 >= 1)) or (((wait_cable_5 >= 1) and (PMC_5 >= 1)) or (((wait_cable_4 >= 1) and (PMC_4 >= 1)) or (((wait_cable_3 >= 1) and (PMC_3 >= 1)) or (((wait_cable_2 >= 1) and (PMC_2 >= 1)) or (((wait_cable_1 >= 1) and (PMC_1 >= 1)) or (((wait_cable_15 >= 1) and (PMC_15 >= 1)) or (((wait_cable_14 >= 1) and (PMC_14 >= 1)) or (((wait_cable_13 >= 1) and (PMC_13 >= 1)) or (((wait_cable_12 >= 1) and (PMC_12 >= 1)) or (((wait_cable_11 >= 1) and (PMC_11 >= 1)) or (((wait_cable_9 >= 1) and (PMC_9 >= 1)) or (((wait_cable_8 >= 1) and (PMC_8 >= 1)) or (((wait_cable_7 >= 1) and (PMC_7 >= 1)) or (((wait_cable_6 >= 1) and (PMC_6 >= 1)) or (((wait_cable_5 >= 1) and (PMC_5 >= 1)) or (((wait_cable_4 >= 1) and (PMC_4 >= 1)) or (((wait_cable_3 >= 1) and (PMC_3 >= 1)) or (((wait_cable_2 >= 1) and (PMC_2 >= 1)) or (((wait_cable_1 >= 1) and (PMC_1 >= 1)) or (((wait_cable_15 >= 1) and (PMC_15 >= 1)) or (((wait_cable_14 >= 1) and (PMC_14 >= 1)) or (((wait_cable_13 >= 1) and (PMC_13 >= 1)) or (((wait_cable_12 >= 1) and (PMC_12 >= 1)) or (((wait_cable_11 >= 1) and (PMC_11 >= 1)) or (((wait_cable_10 >= 1) and (PMC_10 >= 1)) or (((wait_cable_8 >= 1) and (PMC_8 >= 1)) or (((wait_cable_7 >= 1) and (PMC_7 >= 1)) or (((wait_cable_6 >= 1) and (PMC_6 >= 1)) or (((wait_cable_5 >= 1) and (PMC_5 >= 1)) or (((wait_cable_4 >= 1) and (PMC_4 >= 1)) or (((wait_cable_3 >= 1) and (PMC_3 >= 1)) or (((wait_cable_2 >= 1) and (PMC_2 >= 1)) or (((wait_cable_1 >= 1) and (PMC_1 >= 1)) or (((wait_cable_15 >= 1) and (PMC_15 >= 1)) or (((wait_cable_14 >= 1) and (PMC_14 >= 1)) or (((wait_cable_13 >= 1) and (PMC_13 >= 1)) or (((wait_cable_12 >= 1) and (PMC_12 >= 1)) or (((wait_cable_11 >= 1) and (PMC_11 >= 1)) or (((wait_cable_10 >= 1) and (PMC_10 >= 1)) or (((wait_cable_9 >= 1) and (PMC_9 >= 1)) or (((wait_cable_7 >= 1) and (PMC_7 >= 1)) or (((wait_cable_6 >= 1) and (PMC_6 >= 1)) or (((wait_cable_5 >= 1) and (PMC_5 >= 1)) or (((wait_cable_4 >= 1) and (PMC_4 >= 1)) or (((wait_cable_3 >= 1) and (PMC_3 >= 1)) or (((wait_cable_2 >= 1) and (PMC_2 >= 1)) or (((wait_cable_1 >= 1) and (PMC_1 >= 1)) or (((wait_cable_15 >= 1) and (PMC_15 >= 1)) or (((wait_cable_14 >= 1) and (PMC_14 >= 1)) or (((wait_cable_13 >= 1) and (PMC_13 >= 1)) or (((wait_cable_12 >= 1) and (PMC_12 >= 1)) or (((wait_cable_11 >= 1) and (PMC_11 >= 1)) or (((wait_cable_10 >= 1) and (PMC_10 >= 1)) or (((wait_cable_9 >= 1) and (PMC_9 >= 1)) or (((wait_cable_8 >= 1) and (PMC_8 >= 1)) or (((wait_cable_6 >= 1) and (PMC_6 >= 1)) or (((wait_cable_5 >= 1) and (PMC_5 >= 1)) or (((wait_cable_4 >= 1) and (PMC_4 >= 1)) or (((wait_cable_3 >= 1) and (PMC_3 >= 1)) or (((wait_cable_2 >= 1) and (PMC_2 >= 1)) or (((wait_cable_1 >= 1) and (PMC_1 >= 1)) or (((wait_cable_15 >= 1) and (PMC_15 >= 1)) or (((wait_cable_14 >= 1) and (PMC_14 >= 1)) or (((wait_cable_13 >= 1) and (PMC_13 >= 1)) or (((wait_cable_12 >= 1) and (PMC_12 >= 1)) or (((wait_cable_11 >= 1) and (PMC_11 >= 1)) or (((wait_cable_10 >= 1) and (PMC_10 >= 1)) or (((wait_cable_9 >= 1) and (PMC_9 >= 1)) or (((wait_cable_8 >= 1) and (PMC_8 >= 1)) or (((wait_cable_7 >= 1) and (PMC_7 >= 1)) or (((wait_cable_5 >= 1) and (PMC_5 >= 1)) or (((wait_cable_4 >= 1) and (PMC_4 >= 1)) or (((wait_cable_3 >= 1) and (PMC_3 >= 1)) or (((wait_cable_2 >= 1) and (PMC_2 >= 1)) or (((wait_cable_1 >= 1) and (PMC_1 >= 1)) or (((wait_cable_15 >= 1) and (PMC_15 >= 1)) or (((wait_cable_14 >= 1) and (PMC_14 >= 1)) or (((wait_cable_13 >= 1) and (PMC_13 >= 1)) or (((wait_cable_12 >= 1) and (PMC_12 >= 1)) or (((wait_cable_11 >= 1) and (PMC_11 >= 1)) or (((wait_cable_10 >= 1) and (PMC_10 >= 1)) or (((wait_cable_9 >= 1) and (PMC_9 >= 1)) or (((wait_cable_8 >= 1) and (PMC_8 >= 1)) or (((wait_cable_7 >= 1) and (PMC_7 >= 1)) or (((wait_cable_6 >= 1) and (PMC_6 >= 1)) or (((wait_cable_4 >= 1) and (PMC_4 >= 1)) or (((wait_cable_3 >= 1) and (PMC_3 >= 1)) or (((wait_cable_2 >= 1) and (PMC_2 >= 1)) or (((wait_cable_1 >= 1) and (PMC_1 >= 1)) or (((wait_cable_15 >= 1) and (PMC_15 >= 1)) or (((wait_cable_14 >= 1) and (PMC_14 >= 1)) or (((wait_cable_13 >= 1) and (PMC_13 >= 1)) or (((wait_cable_12 >= 1) and (PMC_12 >= 1)) or (((wait_cable_11 >= 1) and (PMC_11 >= 1)) or (((wait_cable_10 >= 1) and (PMC_10 >= 1)) or (((wait_cable_9 >= 1) and (PMC_9 >= 1)) or (((wait_cable_8 >= 1) and (PMC_8 >= 1)) or (((wait_cable_7 >= 1) and (PMC_7 >= 1)) or (((wait_cable_6 >= 1) and (PMC_6 >= 1)) or (((wait_cable_5 >= 1) and (PMC_5 >= 1)) or (((wait_cable_3 >= 1) and (PMC_3 >= 1)) or (((wait_cable_2 >= 1) and (PMC_2 >= 1)) or (((wait_cable_1 >= 1) and (PMC_1 >= 1)) or (((wait_cable_15 >= 1) and (PMC_15 >= 1)) or (((wait_cable_14 >= 1) and (PMC_14 >= 1)) or (((wait_cable_13 >= 1) and (PMC_13 >= 1)) or (((wait_cable_12 >= 1) and (PMC_12 >= 1)) or (((wait_cable_11 >= 1) and (PMC_11 >= 1)) or (((wait_cable_10 >= 1) and (PMC_10 >= 1)) or (((wait_cable_9 >= 1) and (PMC_9 >= 1)) or (((wait_cable_8 >= 1) and (PMC_8 >= 1)) or (((wait_cable_7 >= 1) and (PMC_7 >= 1)) or (((wait_cable_6 >= 1) and (PMC_6 >= 1)) or (((wait_cable_5 >= 1) and (PMC_5 >= 1)) or (((wait_cable_4 >= 1) and (PMC_4 >= 1)) or (((wait_cable_2 >= 1) and (PMC_2 >= 1)) or (((wait_cable_1 >= 1) and (PMC_1 >= 1)) or (((wait_cable_15 >= 1) and (PMC_15 >= 1)) or (((wait_cable_14 >= 1) and (PMC_14 >= 1)) or (((wait_cable_13 >= 1) and (PMC_13 >= 1)) or (((wait_cable_12 >= 1) and (PMC_12 >= 1)) or (((wait_cable_11 >= 1) and (PMC_11 >= 1)) or (((wait_cable_10 >= 1) and (PMC_10 >= 1)) or (((wait_cable_9 >= 1) and (PMC_9 >= 1)) or (((wait_cable_8 >= 1) and (PMC_8 >= 1)) or (((wait_cable_7 >= 1) and (PMC_7 >= 1)) or (((wait_cable_6 >= 1) and (PMC_6 >= 1)) or (((wait_cable_5 >= 1) and (PMC_5 >= 1)) or (((wait_cable_4 >= 1) and (PMC_4 >= 1)) or (((wait_cable_3 >= 1) and (PMC_3 >= 1)) or (((wait_cable_1 >= 1) and (PMC_1 >= 1)) or (((wait_cable_15 >= 1) and (PMC_15 >= 1)) or (((wait_cable_14 >= 1) and (PMC_14 >= 1)) or (((wait_cable_13 >= 1) and (PMC_13 >= 1)) or (((wait_cable_12 >= 1) and (PMC_12 >= 1)) or (((wait_cable_11 >= 1) and (PMC_11 >= 1)) or (((wait_cable_10 >= 1) and (PMC_10 >= 1)) or (((wait_cable_9 >= 1) and (PMC_9 >= 1)) or (((wait_cable_8 >= 1) and (PMC_8 >= 1)) or (((wait_cable_7 >= 1) and (PMC_7 >= 1)) or (((wait_cable_6 >= 1) and (PMC_6 >= 1)) or (((wait_cable_5 >= 1) and (PMC_5 >= 1)) or (((wait_cable_4 >= 1) and (PMC_4 >= 1)) or (((wait_cable_3 >= 1) and (PMC_3 >= 1)) or ((wait_cable_2 >= 1) and (PMC_2 >= 1))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))) or (((wait_ack_14_15 >= 1) and (T_out >= 1)) or (((wait_ack_13_15 >= 1) and (T_out >= 1)) or (((wait_ack_12_15 >= 1) and (T_out >= 1)) or (((wait_ack_11_15 >= 1) and (T_out >= 1)) or (((wait_ack_10_15 >= 1) and (T_out >= 1)) or (((wait_ack_9_15 >= 1) and (T_out >= 1)) or (((wait_ack_8_15 >= 1) and (T_out >= 1)) or (((wait_ack_7_15 >= 1) and (T_out >= 1)) or (((wait_ack_6_15 >= 1) and (T_out >= 1)) or (((wait_ack_5_15 >= 1) and (T_out >= 1)) or (((wait_ack_4_15 >= 1) and (T_out >= 1)) or (((wait_ack_3_15 >= 1) and (T_out >= 1)) or (((wait_ack_2_15 >= 1) and (T_out >= 1)) or (((wait_ack_1_15 >= 1) and (T_out >= 1)) or (((wait_ack_15_14 >= 1) and (T_out >= 1)) or (((wait_ack_13_14 >= 1) and (T_out >= 1)) or (((wait_ack_12_14 >= 1) and (T_out >= 1)) or (((wait_ack_11_14 >= 1) and (T_out >= 1)) or (((wait_ack_10_14 >= 1) and (T_out >= 1)) or (((wait_ack_9_14 >= 1) and (T_out >= 1)) or (((wait_ack_8_14 >= 1) and (T_out >= 1)) or (((wait_ack_7_14 >= 1) and (T_out >= 1)) or (((wait_ack_6_14 >= 1) and (T_out >= 1)) or (((wait_ack_5_14 >= 1) and (T_out >= 1)) or (((wait_ack_4_14 >= 1) and (T_out >= 1)) or (((wait_ack_3_14 >= 1) and (T_out >= 1)) or (((wait_ack_2_14 >= 1) and (T_out >= 1)) or (((wait_ack_1_14 >= 1) and (T_out >= 1)) or (((wait_ack_15_13 >= 1) and (T_out >= 1)) or (((wait_ack_14_13 >= 1) and (T_out >= 1)) or (((wait_ack_12_13 >= 1) and (T_out >= 1)) or (((wait_ack_11_13 >= 1) and (T_out >= 1)) or (((wait_ack_10_13 >= 1) and (T_out >= 1)) or (((wait_ack_9_13 >= 1) and (T_out >= 1)) or (((wait_ack_8_13 >= 1) and (T_out >= 1)) or (((wait_ack_7_13 >= 1) and (T_out >= 1)) or (((wait_ack_6_13 >= 1) and (T_out >= 1)) or (((wait_ack_5_13 >= 1) and (T_out >= 1)) or (((wait_ack_4_13 >= 1) and (T_out >= 1)) or (((wait_ack_3_13 >= 1) and (T_out >= 1)) or (((wait_ack_2_13 >= 1) and (T_out >= 1)) or (((wait_ack_1_13 >= 1) and (T_out >= 1)) or (((wait_ack_15_12 >= 1) and (T_out >= 1)) or (((wait_ack_14_12 >= 1) and (T_out >= 1)) or (((wait_ack_13_12 >= 1) and (T_out >= 1)) or (((wait_ack_11_12 >= 1) and (T_out >= 1)) or (((wait_ack_10_12 >= 1) and (T_out >= 1)) or (((wait_ack_9_12 >= 1) and (T_out >= 1)) or (((wait_ack_8_12 >= 1) and (T_out >= 1)) or (((wait_ack_7_12 >= 1) and (T_out >= 1)) or (((wait_ack_6_12 >= 1) and (T_out >= 1)) or (((wait_ack_5_12 >= 1) and (T_out >= 1)) or (((wait_ack_4_12 >= 1) and (T_out >= 1)) or (((wait_ack_3_12 >= 1) and (T_out >= 1)) or (((wait_ack_2_12 >= 1) and (T_out >= 1)) or (((wait_ack_1_12 >= 1) and (T_out >= 1)) or (((wait_ack_15_11 >= 1) and (T_out >= 1)) or (((wait_ack_14_11 >= 1) and (T_out >= 1)) or (((wait_ack_13_11 >= 1) and (T_out >= 1)) or (((wait_ack_12_11 >= 1) and (T_out >= 1)) or (((wait_ack_10_11 >= 1) and (T_out >= 1)) or (((wait_ack_9_11 >= 1) and (T_out >= 1)) or (((wait_ack_8_11 >= 1) and (T_out >= 1)) or (((wait_ack_7_11 >= 1) and (T_out >= 1)) or (((wait_ack_6_11 >= 1) and (T_out >= 1)) or (((wait_ack_5_11 >= 1) and (T_out >= 1)) or (((wait_ack_4_11 >= 1) and (T_out >= 1)) or (((wait_ack_3_11 >= 1) and (T_out >= 1)) or (((wait_ack_2_11 >= 1) and (T_out >= 1)) or (((wait_ack_1_11 >= 1) and (T_out >= 1)) or (((wait_ack_15_10 >= 1) and (T_out >= 1)) or (((wait_ack_14_10 >= 1) and (T_out >= 1)) or (((wait_ack_13_10 >= 1) and (T_out >= 1)) or (((wait_ack_12_10 >= 1) and (T_out >= 1)) or (((wait_ack_11_10 >= 1) and (T_out >= 1)) or (((wait_ack_9_10 >= 1) and (T_out >= 1)) or (((wait_ack_8_10 >= 1) and (T_out >= 1)) or (((wait_ack_7_10 >= 1) and (T_out >= 1)) or (((wait_ack_6_10 >= 1) and (T_out >= 1)) or (((wait_ack_5_10 >= 1) and (T_out >= 1)) or (((wait_ack_4_10 >= 1) and (T_out >= 1)) or (((wait_ack_3_10 >= 1) and (T_out >= 1)) or (((wait_ack_2_10 >= 1) and (T_out >= 1)) or (((wait_ack_1_10 >= 1) and (T_out >= 1)) or (((wait_ack_15_9 >= 1) and (T_out >= 1)) or (((wait_ack_14_9 >= 1) and (T_out >= 1)) or (((wait_ack_13_9 >= 1) and (T_out >= 1)) or (((wait_ack_12_9 >= 1) and (T_out >= 1)) or (((wait_ack_11_9 >= 1) and (T_out >= 1)) or (((wait_ack_10_9 >= 1) and (T_out >= 1)) or (((wait_ack_8_9 >= 1) and (T_out >= 1)) or (((wait_ack_7_9 >= 1) and (T_out >= 1)) or (((wait_ack_6_9 >= 1) and (T_out >= 1)) or (((wait_ack_5_9 >= 1) and (T_out >= 1)) or (((wait_ack_4_9 >= 1) and (T_out >= 1)) or (((wait_ack_3_9 >= 1) and (T_out >= 1)) or (((wait_ack_2_9 >= 1) and (T_out >= 1)) or (((wait_ack_1_9 >= 1) and (T_out >= 1)) or (((wait_ack_15_8 >= 1) and (T_out >= 1)) or (((wait_ack_14_8 >= 1) and (T_out >= 1)) or (((wait_ack_13_8 >= 1) and (T_out >= 1)) or (((wait_ack_12_8 >= 1) and (T_out >= 1)) or (((wait_ack_11_8 >= 1) and (T_out >= 1)) or (((wait_ack_10_8 >= 1) and (T_out >= 1)) or (((wait_ack_9_8 >= 1) and (T_out >= 1)) or (((wait_ack_7_8 >= 1) and (T_out >= 1)) or (((wait_ack_6_8 >= 1) and (T_out >= 1)) or (((wait_ack_5_8 >= 1) and (T_out >= 1)) or (((wait_ack_4_8 >= 1) and (T_out >= 1)) or (((wait_ack_3_8 >= 1) and (T_out >= 1)) or (((wait_ack_2_8 >= 1) and (T_out >= 1)) or (((wait_ack_1_8 >= 1) and (T_out >= 1)) or (((wait_ack_15_7 >= 1) and (T_out >= 1)) or (((wait_ack_14_7 >= 1) and (T_out >= 1)) or (((wait_ack_13_7 >= 1) and (T_out >= 1)) or (((wait_ack_12_7 >= 1) and (T_out >= 1)) or (((wait_ack_11_7 >= 1) and (T_out >= 1)) or (((wait_ack_10_7 >= 1) and (T_out >= 1)) or (((wait_ack_9_7 >= 1) and (T_out >= 1)) or (((wait_ack_8_7 >= 1) and (T_out >= 1)) or (((wait_ack_6_7 >= 1) and (T_out >= 1)) or (((wait_ack_5_7 >= 1) and (T_out >= 1)) or (((wait_ack_4_7 >= 1) and (T_out >= 1)) or (((wait_ack_3_7 >= 1) and (T_out >= 1)) or (((wait_ack_2_7 >= 1) and (T_out >= 1)) or (((wait_ack_1_7 >= 1) and (T_out >= 1)) or (((wait_ack_15_6 >= 1) and (T_out >= 1)) or (((wait_ack_14_6 >= 1) and (T_out >= 1)) or (((wait_ack_13_6 >= 1) and (T_out >= 1)) or (((wait_ack_12_6 >= 1) and (T_out >= 1)) or (((wait_ack_11_6 >= 1) and (T_out >= 1)) or (((wait_ack_10_6 >= 1) and (T_out >= 1)) or (((wait_ack_9_6 >= 1) and (T_out >= 1)) or (((wait_ack_8_6 >= 1) and (T_out >= 1)) or (((wait_ack_7_6 >= 1) and (T_out >= 1)) or (((wait_ack_5_6 >= 1) and (T_out >= 1)) or (((wait_ack_4_6 >= 1) and (T_out >= 1)) or (((wait_ack_3_6 >= 1) and (T_out >= 1)) or (((wait_ack_2_6 >= 1) and (T_out >= 1)) or (((wait_ack_1_6 >= 1) and (T_out >= 1)) or (((wait_ack_15_5 >= 1) and (T_out >= 1)) or (((wait_ack_14_5 >= 1) and (T_out >= 1)) or (((wait_ack_13_5 >= 1) and (T_out >= 1)) or (((wait_ack_12_5 >= 1) and (T_out >= 1)) or (((wait_ack_11_5 >= 1) and (T_out >= 1)) or (((wait_ack_10_5 >= 1) and (T_out >= 1)) or (((wait_ack_9_5 >= 1) and (T_out >= 1)) or (((wait_ack_8_5 >= 1) and (T_out >= 1)) or (((wait_ack_7_5 >= 1) and (T_out >= 1)) or (((wait_ack_6_5 >= 1) and (T_out >= 1)) or (((wait_ack_4_5 >= 1) and (T_out >= 1)) or (((wait_ack_3_5 >= 1) and (T_out >= 1)) or (((wait_ack_2_5 >= 1) and (T_out >= 1)) or (((wait_ack_1_5 >= 1) and (T_out >= 1)) or (((wait_ack_15_4 >= 1) and (T_out >= 1)) or (((wait_ack_14_4 >= 1) and (T_out >= 1)) or (((wait_ack_13_4 >= 1) and (T_out >= 1)) or (((wait_ack_12_4 >= 1) and (T_out >= 1)) or (((wait_ack_11_4 >= 1) and (T_out >= 1)) or (((wait_ack_10_4 >= 1) and (T_out >= 1)) or (((wait_ack_9_4 >= 1) and (T_out >= 1)) or (((wait_ack_8_4 >= 1) and (T_out >= 1)) or (((wait_ack_7_4 >= 1) and (T_out >= 1)) or (((wait_ack_6_4 >= 1) and (T_out >= 1)) or (((wait_ack_5_4 >= 1) and (T_out >= 1)) or (((wait_ack_3_4 >= 1) and (T_out >= 1)) or (((wait_ack_2_4 >= 1) and (T_out >= 1)) or (((wait_ack_1_4 >= 1) and (T_out >= 1)) or (((wait_ack_15_3 >= 1) and (T_out >= 1)) or (((wait_ack_14_3 >= 1) and (T_out >= 1)) or (((wait_ack_13_3 >= 1) and (T_out >= 1)) or (((wait_ack_12_3 >= 1) and (T_out >= 1)) or (((wait_ack_11_3 >= 1) and (T_out >= 1)) or (((wait_ack_10_3 >= 1) and (T_out >= 1)) or (((wait_ack_9_3 >= 1) and (T_out >= 1)) or (((wait_ack_8_3 >= 1) and (T_out >= 1)) or (((wait_ack_7_3 >= 1) and (T_out >= 1)) or (((wait_ack_6_3 >= 1) and (T_out >= 1)) or (((wait_ack_5_3 >= 1) and (T_out >= 1)) or (((wait_ack_4_3 >= 1) and (T_out >= 1)) or (((wait_ack_2_3 >= 1) and (T_out >= 1)) or (((wait_ack_1_3 >= 1) and (T_out >= 1)) or (((wait_ack_15_2 >= 1) and (T_out >= 1)) or (((wait_ack_14_2 >= 1) and (T_out >= 1)) or (((wait_ack_13_2 >= 1) and (T_out >= 1)) or (((wait_ack_12_2 >= 1) and (T_out >= 1)) or (((wait_ack_11_2 >= 1) and (T_out >= 1)) or (((wait_ack_10_2 >= 1) and (T_out >= 1)) or (((wait_ack_9_2 >= 1) and (T_out >= 1)) or (((wait_ack_8_2 >= 1) and (T_out >= 1)) or (((wait_ack_7_2 >= 1) and (T_out >= 1)) or (((wait_ack_6_2 >= 1) and (T_out >= 1)) or (((wait_ack_5_2 >= 1) and (T_out >= 1)) or (((wait_ack_4_2 >= 1) and (T_out >= 1)) or (((wait_ack_3_2 >= 1) and (T_out >= 1)) or (((wait_ack_1_2 >= 1) and (T_out >= 1)) or (((wait_ack_15_1 >= 1) and (T_out >= 1)) or (((wait_ack_14_1 >= 1) and (T_out >= 1)) or (((wait_ack_13_1 >= 1) and (T_out >= 1)) or (((wait_ack_12_1 >= 1) and (T_out >= 1)) or (((wait_ack_11_1 >= 1) and (T_out >= 1)) or (((wait_ack_10_1 >= 1) and (T_out >= 1)) or (((wait_ack_9_1 >= 1) and (T_out >= 1)) or (((wait_ack_8_1 >= 1) and (T_out >= 1)) or (((wait_ack_7_1 >= 1) and (T_out >= 1)) or (((wait_ack_6_1 >= 1) and (T_out >= 1)) or (((wait_ack_5_1 >= 1) and (T_out >= 1)) or (((wait_ack_4_1 >= 1) and (T_out >= 1)) or (((wait_ack_3_1 >= 1) and (T_out >= 1)) or ((wait_ack_2_1 >= 1) and (T_out >= 1)))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))) and ((((((cable_free >= 1) and ((FMCb >= 1) and ((AMC_15_15 >= 1) and (Cpt2_15 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_14_15 >= 1) and (Cpt2_15 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_13_15 >= 1) and (Cpt2_15 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_12_15 >= 1) and (Cpt2_15 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_11_15 >= 1) and (Cpt2_15 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_10_15 >= 1) and (Cpt2_15 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_9_15 >= 1) and (Cpt2_15 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_8_15 >= 1) and (Cpt2_15 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_7_15 >= 1) and (Cpt2_15 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_6_15 >= 1) and (Cpt2_15 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_5_15 >= 1) and (Cpt2_15 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_4_15 >= 1) and (Cpt2_15 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_3_15 >= 1) and (Cpt2_15 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_2_15 >= 1) and (Cpt2_15 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_1_15 >= 1) and (Cpt2_15 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_15_14 >= 1) and (Cpt2_14 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_14_14 >= 1) and (Cpt2_14 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_13_14 >= 1) and (Cpt2_14 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_12_14 >= 1) and (Cpt2_14 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_11_14 >= 1) and (Cpt2_14 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_10_14 >= 1) and (Cpt2_14 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_9_14 >= 1) and (Cpt2_14 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_8_14 >= 1) and (Cpt2_14 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_7_14 >= 1) and (Cpt2_14 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_6_14 >= 1) and (Cpt2_14 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_5_14 >= 1) and (Cpt2_14 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_4_14 >= 1) and (Cpt2_14 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_3_14 >= 1) and (Cpt2_14 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_2_14 >= 1) and (Cpt2_14 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_1_14 >= 1) and (Cpt2_14 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_15_13 >= 1) and (Cpt2_13 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_14_13 >= 1) and (Cpt2_13 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_13_13 >= 1) and (Cpt2_13 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_12_13 >= 1) and (Cpt2_13 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_11_13 >= 1) and (Cpt2_13 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_10_13 >= 1) and (Cpt2_13 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_9_13 >= 1) and (Cpt2_13 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_8_13 >= 1) and (Cpt2_13 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_7_13 >= 1) and (Cpt2_13 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_6_13 >= 1) and (Cpt2_13 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_5_13 >= 1) and (Cpt2_13 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_4_13 >= 1) and (Cpt2_13 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_3_13 >= 1) and (Cpt2_13 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_2_13 >= 1) and (Cpt2_13 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_1_13 >= 1) and (Cpt2_13 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_15_12 >= 1) and (Cpt2_12 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_14_12 >= 1) and (Cpt2_12 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_13_12 >= 1) and (Cpt2_12 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_12_12 >= 1) and (Cpt2_12 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_11_12 >= 1) and (Cpt2_12 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_10_12 >= 1) and (Cpt2_12 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_9_12 >= 1) and (Cpt2_12 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_8_12 >= 1) and (Cpt2_12 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_7_12 >= 1) and (Cpt2_12 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_6_12 >= 1) and (Cpt2_12 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_5_12 >= 1) and (Cpt2_12 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_4_12 >= 1) and (Cpt2_12 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_3_12 >= 1) and (Cpt2_12 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_2_12 >= 1) and (Cpt2_12 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_1_12 >= 1) and (Cpt2_12 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_15_11 >= 1) and (Cpt2_11 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_14_11 >= 1) and (Cpt2_11 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_13_11 >= 1) and (Cpt2_11 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_12_11 >= 1) and (Cpt2_11 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_11_11 >= 1) and (Cpt2_11 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_10_11 >= 1) and (Cpt2_11 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_9_11 >= 1) and (Cpt2_11 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_8_11 >= 1) and (Cpt2_11 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_7_11 >= 1) and (Cpt2_11 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_6_11 >= 1) and (Cpt2_11 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_5_11 >= 1) and (Cpt2_11 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_4_11 >= 1) and (Cpt2_11 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_3_11 >= 1) and (Cpt2_11 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_2_11 >= 1) and (Cpt2_11 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_1_11 >= 1) and (Cpt2_11 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_15_10 >= 1) and (Cpt2_10 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_14_10 >= 1) and (Cpt2_10 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_13_10 >= 1) and (Cpt2_10 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_12_10 >= 1) and (Cpt2_10 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_11_10 >= 1) and (Cpt2_10 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_10_10 >= 1) and (Cpt2_10 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_9_10 >= 1) and (Cpt2_10 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_8_10 >= 1) and (Cpt2_10 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_7_10 >= 1) and (Cpt2_10 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_6_10 >= 1) and (Cpt2_10 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_5_10 >= 1) and (Cpt2_10 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_4_10 >= 1) and (Cpt2_10 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_3_10 >= 1) and (Cpt2_10 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_2_10 >= 1) and (Cpt2_10 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_1_10 >= 1) and (Cpt2_10 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_15_9 >= 1) and (Cpt2_9 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_14_9 >= 1) and (Cpt2_9 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_13_9 >= 1) and (Cpt2_9 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_12_9 >= 1) and (Cpt2_9 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_11_9 >= 1) and (Cpt2_9 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_10_9 >= 1) and (Cpt2_9 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_9_9 >= 1) and (Cpt2_9 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_8_9 >= 1) and (Cpt2_9 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_7_9 >= 1) and (Cpt2_9 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_6_9 >= 1) and (Cpt2_9 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_5_9 >= 1) and (Cpt2_9 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_4_9 >= 1) and (Cpt2_9 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_3_9 >= 1) and (Cpt2_9 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_2_9 >= 1) and (Cpt2_9 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_1_9 >= 1) and (Cpt2_9 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_15_8 >= 1) and (Cpt2_8 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_14_8 >= 1) and (Cpt2_8 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_13_8 >= 1) and (Cpt2_8 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_12_8 >= 1) and (Cpt2_8 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_11_8 >= 1) and (Cpt2_8 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_10_8 >= 1) and (Cpt2_8 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_9_8 >= 1) and (Cpt2_8 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_8_8 >= 1) and (Cpt2_8 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_7_8 >= 1) and (Cpt2_8 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_6_8 >= 1) and (Cpt2_8 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_5_8 >= 1) and (Cpt2_8 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_4_8 >= 1) and (Cpt2_8 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_3_8 >= 1) and (Cpt2_8 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_2_8 >= 1) and (Cpt2_8 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_1_8 >= 1) and (Cpt2_8 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_15_7 >= 1) and (Cpt2_7 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_14_7 >= 1) and (Cpt2_7 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_13_7 >= 1) and (Cpt2_7 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_12_7 >= 1) and (Cpt2_7 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_11_7 >= 1) and (Cpt2_7 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_10_7 >= 1) and (Cpt2_7 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_9_7 >= 1) and (Cpt2_7 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_8_7 >= 1) and (Cpt2_7 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_7_7 >= 1) and (Cpt2_7 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_6_7 >= 1) and (Cpt2_7 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_5_7 >= 1) and (Cpt2_7 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_4_7 >= 1) and (Cpt2_7 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_3_7 >= 1) and (Cpt2_7 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_2_7 >= 1) and (Cpt2_7 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_1_7 >= 1) and (Cpt2_7 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_15_6 >= 1) and (Cpt2_6 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_14_6 >= 1) and (Cpt2_6 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_13_6 >= 1) and (Cpt2_6 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_12_6 >= 1) and (Cpt2_6 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_11_6 >= 1) and (Cpt2_6 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_10_6 >= 1) and (Cpt2_6 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_9_6 >= 1) and (Cpt2_6 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_8_6 >= 1) and (Cpt2_6 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_7_6 >= 1) and (Cpt2_6 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_6_6 >= 1) and (Cpt2_6 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_5_6 >= 1) and (Cpt2_6 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_4_6 >= 1) and (Cpt2_6 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_3_6 >= 1) and (Cpt2_6 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_2_6 >= 1) and (Cpt2_6 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_1_6 >= 1) and (Cpt2_6 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_15_5 >= 1) and (Cpt2_5 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_14_5 >= 1) and (Cpt2_5 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_13_5 >= 1) and (Cpt2_5 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_12_5 >= 1) and (Cpt2_5 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_11_5 >= 1) and (Cpt2_5 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_10_5 >= 1) and (Cpt2_5 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_9_5 >= 1) and (Cpt2_5 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_8_5 >= 1) and (Cpt2_5 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_7_5 >= 1) and (Cpt2_5 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_6_5 >= 1) and (Cpt2_5 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_5_5 >= 1) and (Cpt2_5 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_4_5 >= 1) and (Cpt2_5 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_3_5 >= 1) and (Cpt2_5 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_2_5 >= 1) and (Cpt2_5 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_1_5 >= 1) and (Cpt2_5 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_15_4 >= 1) and (Cpt2_4 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_14_4 >= 1) and (Cpt2_4 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_13_4 >= 1) and (Cpt2_4 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_12_4 >= 1) and (Cpt2_4 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_11_4 >= 1) and (Cpt2_4 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_10_4 >= 1) and (Cpt2_4 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_9_4 >= 1) and (Cpt2_4 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_8_4 >= 1) and (Cpt2_4 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_7_4 >= 1) and (Cpt2_4 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_6_4 >= 1) and (Cpt2_4 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_5_4 >= 1) and (Cpt2_4 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_4_4 >= 1) and (Cpt2_4 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_3_4 >= 1) and (Cpt2_4 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_2_4 >= 1) and (Cpt2_4 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_1_4 >= 1) and (Cpt2_4 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_15_3 >= 1) and (Cpt2_3 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_14_3 >= 1) and (Cpt2_3 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_13_3 >= 1) and (Cpt2_3 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_12_3 >= 1) and (Cpt2_3 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_11_3 >= 1) and (Cpt2_3 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_10_3 >= 1) and (Cpt2_3 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_9_3 >= 1) and (Cpt2_3 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_8_3 >= 1) and (Cpt2_3 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_7_3 >= 1) and (Cpt2_3 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_6_3 >= 1) and (Cpt2_3 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_5_3 >= 1) and (Cpt2_3 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_4_3 >= 1) and (Cpt2_3 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_3_3 >= 1) and (Cpt2_3 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_2_3 >= 1) and (Cpt2_3 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_1_3 >= 1) and (Cpt2_3 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_15_2 >= 1) and (Cpt2_2 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_14_2 >= 1) and (Cpt2_2 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_13_2 >= 1) and (Cpt2_2 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_12_2 >= 1) and (Cpt2_2 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_11_2 >= 1) and (Cpt2_2 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_10_2 >= 1) and (Cpt2_2 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_9_2 >= 1) and (Cpt2_2 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_8_2 >= 1) and (Cpt2_2 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_7_2 >= 1) and (Cpt2_2 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_6_2 >= 1) and (Cpt2_2 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_5_2 >= 1) and (Cpt2_2 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_4_2 >= 1) and (Cpt2_2 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_3_2 >= 1) and (Cpt2_2 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_2_2 >= 1) and (Cpt2_2 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_1_2 >= 1) and (Cpt2_2 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_15_1 >= 1) and (Cpt2_1 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_14_1 >= 1) and (Cpt2_1 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_13_1 >= 1) and (Cpt2_1 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_12_1 >= 1) and (Cpt2_1 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_11_1 >= 1) and (Cpt2_1 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_10_1 >= 1) and (Cpt2_1 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_9_1 >= 1) and (Cpt2_1 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_8_1 >= 1) and (Cpt2_1 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_7_1 >= 1) and (Cpt2_1 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_6_1 >= 1) and (Cpt2_1 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_5_1 >= 1) and (Cpt2_1 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_4_1 >= 1) and (Cpt2_1 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_3_1 >= 1) and (Cpt2_1 >= 1)))) or (((cable_free >= 1) and ((FMCb >= 1) and ((AMC_2_1 >= 1) and (Cpt2_1 >= 1)))) or ((cable_free >= 1) and ((FMCb >= 1) and ((AMC_1_1 >= 1) and (Cpt2_1 >= 1)))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))) and (((Cpt1_15 >= 1) and (listen_15 >= 1)) or (((Cpt1_15 >= 1) and (listen_14 >= 1)) or (((Cpt1_15 >= 1) and (listen_13 >= 1)) or (((Cpt1_15 >= 1) and (listen_12 >= 1)) or (((Cpt1_15 >= 1) and (listen_11 >= 1)) or (((Cpt1_15 >= 1) and (listen_10 >= 1)) or (((Cpt1_15 >= 1) and (listen_9 >= 1)) or (((Cpt1_15 >= 1) and (listen_8 >= 1)) or (((Cpt1_15 >= 1) and (listen_7 >= 1)) or (((Cpt1_15 >= 1) and (listen_6 >= 1)) or (((Cpt1_15 >= 1) and (listen_5 >= 1)) or (((Cpt1_15 >= 1) and (listen_4 >= 1)) or (((Cpt1_15 >= 1) and (listen_3 >= 1)) or (((Cpt1_15 >= 1) and (listen_2 >= 1)) or (((Cpt1_15 >= 1) and (listen_1 >= 1)) or (((Cpt1_14 >= 1) and (listen_15 >= 1)) or (((Cpt1_14 >= 1) and (listen_14 >= 1)) or (((Cpt1_14 >= 1) and (listen_13 >= 1)) or (((Cpt1_14 >= 1) and (listen_12 >= 1)) or (((Cpt1_14 >= 1) and (listen_11 >= 1)) or (((Cpt1_14 >= 1) and (listen_10 >= 1)) or (((Cpt1_14 >= 1) and (listen_9 >= 1)) or (((Cpt1_14 >= 1) and (listen_8 >= 1)) or (((Cpt1_14 >= 1) and (listen_7 >= 1)) or (((Cpt1_14 >= 1) and (listen_6 >= 1)) or (((Cpt1_14 >= 1) and (listen_5 >= 1)) or (((Cpt1_14 >= 1) and (listen_4 >= 1)) or (((Cpt1_14 >= 1) and (listen_3 >= 1)) or (((Cpt1_14 >= 1) and (listen_2 >= 1)) or (((Cpt1_14 >= 1) and (listen_1 >= 1)) or (((Cpt1_13 >= 1) and (listen_15 >= 1)) or (((Cpt1_13 >= 1) and (listen_14 >= 1)) or (((Cpt1_13 >= 1) and (listen_13 >= 1)) or (((Cpt1_13 >= 1) and (listen_12 >= 1)) or (((Cpt1_13 >= 1) and (listen_11 >= 1)) or (((Cpt1_13 >= 1) and (listen_10 >= 1)) or (((Cpt1_13 >= 1) and (listen_9 >= 1)) or (((Cpt1_13 >= 1) and (listen_8 >= 1)) or (((Cpt1_13 >= 1) and (listen_7 >= 1)) or (((Cpt1_13 >= 1) and (listen_6 >= 1)) or (((Cpt1_13 >= 1) and (listen_5 >= 1)) or (((Cpt1_13 >= 1) and (listen_4 >= 1)) or (((Cpt1_13 >= 1) and (listen_3 >= 1)) or (((Cpt1_13 >= 1) and (listen_2 >= 1)) or (((Cpt1_13 >= 1) and (listen_1 >= 1)) or (((Cpt1_12 >= 1) and (listen_15 >= 1)) or (((Cpt1_12 >= 1) and (listen_14 >= 1)) or (((Cpt1_12 >= 1) and (listen_13 >= 1)) or (((Cpt1_12 >= 1) and (listen_12 >= 1)) or (((Cpt1_12 >= 1) and (listen_11 >= 1)) or (((Cpt1_12 >= 1) and (listen_10 >= 1)) or (((Cpt1_12 >= 1) and (listen_9 >= 1)) or (((Cpt1_12 >= 1) and (listen_8 >= 1)) or (((Cpt1_12 >= 1) and (listen_7 >= 1)) or (((Cpt1_12 >= 1) and (listen_6 >= 1)) or (((Cpt1_12 >= 1) and (listen_5 >= 1)) or (((Cpt1_12 >= 1) and (listen_4 >= 1)) or (((Cpt1_12 >= 1) and (listen_3 >= 1)) or (((Cpt1_12 >= 1) and (listen_2 >= 1)) or (((Cpt1_12 >= 1) and (listen_1 >= 1)) or (((Cpt1_11 >= 1) and (listen_15 >= 1)) or (((Cpt1_11 >= 1) and (listen_14 >= 1)) or (((Cpt1_11 >= 1) and (listen_13 >= 1)) or (((Cpt1_11 >= 1) and (listen_12 >= 1)) or (((Cpt1_11 >= 1) and (listen_11 >= 1)) or (((Cpt1_11 >= 1) and (listen_10 >= 1)) or (((Cpt1_11 >= 1) and (listen_9 >= 1)) or (((Cpt1_11 >= 1) and (listen_8 >= 1)) or (((Cpt1_11 >= 1) and (listen_7 >= 1)) or (((Cpt1_11 >= 1) and (listen_6 >= 1)) or (((Cpt1_11 >= 1) and (listen_5 >= 1)) or (((Cpt1_11 >= 1) and (listen_4 >= 1)) or (((Cpt1_11 >= 1) and (listen_3 >= 1)) or (((Cpt1_11 >= 1) and (listen_2 >= 1)) or (((Cpt1_11 >= 1) and (listen_1 >= 1)) or (((Cpt1_10 >= 1) and (listen_15 >= 1)) or (((Cpt1_10 >= 1) and (listen_14 >= 1)) or (((Cpt1_10 >= 1) and (listen_13 >= 1)) or (((Cpt1_10 >= 1) and (listen_12 >= 1)) or (((Cpt1_10 >= 1) and (listen_11 >= 1)) or (((Cpt1_10 >= 1) and (listen_10 >= 1)) or (((Cpt1_10 >= 1) and (listen_9 >= 1)) or (((Cpt1_10 >= 1) and (listen_8 >= 1)) or (((Cpt1_10 >= 1) and (listen_7 >= 1)) or (((Cpt1_10 >= 1) and (listen_6 >= 1)) or (((Cpt1_10 >= 1) and (listen_5 >= 1)) or (((Cpt1_10 >= 1) and (listen_4 >= 1)) or (((Cpt1_10 >= 1) and (listen_3 >= 1)) or (((Cpt1_10 >= 1) and (listen_2 >= 1)) or (((Cpt1_10 >= 1) and (listen_1 >= 1)) or (((Cpt1_9 >= 1) and (listen_15 >= 1)) or (((Cpt1_9 >= 1) and (listen_14 >= 1)) or (((Cpt1_9 >= 1) and (listen_13 >= 1)) or (((Cpt1_9 >= 1) and (listen_12 >= 1)) or (((Cpt1_9 >= 1) and (listen_11 >= 1)) or (((Cpt1_9 >= 1) and (listen_10 >= 1)) or (((Cpt1_9 >= 1) and (listen_9 >= 1)) or (((Cpt1_9 >= 1) and (listen_8 >= 1)) or (((Cpt1_9 >= 1) and (listen_7 >= 1)) or (((Cpt1_9 >= 1) and (listen_6 >= 1)) or (((Cpt1_9 >= 1) and (listen_5 >= 1)) or (((Cpt1_9 >= 1) and (listen_4 >= 1)) or (((Cpt1_9 >= 1) and (listen_3 >= 1)) or (((Cpt1_9 >= 1) and (listen_2 >= 1)) or (((Cpt1_9 >= 1) and (listen_1 >= 1)) or (((Cpt1_8 >= 1) and (listen_15 >= 1)) or (((Cpt1_8 >= 1) and (listen_14 >= 1)) or (((Cpt1_8 >= 1) and (listen_13 >= 1)) or (((Cpt1_8 >= 1) and (listen_12 >= 1)) or (((Cpt1_8 >= 1) and (listen_11 >= 1)) or (((Cpt1_8 >= 1) and (listen_10 >= 1)) or (((Cpt1_8 >= 1) and (listen_9 >= 1)) or (((Cpt1_8 >= 1) and (listen_8 >= 1)) or (((Cpt1_8 >= 1) and (listen_7 >= 1)) or (((Cpt1_8 >= 1) and (listen_6 >= 1)) or (((Cpt1_8 >= 1) and (listen_5 >= 1)) or (((Cpt1_8 >= 1) and (listen_4 >= 1)) or (((Cpt1_8 >= 1) and (listen_3 >= 1)) or (((Cpt1_8 >= 1) and (listen_2 >= 1)) or (((Cpt1_8 >= 1) and (listen_1 >= 1)) or (((Cpt1_7 >= 1) and (listen_15 >= 1)) or (((Cpt1_7 >= 1) and (listen_14 >= 1)) or (((Cpt1_7 >= 1) and (listen_13 >= 1)) or (((Cpt1_7 >= 1) and (listen_12 >= 1)) or (((Cpt1_7 >= 1) and (listen_11 >= 1)) or (((Cpt1_7 >= 1) and (listen_10 >= 1)) or (((Cpt1_7 >= 1) and (listen_9 >= 1)) or (((Cpt1_7 >= 1) and (listen_8 >= 1)) or (((Cpt1_7 >= 1) and (listen_7 >= 1)) or (((Cpt1_7 >= 1) and (listen_6 >= 1)) or (((Cpt1_7 >= 1) and (listen_5 >= 1)) or (((Cpt1_7 >= 1) and (listen_4 >= 1)) or (((Cpt1_7 >= 1) and (listen_3 >= 1)) or (((Cpt1_7 >= 1) and (listen_2 >= 1)) or (((Cpt1_7 >= 1) and (listen_1 >= 1)) or (((Cpt1_6 >= 1) and (listen_15 >= 1)) or (((Cpt1_6 >= 1) and (listen_14 >= 1)) or (((Cpt1_6 >= 1) and (listen_13 >= 1)) or (((Cpt1_6 >= 1) and (listen_12 >= 1)) or (((Cpt1_6 >= 1) and (listen_11 >= 1)) or (((Cpt1_6 >= 1) and (listen_10 >= 1)) or (((Cpt1_6 >= 1) and (listen_9 >= 1)) or (((Cpt1_6 >= 1) and (listen_8 >= 1)) or (((Cpt1_6 >= 1) and (listen_7 >= 1)) or (((Cpt1_6 >= 1) and (listen_6 >= 1)) or (((Cpt1_6 >= 1) and (listen_5 >= 1)) or (((Cpt1_6 >= 1) and (listen_4 >= 1)) or (((Cpt1_6 >= 1) and (listen_3 >= 1)) or (((Cpt1_6 >= 1) and (listen_2 >= 1)) or (((Cpt1_6 >= 1) and (listen_1 >= 1)) or (((Cpt1_5 >= 1) and (listen_15 >= 1)) or (((Cpt1_5 >= 1) and (listen_14 >= 1)) or (((Cpt1_5 >= 1) and (listen_13 >= 1)) or (((Cpt1_5 >= 1) and (listen_12 >= 1)) or (((Cpt1_5 >= 1) and (listen_11 >= 1)) or (((Cpt1_5 >= 1) and (listen_10 >= 1)) or (((Cpt1_5 >= 1) and (listen_9 >= 1)) or (((Cpt1_5 >= 1) and (listen_8 >= 1)) or (((Cpt1_5 >= 1) and (listen_7 >= 1)) or (((Cpt1_5 >= 1) and (listen_6 >= 1)) or (((Cpt1_5 >= 1) and (listen_5 >= 1)) or (((Cpt1_5 >= 1) and (listen_4 >= 1)) or (((Cpt1_5 >= 1) and (listen_3 >= 1)) or (((Cpt1_5 >= 1) and (listen_2 >= 1)) or (((Cpt1_5 >= 1) and (listen_1 >= 1)) or (((Cpt1_4 >= 1) and (listen_15 >= 1)) or (((Cpt1_4 >= 1) and (listen_14 >= 1)) or (((Cpt1_4 >= 1) and (listen_13 >= 1)) or (((Cpt1_4 >= 1) and (listen_12 >= 1)) or (((Cpt1_4 >= 1) and (listen_11 >= 1)) or (((Cpt1_4 >= 1) and (listen_10 >= 1)) or (((Cpt1_4 >= 1) and (listen_9 >= 1)) or (((Cpt1_4 >= 1) and (listen_8 >= 1)) or (((Cpt1_4 >= 1) and (listen_7 >= 1)) or (((Cpt1_4 >= 1) and (listen_6 >= 1)) or (((Cpt1_4 >= 1) and (listen_5 >= 1)) or (((Cpt1_4 >= 1) and (listen_4 >= 1)) or (((Cpt1_4 >= 1) and (listen_3 >= 1)) or (((Cpt1_4 >= 1) and (listen_2 >= 1)) or (((Cpt1_4 >= 1) and (listen_1 >= 1)) or (((Cpt1_3 >= 1) and (listen_15 >= 1)) or (((Cpt1_3 >= 1) and (listen_14 >= 1)) or (((Cpt1_3 >= 1) and (listen_13 >= 1)) or (((Cpt1_3 >= 1) and (listen_12 >= 1)) or (((Cpt1_3 >= 1) and (listen_11 >= 1)) or (((Cpt1_3 >= 1) and (listen_10 >= 1)) or (((Cpt1_3 >= 1) and (listen_9 >= 1)) or (((Cpt1_3 >= 1) and (listen_8 >= 1)) or (((Cpt1_3 >= 1) and (listen_7 >= 1)) or (((Cpt1_3 >= 1) and (listen_6 >= 1)) or (((Cpt1_3 >= 1) and (listen_5 >= 1)) or (((Cpt1_3 >= 1) and (listen_4 >= 1)) or (((Cpt1_3 >= 1) and (listen_3 >= 1)) or (((Cpt1_3 >= 1) and (listen_2 >= 1)) or (((Cpt1_3 >= 1) and (listen_1 >= 1)) or (((Cpt1_2 >= 1) and (listen_15 >= 1)) or (((Cpt1_2 >= 1) and (listen_14 >= 1)) or (((Cpt1_2 >= 1) and (listen_13 >= 1)) or (((Cpt1_2 >= 1) and (listen_12 >= 1)) or (((Cpt1_2 >= 1) and (listen_11 >= 1)) or (((Cpt1_2 >= 1) and (listen_10 >= 1)) or (((Cpt1_2 >= 1) and (listen_9 >= 1)) or (((Cpt1_2 >= 1) and (listen_8 >= 1)) or (((Cpt1_2 >= 1) and (listen_7 >= 1)) or (((Cpt1_2 >= 1) and (listen_6 >= 1)) or (((Cpt1_2 >= 1) and (listen_5 >= 1)) or (((Cpt1_2 >= 1) and (listen_4 >= 1)) or (((Cpt1_2 >= 1) and (listen_3 >= 1)) or (((Cpt1_2 >= 1) and (listen_2 >= 1)) or (((Cpt1_2 >= 1) and (listen_1 >= 1)) or (((Cpt1_1 >= 1) and (listen_15 >= 1)) or (((Cpt1_1 >= 1) and (listen_14 >= 1)) or (((Cpt1_1 >= 1) and (listen_13 >= 1)) or (((Cpt1_1 >= 1) and (listen_12 >= 1)) or (((Cpt1_1 >= 1) and (listen_11 >= 1)) or (((Cpt1_1 >= 1) and (listen_10 >= 1)) or (((Cpt1_1 >= 1) and (listen_9 >= 1)) or (((Cpt1_1 >= 1) and (listen_8 >= 1)) or (((Cpt1_1 >= 1) and (listen_7 >= 1)) or (((Cpt1_1 >= 1) and (listen_6 >= 1)) or (((Cpt1_1 >= 1) and (listen_5 >= 1)) or (((Cpt1_1 >= 1) and (listen_4 >= 1)) or (((Cpt1_1 >= 1) and (listen_3 >= 1)) or (((Cpt1_1 >= 1) and (listen_2 >= 1)) or ((Cpt1_1 >= 1) and (listen_1 >= 1))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))) and (((R_tout >= 1) and (ACK >= 1)) or (((wait_ack_14_15 >= 1) and (T_out >= 1)) or (((wait_ack_13_15 >= 1) and (T_out >= 1)) or (((wait_ack_12_15 >= 1) and (T_out >= 1)) or (((wait_ack_11_15 >= 1) and (T_out >= 1)) or (((wait_ack_10_15 >= 1) and (T_out >= 1)) or (((wait_ack_9_15 >= 1) and (T_out >= 1)) or (((wait_ack_8_15 >= 1) and (T_out >= 1)) or (((wait_ack_7_15 >= 1) and (T_out >= 1)) or (((wait_ack_6_15 >= 1) and (T_out >= 1)) or (((wait_ack_5_15 >= 1) and (T_out >= 1)) or (((wait_ack_4_15 >= 1) and (T_out >= 1)) or (((wait_ack_3_15 >= 1) and (T_out >= 1)) or (((wait_ack_2_15 >= 1) and (T_out >= 1)) or (((wait_ack_1_15 >= 1) and (T_out >= 1)) or (((wait_ack_15_14 >= 1) and (T_out >= 1)) or (((wait_ack_13_14 >= 1) and (T_out >= 1)) or (((wait_ack_12_14 >= 1) and (T_out >= 1)) or (((wait_ack_11_14 >= 1) and (T_out >= 1)) or (((wait_ack_10_14 >= 1) and (T_out >= 1)) or (((wait_ack_9_14 >= 1) and (T_out >= 1)) or (((wait_ack_8_14 >= 1) and (T_out >= 1)) or (((wait_ack_7_14 >= 1) and (T_out >= 1)) or (((wait_ack_6_14 >= 1) and (T_out >= 1)) or (((wait_ack_5_14 >= 1) and (T_out >= 1)) or (((wait_ack_4_14 >= 1) and (T_out >= 1)) or (((wait_ack_3_14 >= 1) and (T_out >= 1)) or (((wait_ack_2_14 >= 1) and (T_out >= 1)) or (((wait_ack_1_14 >= 1) and (T_out >= 1)) or (((wait_ack_15_13 >= 1) and (T_out >= 1)) or (((wait_ack_14_13 >= 1) and (T_out >= 1)) or (((wait_ack_12_13 >= 1) and (T_out >= 1)) or (((wait_ack_11_13 >= 1) and (T_out >= 1)) or (((wait_ack_10_13 >= 1) and (T_out >= 1)) or (((wait_ack_9_13 >= 1) and (T_out >= 1)) or (((wait_ack_8_13 >= 1) and (T_out >= 1)) or (((wait_ack_7_13 >= 1) and (T_out >= 1)) or (((wait_ack_6_13 >= 1) and (T_out >= 1)) or (((wait_ack_5_13 >= 1) and (T_out >= 1)) or (((wait_ack_4_13 >= 1) and (T_out >= 1)) or (((wait_ack_3_13 >= 1) and (T_out >= 1)) or (((wait_ack_2_13 >= 1) and (T_out >= 1)) or (((wait_ack_1_13 >= 1) and (T_out >= 1)) or (((wait_ack_15_12 >= 1) and (T_out >= 1)) or (((wait_ack_14_12 >= 1) and (T_out >= 1)) or (((wait_ack_13_12 >= 1) and (T_out >= 1)) or (((wait_ack_11_12 >= 1) and (T_out >= 1)) or (((wait_ack_10_12 >= 1) and (T_out >= 1)) or (((wait_ack_9_12 >= 1) and (T_out >= 1)) or (((wait_ack_8_12 >= 1) and (T_out >= 1)) or (((wait_ack_7_12 >= 1) and (T_out >= 1)) or (((wait_ack_6_12 >= 1) and (T_out >= 1)) or (((wait_ack_5_12 >= 1) and (T_out >= 1)) or (((wait_ack_4_12 >= 1) and (T_out >= 1)) or (((wait_ack_3_12 >= 1) and (T_out >= 1)) or (((wait_ack_2_12 >= 1) and (T_out >= 1)) or (((wait_ack_1_12 >= 1) and (T_out >= 1)) or (((wait_ack_15_11 >= 1) and (T_out >= 1)) or (((wait_ack_14_11 >= 1) and (T_out >= 1)) or (((wait_ack_13_11 >= 1) and (T_out >= 1)) or (((wait_ack_12_11 >= 1) and (T_out >= 1)) or (((wait_ack_10_11 >= 1) and (T_out >= 1)) or (((wait_ack_9_11 >= 1) and (T_out >= 1)) or (((wait_ack_8_11 >= 1) and (T_out >= 1)) or (((wait_ack_7_11 >= 1) and (T_out >= 1)) or (((wait_ack_6_11 >= 1) and (T_out >= 1)) or (((wait_ack_5_11 >= 1) and (T_out >= 1)) or (((wait_ack_4_11 >= 1) and (T_out >= 1)) or (((wait_ack_3_11 >= 1) and (T_out >= 1)) or (((wait_ack_2_11 >= 1) and (T_out >= 1)) or (((wait_ack_1_11 >= 1) and (T_out >= 1)) or (((wait_ack_15_10 >= 1) and (T_out >= 1)) or (((wait_ack_14_10 >= 1) and (T_out >= 1)) or (((wait_ack_13_10 >= 1) and (T_out >= 1)) or (((wait_ack_12_10 >= 1) and (T_out >= 1)) or (((wait_ack_11_10 >= 1) and (T_out >= 1)) or (((wait_ack_9_10 >= 1) and (T_out >= 1)) or (((wait_ack_8_10 >= 1) and (T_out >= 1)) or (((wait_ack_7_10 >= 1) and (T_out >= 1)) or (((wait_ack_6_10 >= 1) and (T_out >= 1)) or (((wait_ack_5_10 >= 1) and (T_out >= 1)) or (((wait_ack_4_10 >= 1) and (T_out >= 1)) or (((wait_ack_3_10 >= 1) and (T_out >= 1)) or (((wait_ack_2_10 >= 1) and (T_out >= 1)) or (((wait_ack_1_10 >= 1) and (T_out >= 1)) or (((wait_ack_15_9 >= 1) and (T_out >= 1)) or (((wait_ack_14_9 >= 1) and (T_out >= 1)) or (((wait_ack_13_9 >= 1) and (T_out >= 1)) or (((wait_ack_12_9 >= 1) and (T_out >= 1)) or (((wait_ack_11_9 >= 1) and (T_out >= 1)) or (((wait_ack_10_9 >= 1) and (T_out >= 1)) or (((wait_ack_8_9 >= 1) and (T_out >= 1)) or (((wait_ack_7_9 >= 1) and (T_out >= 1)) or (((wait_ack_6_9 >= 1) and (T_out >= 1)) or (((wait_ack_5_9 >= 1) and (T_out >= 1)) or (((wait_ack_4_9 >= 1) and (T_out >= 1)) or (((wait_ack_3_9 >= 1) and (T_out >= 1)) or (((wait_ack_2_9 >= 1) and (T_out >= 1)) or (((wait_ack_1_9 >= 1) and (T_out >= 1)) or (((wait_ack_15_8 >= 1) and (T_out >= 1)) or (((wait_ack_14_8 >= 1) and (T_out >= 1)) or (((wait_ack_13_8 >= 1) and (T_out >= 1)) or (((wait_ack_12_8 >= 1) and (T_out >= 1)) or (((wait_ack_11_8 >= 1) and (T_out >= 1)) or (((wait_ack_10_8 >= 1) and (T_out >= 1)) or (((wait_ack_9_8 >= 1) and (T_out >= 1)) or (((wait_ack_7_8 >= 1) and (T_out >= 1)) or (((wait_ack_6_8 >= 1) and (T_out >= 1)) or (((wait_ack_5_8 >= 1) and (T_out >= 1)) or (((wait_ack_4_8 >= 1) and (T_out >= 1)) or (((wait_ack_3_8 >= 1) and (T_out >= 1)) or (((wait_ack_2_8 >= 1) and (T_out >= 1)) or (((wait_ack_1_8 >= 1) and (T_out >= 1)) or (((wait_ack_15_7 >= 1) and (T_out >= 1)) or (((wait_ack_14_7 >= 1) and (T_out >= 1)) or (((wait_ack_13_7 >= 1) and (T_out >= 1)) or (((wait_ack_12_7 >= 1) and (T_out >= 1)) or (((wait_ack_11_7 >= 1) and (T_out >= 1)) or (((wait_ack_10_7 >= 1) and (T_out >= 1)) or (((wait_ack_9_7 >= 1) and (T_out >= 1)) or (((wait_ack_8_7 >= 1) and (T_out >= 1)) or (((wait_ack_6_7 >= 1) and (T_out >= 1)) or (((wait_ack_5_7 >= 1) and (T_out >= 1)) or (((wait_ack_4_7 >= 1) and (T_out >= 1)) or (((wait_ack_3_7 >= 1) and (T_out >= 1)) or (((wait_ack_2_7 >= 1) and (T_out >= 1)) or (((wait_ack_1_7 >= 1) and (T_out >= 1)) or (((wait_ack_15_6 >= 1) and (T_out >= 1)) or (((wait_ack_14_6 >= 1) and (T_out >= 1)) or (((wait_ack_13_6 >= 1) and (T_out >= 1)) or (((wait_ack_12_6 >= 1) and (T_out >= 1)) or (((wait_ack_11_6 >= 1) and (T_out >= 1)) or (((wait_ack_10_6 >= 1) and (T_out >= 1)) or (((wait_ack_9_6 >= 1) and (T_out >= 1)) or (((wait_ack_8_6 >= 1) and (T_out >= 1)) or (((wait_ack_7_6 >= 1) and (T_out >= 1)) or (((wait_ack_5_6 >= 1) and (T_out >= 1)) or (((wait_ack_4_6 >= 1) and (T_out >= 1)) or (((wait_ack_3_6 >= 1) and (T_out >= 1)) or (((wait_ack_2_6 >= 1) and (T_out >= 1)) or (((wait_ack_1_6 >= 1) and (T_out >= 1)) or (((wait_ack_15_5 >= 1) and (T_out >= 1)) or (((wait_ack_14_5 >= 1) and (T_out >= 1)) or (((wait_ack_13_5 >= 1) and (T_out >= 1)) or (((wait_ack_12_5 >= 1) and (T_out >= 1)) or (((wait_ack_11_5 >= 1) and (T_out >= 1)) or (((wait_ack_10_5 >= 1) and (T_out >= 1)) or (((wait_ack_9_5 >= 1) and (T_out >= 1)) or (((wait_ack_8_5 >= 1) and (T_out >= 1)) or (((wait_ack_7_5 >= 1) and (T_out >= 1)) or (((wait_ack_6_5 >= 1) and (T_out >= 1)) or (((wait_ack_4_5 >= 1) and (T_out >= 1)) or (((wait_ack_3_5 >= 1) and (T_out >= 1)) or (((wait_ack_2_5 >= 1) and (T_out >= 1)) or (((wait_ack_1_5 >= 1) and (T_out >= 1)) or (((wait_ack_15_4 >= 1) and (T_out >= 1)) or (((wait_ack_14_4 >= 1) and (T_out >= 1)) or (((wait_ack_13_4 >= 1) and (T_out >= 1)) or (((wait_ack_12_4 >= 1) and (T_out >= 1)) or (((wait_ack_11_4 >= 1) and (T_out >= 1)) or (((wait_ack_10_4 >= 1) and (T_out >= 1)) or (((wait_ack_9_4 >= 1) and (T_out >= 1)) or (((wait_ack_8_4 >= 1) and (T_out >= 1)) or (((wait_ack_7_4 >= 1) and (T_out >= 1)) or (((wait_ack_6_4 >= 1) and (T_out >= 1)) or (((wait_ack_5_4 >= 1) and (T_out >= 1)) or (((wait_ack_3_4 >= 1) and (T_out >= 1)) or (((wait_ack_2_4 >= 1) and (T_out >= 1)) or (((wait_ack_1_4 >= 1) and (T_out >= 1)) or (((wait_ack_15_3 >= 1) and (T_out >= 1)) or (((wait_ack_14_3 >= 1) and (T_out >= 1)) or (((wait_ack_13_3 >= 1) and (T_out >= 1)) or (((wait_ack_12_3 >= 1) and (T_out >= 1)) or (((wait_ack_11_3 >= 1) and (T_out >= 1)) or (((wait_ack_10_3 >= 1) and (T_out >= 1)) or (((wait_ack_9_3 >= 1) and (T_out >= 1)) or (((wait_ack_8_3 >= 1) and (T_out >= 1)) or (((wait_ack_7_3 >= 1) and (T_out >= 1)) or (((wait_ack_6_3 >= 1) and (T_out >= 1)) or (((wait_ack_5_3 >= 1) and (T_out >= 1)) or (((wait_ack_4_3 >= 1) and (T_out >= 1)) or (((wait_ack_2_3 >= 1) and (T_out >= 1)) or (((wait_ack_1_3 >= 1) and (T_out >= 1)) or (((wait_ack_15_2 >= 1) and (T_out >= 1)) or (((wait_ack_14_2 >= 1) and (T_out >= 1)) or (((wait_ack_13_2 >= 1) and (T_out >= 1)) or (((wait_ack_12_2 >= 1) and (T_out >= 1)) or (((wait_ack_11_2 >= 1) and (T_out >= 1)) or (((wait_ack_10_2 >= 1) and (T_out >= 1)) or (((wait_ack_9_2 >= 1) and (T_out >= 1)) or (((wait_ack_8_2 >= 1) and (T_out >= 1)) or (((wait_ack_7_2 >= 1) and (T_out >= 1)) or (((wait_ack_6_2 >= 1) and (T_out >= 1)) or (((wait_ack_5_2 >= 1) and (T_out >= 1)) or (((wait_ack_4_2 >= 1) and (T_out >= 1)) or (((wait_ack_3_2 >= 1) and (T_out >= 1)) or (((wait_ack_1_2 >= 1) and (T_out >= 1)) or (((wait_ack_15_1 >= 1) and (T_out >= 1)) or (((wait_ack_14_1 >= 1) and (T_out >= 1)) or (((wait_ack_13_1 >= 1) and (T_out >= 1)) or (((wait_ack_12_1 >= 1) and (T_out >= 1)) or (((wait_ack_11_1 >= 1) and (T_out >= 1)) or (((wait_ack_10_1 >= 1) and (T_out >= 1)) or (((wait_ack_9_1 >= 1) and (T_out >= 1)) or (((wait_ack_8_1 >= 1) and (T_out >= 1)) or (((wait_ack_7_1 >= 1) and (T_out >= 1)) or (((wait_ack_6_1 >= 1) and (T_out >= 1)) or (((wait_ack_5_1 >= 1) and (T_out >= 1)) or (((wait_ack_4_1 >= 1) and (T_out >= 1)) or (((wait_ack_3_1 >= 1) and (T_out >= 1)) or ((wait_ack_2_1 >= 1) and (T_out >= 1))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))) and (not ((((wait_cable_15 >= 1) and (RMC_15 >= 1)) or (((wait_cable_14 >= 1) and (RMC_14 >= 1)) or (((wait_cable_13 >= 1) and (RMC_13 >= 1)) or (((wait_cable_12 >= 1) and (RMC_12 >= 1)) or (((wait_cable_11 >= 1) and (RMC_11 >= 1)) or (((wait_cable_10 >= 1) and (RMC_10 >= 1)) or (((wait_cable_9 >= 1) and (RMC_9 >= 1)) or (((wait_cable_8 >= 1) and (RMC_8 >= 1)) or (((wait_cable_7 >= 1) and (RMC_7 >= 1)) or (((wait_cable_6 >= 1) and (RMC_6 >= 1)) or (((wait_cable_5 >= 1) and (RMC_5 >= 1)) or (((wait_cable_4 >= 1) and (RMC_4 >= 1)) or (((wait_cable_3 >= 1) and (RMC_3 >= 1)) or (((wait_cable_2 >= 1) and (RMC_2 >= 1)) or ((wait_cable_1 >= 1) and (RMC_1 >= 1)))))))))))))))) or (((loop_em_15 >= 1) and (Cpt1_15 >= 1)) or (((loop_em_14 >= 1) and (Cpt1_15 >= 1)) or (((loop_em_13 >= 1) and (Cpt1_15 >= 1)) or (((loop_em_12 >= 1) and (Cpt1_15 >= 1)) or (((loop_em_11 >= 1) and (Cpt1_15 >= 1)) or (((loop_em_10 >= 1) and (Cpt1_15 >= 1)) or (((loop_em_9 >= 1) and (Cpt1_15 >= 1)) or (((loop_em_8 >= 1) and (Cpt1_15 >= 1)) or (((loop_em_7 >= 1) and (Cpt1_15 >= 1)) or (((loop_em_6 >= 1) and (Cpt1_15 >= 1)) or (((loop_em_5 >= 1) and (Cpt1_15 >= 1)) or (((loop_em_4 >= 1) and (Cpt1_15 >= 1)) or (((loop_em_3 >= 1) and (Cpt1_15 >= 1)) or (((loop_em_2 >= 1) and (Cpt1_15 >= 1)) or (((loop_em_1 >= 1) and (Cpt1_15 >= 1)) or (((loop_em_15 >= 1) and (Cpt1_14 >= 1)) or (((loop_em_14 >= 1) and (Cpt1_14 >= 1)) or (((loop_em_13 >= 1) and (Cpt1_14 >= 1)) or (((loop_em_12 >= 1) and (Cpt1_14 >= 1)) or (((loop_em_11 >= 1) and (Cpt1_14 >= 1)) or (((loop_em_10 >= 1) and (Cpt1_14 >= 1)) or (((loop_em_9 >= 1) and (Cpt1_14 >= 1)) or (((loop_em_8 >= 1) and (Cpt1_14 >= 1)) or (((loop_em_7 >= 1) and (Cpt1_14 >= 1)) or (((loop_em_6 >= 1) and (Cpt1_14 >= 1)) or (((loop_em_5 >= 1) and (Cpt1_14 >= 1)) or (((loop_em_4 >= 1) and (Cpt1_14 >= 1)) or (((loop_em_3 >= 1) and (Cpt1_14 >= 1)) or (((loop_em_2 >= 1) and (Cpt1_14 >= 1)) or (((loop_em_1 >= 1) and (Cpt1_14 >= 1)) or (((loop_em_15 >= 1) and (Cpt1_13 >= 1)) or (((loop_em_14 >= 1) and (Cpt1_13 >= 1)) or (((loop_em_13 >= 1) and (Cpt1_13 >= 1)) or (((loop_em_12 >= 1) and (Cpt1_13 >= 1)) or (((loop_em_11 >= 1) and (Cpt1_13 >= 1)) or (((loop_em_10 >= 1) and (Cpt1_13 >= 1)) or (((loop_em_9 >= 1) and (Cpt1_13 >= 1)) or (((loop_em_8 >= 1) and (Cpt1_13 >= 1)) or (((loop_em_7 >= 1) and (Cpt1_13 >= 1)) or (((loop_em_6 >= 1) and (Cpt1_13 >= 1)) or (((loop_em_5 >= 1) and (Cpt1_13 >= 1)) or (((loop_em_4 >= 1) and (Cpt1_13 >= 1)) or (((loop_em_3 >= 1) and (Cpt1_13 >= 1)) or (((loop_em_2 >= 1) and (Cpt1_13 >= 1)) or (((loop_em_1 >= 1) and (Cpt1_13 >= 1)) or (((loop_em_15 >= 1) and (Cpt1_12 >= 1)) or (((loop_em_14 >= 1) and (Cpt1_12 >= 1)) or (((loop_em_13 >= 1) and (Cpt1_12 >= 1)) or (((loop_em_12 >= 1) and (Cpt1_12 >= 1)) or (((loop_em_11 >= 1) and (Cpt1_12 >= 1)) or (((loop_em_10 >= 1) and (Cpt1_12 >= 1)) or (((loop_em_9 >= 1) and (Cpt1_12 >= 1)) or (((loop_em_8 >= 1) and (Cpt1_12 >= 1)) or (((loop_em_7 >= 1) and (Cpt1_12 >= 1)) or (((loop_em_6 >= 1) and (Cpt1_12 >= 1)) or (((loop_em_5 >= 1) and (Cpt1_12 >= 1)) or (((loop_em_4 >= 1) and (Cpt1_12 >= 1)) or (((loop_em_3 >= 1) and (Cpt1_12 >= 1)) or (((loop_em_2 >= 1) and (Cpt1_12 >= 1)) or (((loop_em_1 >= 1) and (Cpt1_12 >= 1)) or (((loop_em_15 >= 1) and (Cpt1_11 >= 1)) or (((loop_em_14 >= 1) and (Cpt1_11 >= 1)) or (((loop_em_13 >= 1) and (Cpt1_11 >= 1)) or (((loop_em_12 >= 1) and (Cpt1_11 >= 1)) or (((loop_em_11 >= 1) and (Cpt1_11 >= 1)) or (((loop_em_10 >= 1) and (Cpt1_11 >= 1)) or (((loop_em_9 >= 1) and (Cpt1_11 >= 1)) or (((loop_em_8 >= 1) and (Cpt1_11 >= 1)) or (((loop_em_7 >= 1) and (Cpt1_11 >= 1)) or (((loop_em_6 >= 1) and (Cpt1_11 >= 1)) or (((loop_em_5 >= 1) and (Cpt1_11 >= 1)) or (((loop_em_4 >= 1) and (Cpt1_11 >= 1)) or (((loop_em_3 >= 1) and (Cpt1_11 >= 1)) or (((loop_em_2 >= 1) and (Cpt1_11 >= 1)) or (((loop_em_1 >= 1) and (Cpt1_11 >= 1)) or (((loop_em_15 >= 1) and (Cpt1_10 >= 1)) or (((loop_em_14 >= 1) and (Cpt1_10 >= 1)) or (((loop_em_13 >= 1) and (Cpt1_10 >= 1)) or (((loop_em_12 >= 1) and (Cpt1_10 >= 1)) or (((loop_em_11 >= 1) and (Cpt1_10 >= 1)) or (((loop_em_10 >= 1) and (Cpt1_10 >= 1)) or (((loop_em_9 >= 1) and (Cpt1_10 >= 1)) or (((loop_em_8 >= 1) and (Cpt1_10 >= 1)) or (((loop_em_7 >= 1) and (Cpt1_10 >= 1)) or (((loop_em_6 >= 1) and (Cpt1_10 >= 1)) or (((loop_em_5 >= 1) and (Cpt1_10 >= 1)) or (((loop_em_4 >= 1) and (Cpt1_10 >= 1)) or (((loop_em_3 >= 1) and (Cpt1_10 >= 1)) or (((loop_em_2 >= 1) and (Cpt1_10 >= 1)) or (((loop_em_1 >= 1) and (Cpt1_10 >= 1)) or (((loop_em_15 >= 1) and (Cpt1_9 >= 1)) or (((loop_em_14 >= 1) and (Cpt1_9 >= 1)) or (((loop_em_13 >= 1) and (Cpt1_9 >= 1)) or (((loop_em_12 >= 1) and (Cpt1_9 >= 1)) or (((loop_em_11 >= 1) and (Cpt1_9 >= 1)) or (((loop_em_10 >= 1) and (Cpt1_9 >= 1)) or (((loop_em_9 >= 1) and (Cpt1_9 >= 1)) or (((loop_em_8 >= 1) and (Cpt1_9 >= 1)) or (((loop_em_7 >= 1) and (Cpt1_9 >= 1)) or (((loop_em_6 >= 1) and (Cpt1_9 >= 1)) or (((loop_em_5 >= 1) and (Cpt1_9 >= 1)) or (((loop_em_4 >= 1) and (Cpt1_9 >= 1)) or (((loop_em_3 >= 1) and (Cpt1_9 >= 1)) or (((loop_em_2 >= 1) and (Cpt1_9 >= 1)) or (((loop_em_1 >= 1) and (Cpt1_9 >= 1)) or (((loop_em_15 >= 1) and (Cpt1_8 >= 1)) or (((loop_em_14 >= 1) and (Cpt1_8 >= 1)) or (((loop_em_13 >= 1) and (Cpt1_8 >= 1)) or (((loop_em_12 >= 1) and (Cpt1_8 >= 1)) or (((loop_em_11 >= 1) and (Cpt1_8 >= 1)) or (((loop_em_10 >= 1) and (Cpt1_8 >= 1)) or (((loop_em_9 >= 1) and (Cpt1_8 >= 1)) or (((loop_em_8 >= 1) and (Cpt1_8 >= 1)) or (((loop_em_7 >= 1) and (Cpt1_8 >= 1)) or (((loop_em_6 >= 1) and (Cpt1_8 >= 1)) or (((loop_em_5 >= 1) and (Cpt1_8 >= 1)) or (((loop_em_4 >= 1) and (Cpt1_8 >= 1)) or (((loop_em_3 >= 1) and (Cpt1_8 >= 1)) or (((loop_em_2 >= 1) and (Cpt1_8 >= 1)) or (((loop_em_1 >= 1) and (Cpt1_8 >= 1)) or (((loop_em_15 >= 1) and (Cpt1_7 >= 1)) or (((loop_em_14 >= 1) and (Cpt1_7 >= 1)) or (((loop_em_13 >= 1) and (Cpt1_7 >= 1)) or (((loop_em_12 >= 1) and (Cpt1_7 >= 1)) or (((loop_em_11 >= 1) and (Cpt1_7 >= 1)) or (((loop_em_10 >= 1) and (Cpt1_7 >= 1)) or (((loop_em_9 >= 1) and (Cpt1_7 >= 1)) or (((loop_em_8 >= 1) and (Cpt1_7 >= 1)) or (((loop_em_7 >= 1) and (Cpt1_7 >= 1)) or (((loop_em_6 >= 1) and (Cpt1_7 >= 1)) or (((loop_em_5 >= 1) and (Cpt1_7 >= 1)) or (((loop_em_4 >= 1) and (Cpt1_7 >= 1)) or (((loop_em_3 >= 1) and (Cpt1_7 >= 1)) or (((loop_em_2 >= 1) and (Cpt1_7 >= 1)) or (((loop_em_1 >= 1) and (Cpt1_7 >= 1)) or (((loop_em_15 >= 1) and (Cpt1_6 >= 1)) or (((loop_em_14 >= 1) and (Cpt1_6 >= 1)) or (((loop_em_13 >= 1) and (Cpt1_6 >= 1)) or (((loop_em_12 >= 1) and (Cpt1_6 >= 1)) or (((loop_em_11 >= 1) and (Cpt1_6 >= 1)) or (((loop_em_10 >= 1) and (Cpt1_6 >= 1)) or (((loop_em_9 >= 1) and (Cpt1_6 >= 1)) or (((loop_em_8 >= 1) and (Cpt1_6 >= 1)) or (((loop_em_7 >= 1) and (Cpt1_6 >= 1)) or (((loop_em_6 >= 1) and (Cpt1_6 >= 1)) or (((loop_em_5 >= 1) and (Cpt1_6 >= 1)) or (((loop_em_4 >= 1) and (Cpt1_6 >= 1)) or (((loop_em_3 >= 1) and (Cpt1_6 >= 1)) or (((loop_em_2 >= 1) and (Cpt1_6 >= 1)) or (((loop_em_1 >= 1) and (Cpt1_6 >= 1)) or (((loop_em_15 >= 1) and (Cpt1_5 >= 1)) or (((loop_em_14 >= 1) and (Cpt1_5 >= 1)) or (((loop_em_13 >= 1) and (Cpt1_5 >= 1)) or (((loop_em_12 >= 1) and (Cpt1_5 >= 1)) or (((loop_em_11 >= 1) and (Cpt1_5 >= 1)) or (((loop_em_10 >= 1) and (Cpt1_5 >= 1)) or (((loop_em_9 >= 1) and (Cpt1_5 >= 1)) or (((loop_em_8 >= 1) and (Cpt1_5 >= 1)) or (((loop_em_7 >= 1) and (Cpt1_5 >= 1)) or (((loop_em_6 >= 1) and (Cpt1_5 >= 1)) or (((loop_em_5 >= 1) and (Cpt1_5 >= 1)) or (((loop_em_4 >= 1) and (Cpt1_5 >= 1)) or (((loop_em_3 >= 1) and (Cpt1_5 >= 1)) or (((loop_em_2 >= 1) and (Cpt1_5 >= 1)) or (((loop_em_1 >= 1) and (Cpt1_5 >= 1)) or (((loop_em_15 >= 1) and (Cpt1_4 >= 1)) or (((loop_em_14 >= 1) and (Cpt1_4 >= 1)) or (((loop_em_13 >= 1) and (Cpt1_4 >= 1)) or (((loop_em_12 >= 1) and (Cpt1_4 >= 1)) or (((loop_em_11 >= 1) and (Cpt1_4 >= 1)) or (((loop_em_10 >= 1) and (Cpt1_4 >= 1)) or (((loop_em_9 >= 1) and (Cpt1_4 >= 1)) or (((loop_em_8 >= 1) and (Cpt1_4 >= 1)) or (((loop_em_7 >= 1) and (Cpt1_4 >= 1)) or (((loop_em_6 >= 1) and (Cpt1_4 >= 1)) or (((loop_em_5 >= 1) and (Cpt1_4 >= 1)) or (((loop_em_4 >= 1) and (Cpt1_4 >= 1)) or (((loop_em_3 >= 1) and (Cpt1_4 >= 1)) or (((loop_em_2 >= 1) and (Cpt1_4 >= 1)) or (((loop_em_1 >= 1) and (Cpt1_4 >= 1)) or (((loop_em_15 >= 1) and (Cpt1_3 >= 1)) or (((loop_em_14 >= 1) and (Cpt1_3 >= 1)) or (((loop_em_13 >= 1) and (Cpt1_3 >= 1)) or (((loop_em_12 >= 1) and (Cpt1_3 >= 1)) or (((loop_em_11 >= 1) and (Cpt1_3 >= 1)) or (((loop_em_10 >= 1) and (Cpt1_3 >= 1)) or (((loop_em_9 >= 1) and (Cpt1_3 >= 1)) or (((loop_em_8 >= 1) and (Cpt1_3 >= 1)) or (((loop_em_7 >= 1) and (Cpt1_3 >= 1)) or (((loop_em_6 >= 1) and (Cpt1_3 >= 1)) or (((loop_em_5 >= 1) and (Cpt1_3 >= 1)) or (((loop_em_4 >= 1) and (Cpt1_3 >= 1)) or (((loop_em_3 >= 1) and (Cpt1_3 >= 1)) or (((loop_em_2 >= 1) and (Cpt1_3 >= 1)) or (((loop_em_1 >= 1) and (Cpt1_3 >= 1)) or (((loop_em_15 >= 1) and (Cpt1_2 >= 1)) or (((loop_em_14 >= 1) and (Cpt1_2 >= 1)) or (((loop_em_13 >= 1) and (Cpt1_2 >= 1)) or (((loop_em_12 >= 1) and (Cpt1_2 >= 1)) or (((loop_em_11 >= 1) and (Cpt1_2 >= 1)) or (((loop_em_10 >= 1) and (Cpt1_2 >= 1)) or (((loop_em_9 >= 1) and (Cpt1_2 >= 1)) or (((loop_em_8 >= 1) and (Cpt1_2 >= 1)) or (((loop_em_7 >= 1) and (Cpt1_2 >= 1)) or (((loop_em_6 >= 1) and (Cpt1_2 >= 1)) or (((loop_em_5 >= 1) and (Cpt1_2 >= 1)) or (((loop_em_4 >= 1) and (Cpt1_2 >= 1)) or (((loop_em_3 >= 1) and (Cpt1_2 >= 1)) or (((loop_em_2 >= 1) and (Cpt1_2 >= 1)) or (((loop_em_1 >= 1) and (Cpt1_2 >= 1)) or (((loop_em_15 >= 1) and (Cpt1_1 >= 1)) or (((loop_em_14 >= 1) and (Cpt1_1 >= 1)) or (((loop_em_13 >= 1) and (Cpt1_1 >= 1)) or (((loop_em_12 >= 1) and (Cpt1_1 >= 1)) or (((loop_em_11 >= 1) and (Cpt1_1 >= 1)) or (((loop_em_10 >= 1) and (Cpt1_1 >= 1)) or (((loop_em_9 >= 1) and (Cpt1_1 >= 1)) or (((loop_em_8 >= 1) and (Cpt1_1 >= 1)) or (((loop_em_7 >= 1) and (Cpt1_1 >= 1)) or (((loop_em_6 >= 1) and (Cpt1_1 >= 1)) or (((loop_em_5 >= 1) and (Cpt1_1 >= 1)) or (((loop_em_4 >= 1) and (Cpt1_1 >= 1)) or (((loop_em_3 >= 1) and (Cpt1_1 >= 1)) or (((loop_em_2 >= 1) and (Cpt1_1 >= 1)) or ((loop_em_1 >= 1) and (Cpt1_1 >= 1))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))))
Query size reduced from 16808 to 15968 nodes (5.00 percent reduction).
Query reduction reached timeout.
Size of net before structural reductions: 621 places, 4771 transitions
Size of net after structural reductions: 621 places, 4771 transitions
Structural reduction finished after 0.111437 seconds

Net reduction is enabled.
Removed transitions: 0
Removed places: 0
Applications of rule A: 0
Applications of rule B: 0
Applications of rule C: 0
Applications of rule D: 0
Applications of rule E: 0

BK_TIME_CONFINEMENT_REACHED

--------------------
content from stderr:

Command exited with non-zero status 124
Command being timed: "timeout 1137 /home/mcc/BenchKit/bin/verifypn-linux64 -s DFS -d 100 -q 100 ./model.pnml ./ReachabilityFireability.xml -x 3"
User time (seconds): 1136.38
System time (seconds): 0.36
Percent of CPU this job got: 99%
Elapsed (wall clock) time (h:mm:ss or m:ss): 18:57.01
Average shared text size (kbytes): 0
Average unshared data size (kbytes): 0
Average stack size (kbytes): 0
Average total size (kbytes): 0
Maximum resident set size (kbytes): 254028
Average resident set size (kbytes): 0
Major (requiring I/O) page faults: 0
Minor (reclaiming a frame) page faults: 66311
Voluntary context switches: 4
Involuntary context switches: 3106
Swaps: 0
File system inputs: 0
File system outputs: 0
Socket messages sent: 0
Socket messages received: 0
Signals delivered: 0
Page size (bytes): 4096
Exit status: 124
Command exited with non-zero status 124
Command being timed: "timeout 1136 /home/mcc/BenchKit/bin/verifypn-linux64 -s DFS -d 100 -q 100 ./model.pnml ./ReachabilityFireability.xml -x 11"
User time (seconds): 1135.56
System time (seconds): 0.25
Percent of CPU this job got: 99%
Elapsed (wall clock) time (h:mm:ss or m:ss): 18:56.01
Average shared text size (kbytes): 0
Average unshared data size (kbytes): 0
Average stack size (kbytes): 0
Average total size (kbytes): 0
Maximum resident set size (kbytes): 305592
Average resident set size (kbytes): 0
Major (requiring I/O) page faults: 0
Minor (reclaiming a frame) page faults: 79208
Voluntary context switches: 4
Involuntary context switches: 3149
Swaps: 0
File system inputs: 0
File system outputs: 0
Socket messages sent: 0
Socket messages received: 0
Signals delivered: 0
Page size (bytes): 4096
Exit status: 124

Sequence of Actions to be Executed by the VM

This is useful if one wants to reexecute the tool in the VM from the submitted image disk.

set -x
# this is for BenchKit: configuration of major elements for the test
export BK_INPUT="SafeBus-PT-15"
export BK_EXAMINATION="ReachabilityFireability"
export BK_TOOL="tapaal"
export BK_RESULT_DIR="/tmp/BK_RESULTS/OUTPUTS"
export BK_TIME_CONFINEMENT="3600"
export BK_MEMORY_CONFINEMENT="16384"

# this is specific to your benchmark or test

export BIN_DIR="$HOME/BenchKit/bin"

# remove the execution directoty if it exists (to avoid increse of .vmdk images)
if [ -d execution ] ; then
rm -rf execution
fi

tar xzf /home/mcc/BenchKit/INPUTS/SafeBus-PT-15.tgz
mv SafeBus-PT-15 execution

# this is for BenchKit: explicit launching of the test

cd execution
echo "====================================================================="
echo " Generated by BenchKit 2-3254"
echo " Executing tool tapaal"
echo " Input is SafeBus-PT-15, examination is ReachabilityFireability"
echo " Time confinement is $BK_TIME_CONFINEMENT seconds"
echo " Memory confinement is 16384 MBytes"
echo " Number of cores is 4"
echo " Run identifier is r069-csrt-149440964000261"
echo "====================================================================="
echo
echo "--------------------"
echo "content from stdout:"
echo
echo "=== Data for post analysis generated by BenchKit (invocation template)"
echo
if [ "ReachabilityFireability" = "UpperBounds" ] ; then
echo "The expected result is a vector of positive values"
echo NUM_VECTOR
elif [ "ReachabilityFireability" != "StateSpace" ] ; then
echo "The expected result is a vector of booleans"
echo BOOL_VECTOR
else
echo "no data necessary for post analysis"
fi
echo
if [ -f "ReachabilityFireability.txt" ] ; then
echo "here is the order used to build the result vector(from text file)"
for x in $(grep Property ReachabilityFireability.txt | cut -d ' ' -f 2 | sort -u) ; do
echo "FORMULA_NAME $x"
done
elif [ -f "ReachabilityFireability.xml" ] ; then # for cunf (txt files deleted;-)
echo echo "here is the order used to build the result vector(from xml file)"
for x in $(grep '' ReachabilityFireability.xml | cut -d '>' -f 2 | cut -d '<' -f 1 | sort -u) ; do
echo "FORMULA_NAME $x"
done
fi
echo
echo "=== Now, execution of the tool begins"
echo
echo -n "BK_START "
date -u +%s%3N
echo
timeout -s 9 $BK_TIME_CONFINEMENT bash -c "/home/mcc/BenchKit/BenchKit_head.sh 2> STDERR ; echo ; echo -n \"BK_STOP \" ; date -u +%s%3N"
if [ $? -eq 137 ] ; then
echo
echo "BK_TIME_CONFINEMENT_REACHED"
fi
echo
echo "--------------------"
echo "content from stderr:"
echo
cat STDERR ;