fond
Model Checking Contest @ Petri Nets 2016
6th edition, Toruń, Poland, June 21, 2016
Execution%20of%20r193kn-qhx2-146444252700222
Last Updated
June 30, 2016

About the Execution of ITS-Tools for S_SimpleLoadBal-COL-05

Execution Summary
Max Memory
Used (MB)
Time wait (ms) CPU Usage (ms) I/O Wait (ms) Computed Result Execution
Status
3480.360 3523267.00 3556305.00 7034.60 TF??????TFFFFTFF normal

Execution Chart

We display below the execution chart for this examination (boot time has been removed).

Trace from the execution

Waiting for the VM to be ready (probing ssh)
.................................................
=====================================================================
Generated by BenchKit 2-2979
Executing tool itstools
Input is S_SimpleLoadBal-COL-05, examination is LTLFireability
Time confinement is 3600 seconds
Memory confinement is 16384 MBytes
Number of cores is 4
Run identifier is r193kn-qhx2-146444252700222
=====================================================================


--------------------
content from stdout:

=== Data for post analysis generated by BenchKit (invocation template)

The expected result is a vector of booleans
BOOL_VECTOR

here is the order used to build the result vector(from text file)
FORMULA_NAME SimpleLoadBal-COL-05-LTLFireability-0
FORMULA_NAME SimpleLoadBal-COL-05-LTLFireability-1
FORMULA_NAME SimpleLoadBal-COL-05-LTLFireability-10
FORMULA_NAME SimpleLoadBal-COL-05-LTLFireability-11
FORMULA_NAME SimpleLoadBal-COL-05-LTLFireability-12
FORMULA_NAME SimpleLoadBal-COL-05-LTLFireability-13
FORMULA_NAME SimpleLoadBal-COL-05-LTLFireability-14
FORMULA_NAME SimpleLoadBal-COL-05-LTLFireability-15
FORMULA_NAME SimpleLoadBal-COL-05-LTLFireability-2
FORMULA_NAME SimpleLoadBal-COL-05-LTLFireability-3
FORMULA_NAME SimpleLoadBal-COL-05-LTLFireability-4
FORMULA_NAME SimpleLoadBal-COL-05-LTLFireability-5
FORMULA_NAME SimpleLoadBal-COL-05-LTLFireability-6
FORMULA_NAME SimpleLoadBal-COL-05-LTLFireability-7
FORMULA_NAME SimpleLoadBal-COL-05-LTLFireability-8
FORMULA_NAME SimpleLoadBal-COL-05-LTLFireability-9

=== Now, execution of the tool begins

BK_START 1464869522181


its-ltl command run as :

/home/mcc/BenchKit/eclipse/plugins/fr.lip6.move.gal.itstools.binaries_1.0.0.201605191313/bin/its-ltl-linux64 --gc-threshold 2000000 -i /home/mcc/execution/LTLFireability.gal -t CGAL -LTL /home/mcc/execution/LTLFireability.ltl -c -stutter-deadlock
Read 16 LTL properties
Checking formula 0 : !((X(((("server_id0.P_server_waiting_0>=1")&&("server_id0.P_server_notification_ack_0>=1"))||(("server_id1.P_server_waiting_1>=1")&&("server_id1.P_server_notification_ack_1>=1")))U((((((("client_id0.P_client_ack_0>=1")&&("client_id0.P_client_waiting_0>=1"))||(("client_id1.P_client_ack_1>=1")&&("client_id1.P_client_waiting_1>=1")))||(("client_id2.P_client_ack_2>=1")&&("client_id2.P_client_waiting_2>=1")))||(("client_id3.P_client_ack_3>=1")&&("client_id3.P_client_waiting_3>=1")))||(("client_id4.P_client_ack_4>=1")&&("client_id4.P_client_waiting_4>=1")))U(((((("client_id0.P_client_request_0>=1")&&("P_lb_idle.P_lb_idle[0]>=1"))||(("client_id1.P_client_request_1>=1")&&("P_lb_idle.P_lb_idle[0]>=1")))||(("client_id2.P_client_request_2>=1")&&("P_lb_idle.P_lb_idle[0]>=1")))||(("client_id3.P_client_request_3>=1")&&("P_lb_idle.P_lb_idle[0]>=1")))||(("client_id4.P_client_request_4>=1")&&("P_lb_idle.P_lb_idle[0]>=1")))))))
Formula 0 simplified : !X((("server_id0.P_server_notification_ack_0>=1" & "server_id0.P_server_waiting_0>=1") | ("server_id1.P_server_notification_ack_1>=1" & "server_id1.P_server_waiting_1>=1")) U ((("client_id0.P_client_ack_0>=1" & "client_id0.P_client_waiting_0>=1") | ("client_id1.P_client_ack_1>=1" & "client_id1.P_client_waiting_1>=1") | ("client_id2.P_client_ack_2>=1" & "client_id2.P_client_waiting_2>=1") | ("client_id3.P_client_ack_3>=1" & "client_id3.P_client_waiting_3>=1") | ("client_id4.P_client_ack_4>=1" & "client_id4.P_client_waiting_4>=1")) U (("P_lb_idle.P_lb_idle[0]>=1" & "client_id0.P_client_request_0>=1") | ("P_lb_idle.P_lb_idle[0]>=1" & "client_id1.P_client_request_1>=1") | ("P_lb_idle.P_lb_idle[0]>=1" & "client_id2.P_client_request_2>=1") | ("P_lb_idle.P_lb_idle[0]>=1" & "client_id3.P_client_request_3>=1") | ("P_lb_idle.P_lb_idle[0]>=1" & "client_id4.P_client_request_4>=1"))))
2 unique states visited
0 strongly connected components in search stack
1 transitions explored
2 items max in DFS search stack
321 ticks for the emptiness check
Model ,|S| ,Time ,Mem(kb) ,fin. SDD ,fin. DDD ,peak SDD ,peak DDD ,SDD Hom ,SDD cache peak ,DDD Hom ,DDD cachepeak ,SHom cache
STATS,0,96.5428,128308,1,0,141383,503,3253,107759,205,478,514063
no accepting run found
Formula 0 is TRUE no accepting run found.
FORMULA SimpleLoadBal-COL-05-LTLFireability-0 TRUE TECHNIQUES DECISION_DIAGRAMS TOPOLOGICAL USE_NUPN
Checking formula 1 : !((F((G(F(((((((((((((((((("server_id_x_clients_no0.P_lb_load_0>=1")&&("server_id_x_clients_no6.P_lb_load_6>=1"))&&("P_lb_balancing.P_lb_balancing[0]>=1"))||((("server_id_x_clients_no0.P_lb_load_0>=1")&&("server_id_x_clients_no7.P_lb_load_7>=1"))&&("P_lb_balancing.P_lb_balancing[0]>=1")))||((("server_id_x_clients_no1.P_lb_load_1>=1")&&("server_id_x_clients_no6.P_lb_load_6>=1"))&&("P_lb_balancing.P_lb_balancing[0]>=1")))||((("server_id_x_clients_no1.P_lb_load_1>=1")&&("server_id_x_clients_no7.P_lb_load_7>=1"))&&("P_lb_balancing.P_lb_balancing[0]>=1")))||((("server_id_x_clients_no1.P_lb_load_1>=1")&&("server_id_x_clients_no8.P_lb_load_8>=1"))&&("P_lb_balancing.P_lb_balancing[0]>=1")))||((("server_id_x_clients_no2.P_lb_load_2>=1")&&("server_id_x_clients_no7.P_lb_load_7>=1"))&&("P_lb_balancing.P_lb_balancing[0]>=1")))||((("server_id_x_clients_no2.P_lb_load_2>=1")&&("server_id_x_clients_no8.P_lb_load_8>=1"))&&("P_lb_balancing.P_lb_balancing[0]>=1")))||((("server_id_x_clients_no2.P_lb_load_2>=1")&&("server_id_x_clients_no9.P_lb_load_9>=1"))&&("P_lb_balancing.P_lb_balancing[0]>=1")))||((("server_id_x_clients_no3.P_lb_load_3>=1")&&("server_id_x_clients_no8.P_lb_load_8>=1"))&&("P_lb_balancing.P_lb_balancing[0]>=1")))||((("server_id_x_clients_no3.P_lb_load_3>=1")&&("server_id_x_clients_no9.P_lb_load_9>=1"))&&("P_lb_balancing.P_lb_balancing[0]>=1")))||((("server_id_x_clients_no3.P_lb_load_3>=1")&&("server_id_x_clients_no10.P_lb_load_10>=1"))&&("P_lb_balancing.P_lb_balancing[0]>=1")))||((("server_id_x_clients_no4.P_lb_load_4>=1")&&("server_id_x_clients_no9.P_lb_load_9>=1"))&&("P_lb_balancing.P_lb_balancing[0]>=1")))||((("server_id_x_clients_no4.P_lb_load_4>=1")&&("server_id_x_clients_no10.P_lb_load_10>=1"))&&("P_lb_balancing.P_lb_balancing[0]>=1")))||((("server_id_x_clients_no4.P_lb_load_4>=1")&&("server_id_x_clients_no11.P_lb_load_11>=1"))&&("P_lb_balancing.P_lb_balancing[0]>=1")))||((("server_id_x_clients_no5.P_lb_load_5>=1")&&("server_id_x_clients_no10.P_lb_load_10>=1"))&&("P_lb_balancing.P_lb_balancing[0]>=1")))||((("server_id_x_clients_no5.P_lb_load_5>=1")&&("server_id_x_clients_no11.P_lb_load_11>=1"))&&("P_lb_balancing.P_lb_balancing[0]>=1")))))U((((((((((((((((((((((("server_id_x_clients_no2.P_lb_load_2>=1")&&("server_id_x_clients_no6.P_lb_load_6>=1"))&&("client_id_x_server_id0.P_server_request_0>=1"))&&("P_lb_balancing.P_lb_balancing[0]>=1"))||(((("server_id_x_clients_no2.P_lb_load_2>=1")&&("server_id_x_clients_no6.P_lb_load_6>=1"))&&("client_id_x_server_id2.P_server_request_2>=1"))&&("P_lb_balancing.P_lb_balancing[0]>=1")))||(((("server_id_x_clients_no2.P_lb_load_2>=1")&&("server_id_x_clients_no6.P_lb_load_6>=1"))&&("client_id_x_server_id4.P_server_request_4>=1"))&&("P_lb_balancing.P_lb_balancing[0]>=1")))||(((("server_id_x_clients_no2.P_lb_load_2>=1")&&("server_id_x_clients_no6.P_lb_load_6>=1"))&&("client_id_x_server_id6.P_server_request_6>=1"))&&("P_lb_balancing.P_lb_balancing[0]>=1")))||(((("server_id_x_clients_no2.P_lb_load_2>=1")&&("server_id_x_clients_no6.P_lb_load_6>=1"))&&("client_id_x_server_id8.P_server_request_8>=1"))&&("P_lb_balancing.P_lb_balancing[0]>=1")))||(((("server_id_x_clients_no3.P_lb_load_3>=1")&&("server_id_x_clients_no7.P_lb_load_7>=1"))&&("client_id_x_server_id0.P_server_request_0>=1"))&&("P_lb_balancing.P_lb_balancing[0]>=1")))||(((("server_id_x_clients_no3.P_lb_load_3>=1")&&("server_id_x_clients_no7.P_lb_load_7>=1"))&&("client_id_x_server_id2.P_server_request_2>=1"))&&("P_lb_balancing.P_lb_balancing[0]>=1")))||(((("server_id_x_clients_no3.P_lb_load_3>=1")&&("server_id_x_clients_no7.P_lb_load_7>=1"))&&("client_id_x_server_id4.P_server_request_4>=1"))&&("P_lb_balancing.P_lb_balancing[0]>=1")))||(((("server_id_x_clients_no3.P_lb_load_3>=1")&&("server_id_x_clients_no7.P_lb_load_7>=1"))&&("client_id_x_server_id6.P_server_request_6>=1"))&&("P_lb_balancing.P_lb_balancing[0]>=1")))||(((("server_id_x_clients_no3.P_lb_load_3>=1")&&("server_id_x_clients_no7.P_lb_load_7>=1"))&&("client_id_x_server_id8.P_server_request_8>=1"))&&("P_lb_balancing.P_lb_balancing[0]>=1")))||(((("server_id_x_clients_no4.P_lb_load_4>=1")&&("server_id_x_clients_no8.P_lb_load_8>=1"))&&("client_id_x_server_id0.P_server_request_0>=1"))&&("P_lb_balancing.P_lb_balancing[0]>=1")))||(((("server_id_x_clients_no4.P_lb_load_4>=1")&&("server_id_x_clients_no8.P_lb_load_8>=1"))&&("client_id_x_server_id2.P_server_request_2>=1"))&&("P_lb_balancing.P_lb_balancing[0]>=1")))||(((("server_id_x_clients_no4.P_lb_load_4>=1")&&("server_id_x_clients_no8.P_lb_load_8>=1"))&&("client_id_x_server_id4.P_server_request_4>=1"))&&("P_lb_balancing.P_lb_balancing[0]>=1")))||(((("server_id_x_clients_no4.P_lb_load_4>=1")&&("server_id_x_clients_no8.P_lb_load_8>=1"))&&("client_id_x_server_id6.P_server_request_6>=1"))&&("P_lb_balancing.P_lb_balancing[0]>=1")))||(((("server_id_x_clients_no4.P_lb_load_4>=1")&&("server_id_x_clients_no8.P_lb_load_8>=1"))&&("client_id_x_server_id8.P_server_request_8>=1"))&&("P_lb_balancing.P_lb_balancing[0]>=1")))||(((("server_id_x_clients_no5.P_lb_load_5>=1")&&("server_id_x_clients_no9.P_lb_load_9>=1"))&&("client_id_x_server_id0.P_server_request_0>=1"))&&("P_lb_balancing.P_lb_balancing[0]>=1")))||(((("server_id_x_clients_no5.P_lb_load_5>=1")&&("server_id_x_clients_no9.P_lb_load_9>=1"))&&("client_id_x_server_id2.P_server_request_2>=1"))&&("P_lb_balancing.P_lb_balancing[0]>=1")))||(((("server_id_x_clients_no5.P_lb_load_5>=1")&&("server_id_x_clients_no9.P_lb_load_9>=1"))&&("client_id_x_server_id4.P_server_request_4>=1"))&&("P_lb_balancing.P_lb_balancing[0]>=1")))||(((("server_id_x_clients_no5.P_lb_load_5>=1")&&("server_id_x_clients_no9.P_lb_load_9>=1"))&&("client_id_x_server_id6.P_server_request_6>=1"))&&("P_lb_balancing.P_lb_balancing[0]>=1")))||(((("server_id_x_clients_no5.P_lb_load_5>=1")&&("server_id_x_clients_no9.P_lb_load_9>=1"))&&("client_id_x_server_id8.P_server_request_8>=1"))&&("P_lb_balancing.P_lb_balancing[0]>=1"))))))
Formula 1 simplified : !F(GF(("P_lb_balancing.P_lb_balancing[0]>=1" & "server_id_x_clients_no0.P_lb_load_0>=1" & "server_id_x_clients_no6.P_lb_load_6>=1") | ("P_lb_balancing.P_lb_balancing[0]>=1" & "server_id_x_clients_no0.P_lb_load_0>=1" & "server_id_x_clients_no7.P_lb_load_7>=1") | ("P_lb_balancing.P_lb_balancing[0]>=1" & "server_id_x_clients_no1.P_lb_load_1>=1" & "server_id_x_clients_no6.P_lb_load_6>=1") | ("P_lb_balancing.P_lb_balancing[0]>=1" & "server_id_x_clients_no1.P_lb_load_1>=1" & "server_id_x_clients_no7.P_lb_load_7>=1") | ("P_lb_balancing.P_lb_balancing[0]>=1" & "server_id_x_clients_no1.P_lb_load_1>=1" & "server_id_x_clients_no8.P_lb_load_8>=1") | ("P_lb_balancing.P_lb_balancing[0]>=1" & "server_id_x_clients_no2.P_lb_load_2>=1" & "server_id_x_clients_no7.P_lb_load_7>=1") | ("P_lb_balancing.P_lb_balancing[0]>=1" & "server_id_x_clients_no2.P_lb_load_2>=1" & "server_id_x_clients_no8.P_lb_load_8>=1") | ("P_lb_balancing.P_lb_balancing[0]>=1" & "server_id_x_clients_no2.P_lb_load_2>=1" & "server_id_x_clients_no9.P_lb_load_9>=1") | ("P_lb_balancing.P_lb_balancing[0]>=1" & "server_id_x_clients_no3.P_lb_load_3>=1" & "server_id_x_clients_no8.P_lb_load_8>=1") | ("P_lb_balancing.P_lb_balancing[0]>=1" & "server_id_x_clients_no3.P_lb_load_3>=1" & "server_id_x_clients_no9.P_lb_load_9>=1") | ("P_lb_balancing.P_lb_balancing[0]>=1" & "server_id_x_clients_no3.P_lb_load_3>=1" & "server_id_x_clients_no10.P_lb_load_10>=1") | ("P_lb_balancing.P_lb_balancing[0]>=1" & "server_id_x_clients_no4.P_lb_load_4>=1" & "server_id_x_clients_no9.P_lb_load_9>=1") | ("P_lb_balancing.P_lb_balancing[0]>=1" & "server_id_x_clients_no4.P_lb_load_4>=1" & "server_id_x_clients_no10.P_lb_load_10>=1") | ("P_lb_balancing.P_lb_balancing[0]>=1" & "server_id_x_clients_no4.P_lb_load_4>=1" & "server_id_x_clients_no11.P_lb_load_11>=1") | ("P_lb_balancing.P_lb_balancing[0]>=1" & "server_id_x_clients_no5.P_lb_load_5>=1" & "server_id_x_clients_no10.P_lb_load_10>=1") | ("P_lb_balancing.P_lb_balancing[0]>=1" & "server_id_x_clients_no5.P_lb_load_5>=1" & "server_id_x_clients_no11.P_lb_load_11>=1")) U (("P_lb_balancing.P_lb_balancing[0]>=1" & "client_id_x_server_id0.P_server_request_0>=1" & "server_id_x_clients_no2.P_lb_load_2>=1" & "server_id_x_clients_no6.P_lb_load_6>=1") | ("P_lb_balancing.P_lb_balancing[0]>=1" & "client_id_x_server_id2.P_server_request_2>=1" & "server_id_x_clients_no2.P_lb_load_2>=1" & "server_id_x_clients_no6.P_lb_load_6>=1") | ("P_lb_balancing.P_lb_balancing[0]>=1" & "client_id_x_server_id4.P_server_request_4>=1" & "server_id_x_clients_no2.P_lb_load_2>=1" & "server_id_x_clients_no6.P_lb_load_6>=1") | ("P_lb_balancing.P_lb_balancing[0]>=1" & "client_id_x_server_id6.P_server_request_6>=1" & "server_id_x_clients_no2.P_lb_load_2>=1" & "server_id_x_clients_no6.P_lb_load_6>=1") | ("P_lb_balancing.P_lb_balancing[0]>=1" & "client_id_x_server_id8.P_server_request_8>=1" & "server_id_x_clients_no2.P_lb_load_2>=1" & "server_id_x_clients_no6.P_lb_load_6>=1") | ("P_lb_balancing.P_lb_balancing[0]>=1" & "client_id_x_server_id0.P_server_request_0>=1" & "server_id_x_clients_no3.P_lb_load_3>=1" & "server_id_x_clients_no7.P_lb_load_7>=1") | ("P_lb_balancing.P_lb_balancing[0]>=1" & "client_id_x_server_id2.P_server_request_2>=1" & "server_id_x_clients_no3.P_lb_load_3>=1" & "server_id_x_clients_no7.P_lb_load_7>=1") | ("P_lb_balancing.P_lb_balancing[0]>=1" & "client_id_x_server_id4.P_server_request_4>=1" & "server_id_x_clients_no3.P_lb_load_3>=1" & "server_id_x_clients_no7.P_lb_load_7>=1") | ("P_lb_balancing.P_lb_balancing[0]>=1" & "client_id_x_server_id6.P_server_request_6>=1" & "server_id_x_clients_no3.P_lb_load_3>=1" & "server_id_x_clients_no7.P_lb_load_7>=1") | ("P_lb_balancing.P_lb_balancing[0]>=1" & "client_id_x_server_id8.P_server_request_8>=1" & "server_id_x_clients_no3.P_lb_load_3>=1" & "server_id_x_clients_no7.P_lb_load_7>=1") | ("P_lb_balancing.P_lb_balancing[0]>=1" & "client_id_x_server_id0.P_server_request_0>=1" & "server_id_x_clients_no4.P_lb_load_4>=1" & "server_id_x_clients_no8.P_lb_load_8>=1") | ("P_lb_balancing.P_lb_balancing[0]>=1" & "client_id_x_server_id2.P_server_request_2>=1" & "server_id_x_clients_no4.P_lb_load_4>=1" & "server_id_x_clients_no8.P_lb_load_8>=1") | ("P_lb_balancing.P_lb_balancing[0]>=1" & "client_id_x_server_id4.P_server_request_4>=1" & "server_id_x_clients_no4.P_lb_load_4>=1" & "server_id_x_clients_no8.P_lb_load_8>=1") | ("P_lb_balancing.P_lb_balancing[0]>=1" & "client_id_x_server_id6.P_server_request_6>=1" & "server_id_x_clients_no4.P_lb_load_4>=1" & "server_id_x_clients_no8.P_lb_load_8>=1") | ("P_lb_balancing.P_lb_balancing[0]>=1" & "client_id_x_server_id8.P_server_request_8>=1" & "server_id_x_clients_no4.P_lb_load_4>=1" & "server_id_x_clients_no8.P_lb_load_8>=1") | ("P_lb_balancing.P_lb_balancing[0]>=1" & "client_id_x_server_id0.P_server_request_0>=1" & "server_id_x_clients_no5.P_lb_load_5>=1" & "server_id_x_clients_no9.P_lb_load_9>=1") | ("P_lb_balancing.P_lb_balancing[0]>=1" & "client_id_x_server_id2.P_server_request_2>=1" & "server_id_x_clients_no5.P_lb_load_5>=1" & "server_id_x_clients_no9.P_lb_load_9>=1") | ("P_lb_balancing.P_lb_balancing[0]>=1" & "client_id_x_server_id4.P_server_request_4>=1" & "server_id_x_clients_no5.P_lb_load_5>=1" & "server_id_x_clients_no9.P_lb_load_9>=1") | ("P_lb_balancing.P_lb_balancing[0]>=1" & "client_id_x_server_id6.P_server_request_6>=1" & "server_id_x_clients_no5.P_lb_load_5>=1" & "server_id_x_clients_no9.P_lb_load_9>=1") | ("P_lb_balancing.P_lb_balancing[0]>=1" & "client_id_x_server_id8.P_server_request_8>=1" & "server_id_x_clients_no5.P_lb_load_5>=1" & "server_id_x_clients_no9.P_lb_load_9>=1")))
2 unique states visited
2 strongly connected components in search stack
2 transitions explored
2 items max in DFS search stack
315 ticks for the emptiness check
Model ,|S| ,Time ,Mem(kb) ,fin. SDD ,fin. DDD ,peak SDD ,peak DDD ,SDD Hom ,SDD cache peak ,DDD Hom ,DDD cachepeak ,SHom cache
STATS,0,99.9626,189888,1,0,322960,503,3614,254477,210,478,1101023
an accepting run exists (use option '-e' to print it)
Formula 1 is FALSE accepting run found.
FORMULA SimpleLoadBal-COL-05-LTLFireability-1 FALSE TECHNIQUES DECISION_DIAGRAMS TOPOLOGICAL USE_NUPN
Checking formula 2 : !((G(F((X(((((("client_id0.P_client_ack_0>=1")&&("client_id0.P_client_waiting_0>=1"))||(("client_id1.P_client_ack_1>=1")&&("client_id1.P_client_waiting_1>=1")))||(("client_id2.P_client_ack_2>=1")&&("client_id2.P_client_waiting_2>=1")))||(("client_id3.P_client_ack_3>=1")&&("client_id3.P_client_waiting_3>=1")))||(("client_id4.P_client_ack_4>=1")&&("client_id4.P_client_waiting_4>=1"))))U((((("client_id0.P_client_idle_0>=1")||("client_id1.P_client_idle_1>=1"))||("client_id2.P_client_idle_2>=1"))||("client_id3.P_client_idle_3>=1"))||("client_id4.P_client_idle_4>=1"))))))
Formula 2 simplified : !GF(X(("client_id0.P_client_ack_0>=1" & "client_id0.P_client_waiting_0>=1") | ("client_id1.P_client_ack_1>=1" & "client_id1.P_client_waiting_1>=1") | ("client_id2.P_client_ack_2>=1" & "client_id2.P_client_waiting_2>=1") | ("client_id3.P_client_ack_3>=1" & "client_id3.P_client_waiting_3>=1") | ("client_id4.P_client_ack_4>=1" & "client_id4.P_client_waiting_4>=1")) U ("client_id0.P_client_idle_0>=1" | "client_id1.P_client_idle_1>=1" | "client_id2.P_client_idle_2>=1" | "client_id3.P_client_idle_3>=1" | "client_id4.P_client_idle_4>=1"))
2 unique states visited
0 strongly connected components in search stack
2 transitions explored
2 items max in DFS search stack
1207 ticks for the emptiness check
Model ,|S| ,Time ,Mem(kb) ,fin. SDD ,fin. DDD ,peak SDD ,peak DDD ,SDD Hom ,SDD cache peak ,DDD Hom ,DDD cachepeak ,SHom cache
STATS,0,112.027,468440,1,0,1.10036e+06,503,3739,763361,210,478,3178159
no accepting run found
Formula 2 is TRUE no accepting run found.
FORMULA SimpleLoadBal-COL-05-LTLFireability-2 TRUE TECHNIQUES DECISION_DIAGRAMS TOPOLOGICAL USE_NUPN
Checking formula 3 : !(((G((((((((((((((((((((((((((("lb_id_x_client_id0.P_lb_routing_0>=1")&&("server_id_x_clients_no1.P_lb_load_1>=1"))&&("server_id_x_clients_no6.P_lb_load_6>=1"))||((("lb_id_x_client_id1.P_lb_routing_1>=1")&&("server_id_x_clients_no1.P_lb_load_1>=1"))&&("server_id_x_clients_no6.P_lb_load_6>=1")))||((("lb_id_x_client_id2.P_lb_routing_2>=1")&&("server_id_x_clients_no1.P_lb_load_1>=1"))&&("server_id_x_clients_no6.P_lb_load_6>=1")))||((("lb_id_x_client_id3.P_lb_routing_3>=1")&&("server_id_x_clients_no1.P_lb_load_1>=1"))&&("server_id_x_clients_no6.P_lb_load_6>=1")))||((("lb_id_x_client_id4.P_lb_routing_4>=1")&&("server_id_x_clients_no1.P_lb_load_1>=1"))&&("server_id_x_clients_no6.P_lb_load_6>=1")))||((("lb_id_x_client_id0.P_lb_routing_0>=1")&&("server_id_x_clients_no2.P_lb_load_2>=1"))&&("server_id_x_clients_no7.P_lb_load_7>=1")))||((("lb_id_x_client_id1.P_lb_routing_1>=1")&&("server_id_x_clients_no2.P_lb_load_2>=1"))&&("server_id_x_clients_no7.P_lb_load_7>=1")))||((("lb_id_x_client_id2.P_lb_routing_2>=1")&&("server_id_x_clients_no2.P_lb_load_2>=1"))&&("server_id_x_clients_no7.P_lb_load_7>=1")))||((("lb_id_x_client_id3.P_lb_routing_3>=1")&&("server_id_x_clients_no2.P_lb_load_2>=1"))&&("server_id_x_clients_no7.P_lb_load_7>=1")))||((("lb_id_x_client_id4.P_lb_routing_4>=1")&&("server_id_x_clients_no2.P_lb_load_2>=1"))&&("server_id_x_clients_no7.P_lb_load_7>=1")))||((("lb_id_x_client_id0.P_lb_routing_0>=1")&&("server_id_x_clients_no3.P_lb_load_3>=1"))&&("server_id_x_clients_no8.P_lb_load_8>=1")))||((("lb_id_x_client_id1.P_lb_routing_1>=1")&&("server_id_x_clients_no3.P_lb_load_3>=1"))&&("server_id_x_clients_no8.P_lb_load_8>=1")))||((("lb_id_x_client_id2.P_lb_routing_2>=1")&&("server_id_x_clients_no3.P_lb_load_3>=1"))&&("server_id_x_clients_no8.P_lb_load_8>=1")))||((("lb_id_x_client_id3.P_lb_routing_3>=1")&&("server_id_x_clients_no3.P_lb_load_3>=1"))&&("server_id_x_clients_no8.P_lb_load_8>=1")))||((("lb_id_x_client_id4.P_lb_routing_4>=1")&&("server_id_x_clients_no3.P_lb_load_3>=1"))&&("server_id_x_clients_no8.P_lb_load_8>=1")))||((("lb_id_x_client_id0.P_lb_routing_0>=1")&&("server_id_x_clients_no4.P_lb_load_4>=1"))&&("server_id_x_clients_no9.P_lb_load_9>=1")))||((("lb_id_x_client_id1.P_lb_routing_1>=1")&&("server_id_x_clients_no4.P_lb_load_4>=1"))&&("server_id_x_clients_no9.P_lb_load_9>=1")))||((("lb_id_x_client_id2.P_lb_routing_2>=1")&&("server_id_x_clients_no4.P_lb_load_4>=1"))&&("server_id_x_clients_no9.P_lb_load_9>=1")))||((("lb_id_x_client_id3.P_lb_routing_3>=1")&&("server_id_x_clients_no4.P_lb_load_4>=1"))&&("server_id_x_clients_no9.P_lb_load_9>=1")))||((("lb_id_x_client_id4.P_lb_routing_4>=1")&&("server_id_x_clients_no4.P_lb_load_4>=1"))&&("server_id_x_clients_no9.P_lb_load_9>=1")))||((("lb_id_x_client_id0.P_lb_routing_0>=1")&&("server_id_x_clients_no5.P_lb_load_5>=1"))&&("server_id_x_clients_no10.P_lb_load_10>=1")))||((("lb_id_x_client_id1.P_lb_routing_1>=1")&&("server_id_x_clients_no5.P_lb_load_5>=1"))&&("server_id_x_clients_no10.P_lb_load_10>=1")))||((("lb_id_x_client_id2.P_lb_routing_2>=1")&&("server_id_x_clients_no5.P_lb_load_5>=1"))&&("server_id_x_clients_no10.P_lb_load_10>=1")))||((("lb_id_x_client_id3.P_lb_routing_3>=1")&&("server_id_x_clients_no5.P_lb_load_5>=1"))&&("server_id_x_clients_no10.P_lb_load_10>=1")))||((("lb_id_x_client_id4.P_lb_routing_4>=1")&&("server_id_x_clients_no5.P_lb_load_5>=1"))&&("server_id_x_clients_no10.P_lb_load_10>=1"))))U(F(X(F((((((((((((((((((((((("server_id_x_clients_no2.P_lb_load_2>=1")&&("server_id_x_clients_no6.P_lb_load_6>=1"))&&("client_id_x_server_id0.P_server_request_0>=1"))&&("P_lb_balancing.P_lb_balancing[0]>=1"))||(((("server_id_x_clients_no2.P_lb_load_2>=1")&&("server_id_x_clients_no6.P_lb_load_6>=1"))&&("client_id_x_server_id2.P_server_request_2>=1"))&&("P_lb_balancing.P_lb_balancing[0]>=1")))||(((("server_id_x_clients_no2.P_lb_load_2>=1")&&("server_id_x_clients_no6.P_lb_load_6>=1"))&&("client_id_x_server_id4.P_server_request_4>=1"))&&("P_lb_balancing.P_lb_balancing[0]>=1")))||(((("server_id_x_clients_no2.P_lb_load_2>=1")&&("server_id_x_clients_no6.P_lb_load_6>=1"))&&("client_id_x_server_id6.P_server_request_6>=1"))&&("P_lb_balancing.P_lb_balancing[0]>=1")))||(((("server_id_x_clients_no2.P_lb_load_2>=1")&&("server_id_x_clients_no6.P_lb_load_6>=1"))&&("client_id_x_server_id8.P_server_request_8>=1"))&&("P_lb_balancing.P_lb_balancing[0]>=1")))||(((("server_id_x_clients_no3.P_lb_load_3>=1")&&("server_id_x_clients_no7.P_lb_load_7>=1"))&&("client_id_x_server_id0.P_server_request_0>=1"))&&("P_lb_balancing.P_lb_balancing[0]>=1")))||(((("server_id_x_clients_no3.P_lb_load_3>=1")&&("server_id_x_clients_no7.P_lb_load_7>=1"))&&("client_id_x_server_id2.P_server_request_2>=1"))&&("P_lb_balancing.P_lb_balancing[0]>=1")))||(((("server_id_x_clients_no3.P_lb_load_3>=1")&&("server_id_x_clients_no7.P_lb_load_7>=1"))&&("client_id_x_server_id4.P_server_request_4>=1"))&&("P_lb_balancing.P_lb_balancing[0]>=1")))||(((("server_id_x_clients_no3.P_lb_load_3>=1")&&("server_id_x_clients_no7.P_lb_load_7>=1"))&&("client_id_x_server_id6.P_server_request_6>=1"))&&("P_lb_balancing.P_lb_balancing[0]>=1")))||(((("server_id_x_clients_no3.P_lb_load_3>=1")&&("server_id_x_clients_no7.P_lb_load_7>=1"))&&("client_id_x_server_id8.P_server_request_8>=1"))&&("P_lb_balancing.P_lb_balancing[0]>=1")))||(((("server_id_x_clients_no4.P_lb_load_4>=1")&&("server_id_x_clients_no8.P_lb_load_8>=1"))&&("client_id_x_server_id0.P_server_request_0>=1"))&&("P_lb_balancing.P_lb_balancing[0]>=1")))||(((("server_id_x_clients_no4.P_lb_load_4>=1")&&("server_id_x_clients_no8.P_lb_load_8>=1"))&&("client_id_x_server_id2.P_server_request_2>=1"))&&("P_lb_balancing.P_lb_balancing[0]>=1")))||(((("server_id_x_clients_no4.P_lb_load_4>=1")&&("server_id_x_clients_no8.P_lb_load_8>=1"))&&("client_id_x_server_id4.P_server_request_4>=1"))&&("P_lb_balancing.P_lb_balancing[0]>=1")))||(((("server_id_x_clients_no4.P_lb_load_4>=1")&&("server_id_x_clients_no8.P_lb_load_8>=1"))&&("client_id_x_server_id6.P_server_request_6>=1"))&&("P_lb_balancing.P_lb_balancing[0]>=1")))||(((("server_id_x_clients_no4.P_lb_load_4>=1")&&("server_id_x_clients_no8.P_lb_load_8>=1"))&&("client_id_x_server_id8.P_server_request_8>=1"))&&("P_lb_balancing.P_lb_balancing[0]>=1")))||(((("server_id_x_clients_no5.P_lb_load_5>=1")&&("server_id_x_clients_no9.P_lb_load_9>=1"))&&("client_id_x_server_id0.P_server_request_0>=1"))&&("P_lb_balancing.P_lb_balancing[0]>=1")))||(((("server_id_x_clients_no5.P_lb_load_5>=1")&&("server_id_x_clients_no9.P_lb_load_9>=1"))&&("client_id_x_server_id2.P_server_request_2>=1"))&&("P_lb_balancing.P_lb_balancing[0]>=1")))||(((("server_id_x_clients_no5.P_lb_load_5>=1")&&("server_id_x_clients_no9.P_lb_load_9>=1"))&&("client_id_x_server_id4.P_server_request_4>=1"))&&("P_lb_balancing.P_lb_balancing[0]>=1")))||(((("server_id_x_clients_no5.P_lb_load_5>=1")&&("server_id_x_clients_no9.P_lb_load_9>=1"))&&("client_id_x_server_id6.P_server_request_6>=1"))&&("P_lb_balancing.P_lb_balancing[0]>=1")))||(((("server_id_x_clients_no5.P_lb_load_5>=1")&&("server_id_x_clients_no9.P_lb_load_9>=1"))&&("client_id_x_server_id8.P_server_request_8>=1"))&&("P_lb_balancing.P_lb_balancing[0]>=1"))))))))
Formula 3 simplified : !(G(("lb_id_x_client_id0.P_lb_routing_0>=1" & "server_id_x_clients_no1.P_lb_load_1>=1" & "server_id_x_clients_no6.P_lb_load_6>=1") | ("lb_id_x_client_id1.P_lb_routing_1>=1" & "server_id_x_clients_no1.P_lb_load_1>=1" & "server_id_x_clients_no6.P_lb_load_6>=1") | ("lb_id_x_client_id2.P_lb_routing_2>=1" & "server_id_x_clients_no1.P_lb_load_1>=1" & "server_id_x_clients_no6.P_lb_load_6>=1") | ("lb_id_x_client_id3.P_lb_routing_3>=1" & "server_id_x_clients_no1.P_lb_load_1>=1" & "server_id_x_clients_no6.P_lb_load_6>=1") | ("lb_id_x_client_id4.P_lb_routing_4>=1" & "server_id_x_clients_no1.P_lb_load_1>=1" & "server_id_x_clients_no6.P_lb_load_6>=1") | ("lb_id_x_client_id0.P_lb_routing_0>=1" & "server_id_x_clients_no2.P_lb_load_2>=1" & "server_id_x_clients_no7.P_lb_load_7>=1") | ("lb_id_x_client_id1.P_lb_routing_1>=1" & "server_id_x_clients_no2.P_lb_load_2>=1" & "server_id_x_clients_no7.P_lb_load_7>=1") | ("lb_id_x_client_id2.P_lb_routing_2>=1" & "server_id_x_clients_no2.P_lb_load_2>=1" & "server_id_x_clients_no7.P_lb_load_7>=1") | ("lb_id_x_client_id3.P_lb_routing_3>=1" & "server_id_x_clients_no2.P_lb_load_2>=1" & "server_id_x_clients_no7.P_lb_load_7>=1") | ("lb_id_x_client_id4.P_lb_routing_4>=1" & "server_id_x_clients_no2.P_lb_load_2>=1" & "server_id_x_clients_no7.P_lb_load_7>=1") | ("lb_id_x_client_id0.P_lb_routing_0>=1" & "server_id_x_clients_no3.P_lb_load_3>=1" & "server_id_x_clients_no8.P_lb_load_8>=1") | ("lb_id_x_client_id1.P_lb_routing_1>=1" & "server_id_x_clients_no3.P_lb_load_3>=1" & "server_id_x_clients_no8.P_lb_load_8>=1") | ("lb_id_x_client_id2.P_lb_routing_2>=1" & "server_id_x_clients_no3.P_lb_load_3>=1" & "server_id_x_clients_no8.P_lb_load_8>=1") | ("lb_id_x_client_id3.P_lb_routing_3>=1" & "server_id_x_clients_no3.P_lb_load_3>=1" & "server_id_x_clients_no8.P_lb_load_8>=1") | ("lb_id_x_client_id4.P_lb_routing_4>=1" & "server_id_x_clients_no3.P_lb_load_3>=1" & "server_id_x_clients_no8.P_lb_load_8>=1") | ("lb_id_x_client_id0.P_lb_routing_0>=1" & "server_id_x_clients_no4.P_lb_load_4>=1" & "server_id_x_clients_no9.P_lb_load_9>=1") | ("lb_id_x_client_id1.P_lb_routing_1>=1" & "server_id_x_clients_no4.P_lb_load_4>=1" & "server_id_x_clients_no9.P_lb_load_9>=1") | ("lb_id_x_client_id2.P_lb_routing_2>=1" & "server_id_x_clients_no4.P_lb_load_4>=1" & "server_id_x_clients_no9.P_lb_load_9>=1") | ("lb_id_x_client_id3.P_lb_routing_3>=1" & "server_id_x_clients_no4.P_lb_load_4>=1" & "server_id_x_clients_no9.P_lb_load_9>=1") | ("lb_id_x_client_id4.P_lb_routing_4>=1" & "server_id_x_clients_no4.P_lb_load_4>=1" & "server_id_x_clients_no9.P_lb_load_9>=1") | ("lb_id_x_client_id0.P_lb_routing_0>=1" & "server_id_x_clients_no5.P_lb_load_5>=1" & "server_id_x_clients_no10.P_lb_load_10>=1") | ("lb_id_x_client_id1.P_lb_routing_1>=1" & "server_id_x_clients_no5.P_lb_load_5>=1" & "server_id_x_clients_no10.P_lb_load_10>=1") | ("lb_id_x_client_id2.P_lb_routing_2>=1" & "server_id_x_clients_no5.P_lb_load_5>=1" & "server_id_x_clients_no10.P_lb_load_10>=1") | ("lb_id_x_client_id3.P_lb_routing_3>=1" & "server_id_x_clients_no5.P_lb_load_5>=1" & "server_id_x_clients_no10.P_lb_load_10>=1") | ("lb_id_x_client_id4.P_lb_routing_4>=1" & "server_id_x_clients_no5.P_lb_load_5>=1" & "server_id_x_clients_no10.P_lb_load_10>=1")) U FXF(("P_lb_balancing.P_lb_balancing[0]>=1" & "client_id_x_server_id0.P_server_request_0>=1" & "server_id_x_clients_no2.P_lb_load_2>=1" & "server_id_x_clients_no6.P_lb_load_6>=1") | ("P_lb_balancing.P_lb_balancing[0]>=1" & "client_id_x_server_id2.P_server_request_2>=1" & "server_id_x_clients_no2.P_lb_load_2>=1" & "server_id_x_clients_no6.P_lb_load_6>=1") | ("P_lb_balancing.P_lb_balancing[0]>=1" & "client_id_x_server_id4.P_server_request_4>=1" & "server_id_x_clients_no2.P_lb_load_2>=1" & "server_id_x_clients_no6.P_lb_load_6>=1") | ("P_lb_balancing.P_lb_balancing[0]>=1" & "client_id_x_server_id6.P_server_request_6>=1" & "server_id_x_clients_no2.P_lb_load_2>=1" & "server_id_x_clients_no6.P_lb_load_6>=1") | ("P_lb_balancing.P_lb_balancing[0]>=1" & "client_id_x_server_id8.P_server_request_8>=1" & "server_id_x_clients_no2.P_lb_load_2>=1" & "server_id_x_clients_no6.P_lb_load_6>=1") | ("P_lb_balancing.P_lb_balancing[0]>=1" & "client_id_x_server_id0.P_server_request_0>=1" & "server_id_x_clients_no3.P_lb_load_3>=1" & "server_id_x_clients_no7.P_lb_load_7>=1") | ("P_lb_balancing.P_lb_balancing[0]>=1" & "client_id_x_server_id2.P_server_request_2>=1" & "server_id_x_clients_no3.P_lb_load_3>=1" & "server_id_x_clients_no7.P_lb_load_7>=1") | ("P_lb_balancing.P_lb_balancing[0]>=1" & "client_id_x_server_id4.P_server_request_4>=1" & "server_id_x_clients_no3.P_lb_load_3>=1" & "server_id_x_clients_no7.P_lb_load_7>=1") | ("P_lb_balancing.P_lb_balancing[0]>=1" & "client_id_x_server_id6.P_server_request_6>=1" & "server_id_x_clients_no3.P_lb_load_3>=1" & "server_id_x_clients_no7.P_lb_load_7>=1") | ("P_lb_balancing.P_lb_balancing[0]>=1" & "client_id_x_server_id8.P_server_request_8>=1" & "server_id_x_clients_no3.P_lb_load_3>=1" & "server_id_x_clients_no7.P_lb_load_7>=1") | ("P_lb_balancing.P_lb_balancing[0]>=1" & "client_id_x_server_id0.P_server_request_0>=1" & "server_id_x_clients_no4.P_lb_load_4>=1" & "server_id_x_clients_no8.P_lb_load_8>=1") | ("P_lb_balancing.P_lb_balancing[0]>=1" & "client_id_x_server_id2.P_server_request_2>=1" & "server_id_x_clients_no4.P_lb_load_4>=1" & "server_id_x_clients_no8.P_lb_load_8>=1") | ("P_lb_balancing.P_lb_balancing[0]>=1" & "client_id_x_server_id4.P_server_request_4>=1" & "server_id_x_clients_no4.P_lb_load_4>=1" & "server_id_x_clients_no8.P_lb_load_8>=1") | ("P_lb_balancing.P_lb_balancing[0]>=1" & "client_id_x_server_id6.P_server_request_6>=1" & "server_id_x_clients_no4.P_lb_load_4>=1" & "server_id_x_clients_no8.P_lb_load_8>=1") | ("P_lb_balancing.P_lb_balancing[0]>=1" & "client_id_x_server_id8.P_server_request_8>=1" & "server_id_x_clients_no4.P_lb_load_4>=1" & "server_id_x_clients_no8.P_lb_load_8>=1") | ("P_lb_balancing.P_lb_balancing[0]>=1" & "client_id_x_server_id0.P_server_request_0>=1" & "server_id_x_clients_no5.P_lb_load_5>=1" & "server_id_x_clients_no9.P_lb_load_9>=1") | ("P_lb_balancing.P_lb_balancing[0]>=1" & "client_id_x_server_id2.P_server_request_2>=1" & "server_id_x_clients_no5.P_lb_load_5>=1" & "server_id_x_clients_no9.P_lb_load_9>=1") | ("P_lb_balancing.P_lb_balancing[0]>=1" & "client_id_x_server_id4.P_server_request_4>=1" & "server_id_x_clients_no5.P_lb_load_5>=1" & "server_id_x_clients_no9.P_lb_load_9>=1") | ("P_lb_balancing.P_lb_balancing[0]>=1" & "client_id_x_server_id6.P_server_request_6>=1" & "server_id_x_clients_no5.P_lb_load_5>=1" & "server_id_x_clients_no9.P_lb_load_9>=1") | ("P_lb_balancing.P_lb_balancing[0]>=1" & "client_id_x_server_id8.P_server_request_8>=1" & "server_id_x_clients_no5.P_lb_load_5>=1" & "server_id_x_clients_no9.P_lb_load_9>=1")))
3 unique states visited
3 strongly connected components in search stack
3 transitions explored
3 items max in DFS search stack
24 ticks for the emptiness check
Model ,|S| ,Time ,Mem(kb) ,fin. SDD ,fin. DDD ,peak SDD ,peak DDD ,SDD Hom ,SDD cache peak ,DDD Hom ,DDD cachepeak ,SHom cache
STATS,0,407.297,669272,1,0,1.11451e+06,503,3805,772737,210,478,3219185
an accepting run exists (use option '-e' to print it)
Formula 3 is FALSE accepting run found.
FORMULA SimpleLoadBal-COL-05-LTLFireability-3 FALSE TECHNIQUES DECISION_DIAGRAMS TOPOLOGICAL USE_NUPN
Checking formula 4 : !((X(G(G((((((((((((((((((((((("server_id_x_clients_no0.P_lb_load_0>=1")&&("server_id_x_clients_no8.P_lb_load_8>=1"))&&("P_lb_balancing.P_lb_balancing[0]>=1"))&&("client_id_x_server_id1.P_server_request_1>=1"))||(((("server_id_x_clients_no0.P_lb_load_0>=1")&&("server_id_x_clients_no8.P_lb_load_8>=1"))&&("P_lb_balancing.P_lb_balancing[0]>=1"))&&("client_id_x_server_id3.P_server_request_3>=1")))||(((("server_id_x_clients_no0.P_lb_load_0>=1")&&("server_id_x_clients_no8.P_lb_load_8>=1"))&&("P_lb_balancing.P_lb_balancing[0]>=1"))&&("client_id_x_server_id5.P_server_request_5>=1")))||(((("server_id_x_clients_no0.P_lb_load_0>=1")&&("server_id_x_clients_no8.P_lb_load_8>=1"))&&("P_lb_balancing.P_lb_balancing[0]>=1"))&&("client_id_x_server_id7.P_server_request_7>=1")))||(((("server_id_x_clients_no0.P_lb_load_0>=1")&&("server_id_x_clients_no8.P_lb_load_8>=1"))&&("P_lb_balancing.P_lb_balancing[0]>=1"))&&("client_id_x_server_id9.P_server_request_9>=1")))||(((("server_id_x_clients_no1.P_lb_load_1>=1")&&("server_id_x_clients_no9.P_lb_load_9>=1"))&&("P_lb_balancing.P_lb_balancing[0]>=1"))&&("client_id_x_server_id1.P_server_request_1>=1")))||(((("server_id_x_clients_no1.P_lb_load_1>=1")&&("server_id_x_clients_no9.P_lb_load_9>=1"))&&("P_lb_balancing.P_lb_balancing[0]>=1"))&&("client_id_x_server_id3.P_server_request_3>=1")))||(((("server_id_x_clients_no1.P_lb_load_1>=1")&&("server_id_x_clients_no9.P_lb_load_9>=1"))&&("P_lb_balancing.P_lb_balancing[0]>=1"))&&("client_id_x_server_id5.P_server_request_5>=1")))||(((("server_id_x_clients_no1.P_lb_load_1>=1")&&("server_id_x_clients_no9.P_lb_load_9>=1"))&&("P_lb_balancing.P_lb_balancing[0]>=1"))&&("client_id_x_server_id7.P_server_request_7>=1")))||(((("server_id_x_clients_no1.P_lb_load_1>=1")&&("server_id_x_clients_no9.P_lb_load_9>=1"))&&("P_lb_balancing.P_lb_balancing[0]>=1"))&&("client_id_x_server_id9.P_server_request_9>=1")))||(((("server_id_x_clients_no2.P_lb_load_2>=1")&&("server_id_x_clients_no10.P_lb_load_10>=1"))&&("P_lb_balancing.P_lb_balancing[0]>=1"))&&("client_id_x_server_id1.P_server_request_1>=1")))||(((("server_id_x_clients_no2.P_lb_load_2>=1")&&("server_id_x_clients_no10.P_lb_load_10>=1"))&&("P_lb_balancing.P_lb_balancing[0]>=1"))&&("client_id_x_server_id3.P_server_request_3>=1")))||(((("server_id_x_clients_no2.P_lb_load_2>=1")&&("server_id_x_clients_no10.P_lb_load_10>=1"))&&("P_lb_balancing.P_lb_balancing[0]>=1"))&&("client_id_x_server_id5.P_server_request_5>=1")))||(((("server_id_x_clients_no2.P_lb_load_2>=1")&&("server_id_x_clients_no10.P_lb_load_10>=1"))&&("P_lb_balancing.P_lb_balancing[0]>=1"))&&("client_id_x_server_id7.P_server_request_7>=1")))||(((("server_id_x_clients_no2.P_lb_load_2>=1")&&("server_id_x_clients_no10.P_lb_load_10>=1"))&&("P_lb_balancing.P_lb_balancing[0]>=1"))&&("client_id_x_server_id9.P_server_request_9>=1")))||(((("server_id_x_clients_no3.P_lb_load_3>=1")&&("server_id_x_clients_no11.P_lb_load_11>=1"))&&("P_lb_balancing.P_lb_balancing[0]>=1"))&&("client_id_x_server_id1.P_server_request_1>=1")))||(((("server_id_x_clients_no3.P_lb_load_3>=1")&&("server_id_x_clients_no11.P_lb_load_11>=1"))&&("P_lb_balancing.P_lb_balancing[0]>=1"))&&("client_id_x_server_id3.P_server_request_3>=1")))||(((("server_id_x_clients_no3.P_lb_load_3>=1")&&("server_id_x_clients_no11.P_lb_load_11>=1"))&&("P_lb_balancing.P_lb_balancing[0]>=1"))&&("client_id_x_server_id5.P_server_request_5>=1")))||(((("server_id_x_clients_no3.P_lb_load_3>=1")&&("server_id_x_clients_no11.P_lb_load_11>=1"))&&("P_lb_balancing.P_lb_balancing[0]>=1"))&&("client_id_x_server_id7.P_server_request_7>=1")))||(((("server_id_x_clients_no3.P_lb_load_3>=1")&&("server_id_x_clients_no11.P_lb_load_11>=1"))&&("P_lb_balancing.P_lb_balancing[0]>=1"))&&("client_id_x_server_id9.P_server_request_9>=1")))))))
Formula 4 simplified : !XG(("P_lb_balancing.P_lb_balancing[0]>=1" & "client_id_x_server_id1.P_server_request_1>=1" & "server_id_x_clients_no0.P_lb_load_0>=1" & "server_id_x_clients_no8.P_lb_load_8>=1") | ("P_lb_balancing.P_lb_balancing[0]>=1" & "client_id_x_server_id3.P_server_request_3>=1" & "server_id_x_clients_no0.P_lb_load_0>=1" & "server_id_x_clients_no8.P_lb_load_8>=1") | ("P_lb_balancing.P_lb_balancing[0]>=1" & "client_id_x_server_id5.P_server_request_5>=1" & "server_id_x_clients_no0.P_lb_load_0>=1" & "server_id_x_clients_no8.P_lb_load_8>=1") | ("P_lb_balancing.P_lb_balancing[0]>=1" & "client_id_x_server_id7.P_server_request_7>=1" & "server_id_x_clients_no0.P_lb_load_0>=1" & "server_id_x_clients_no8.P_lb_load_8>=1") | ("P_lb_balancing.P_lb_balancing[0]>=1" & "client_id_x_server_id9.P_server_request_9>=1" & "server_id_x_clients_no0.P_lb_load_0>=1" & "server_id_x_clients_no8.P_lb_load_8>=1") | ("P_lb_balancing.P_lb_balancing[0]>=1" & "client_id_x_server_id1.P_server_request_1>=1" & "server_id_x_clients_no1.P_lb_load_1>=1" & "server_id_x_clients_no9.P_lb_load_9>=1") | ("P_lb_balancing.P_lb_balancing[0]>=1" & "client_id_x_server_id3.P_server_request_3>=1" & "server_id_x_clients_no1.P_lb_load_1>=1" & "server_id_x_clients_no9.P_lb_load_9>=1") | ("P_lb_balancing.P_lb_balancing[0]>=1" & "client_id_x_server_id5.P_server_request_5>=1" & "server_id_x_clients_no1.P_lb_load_1>=1" & "server_id_x_clients_no9.P_lb_load_9>=1") | ("P_lb_balancing.P_lb_balancing[0]>=1" & "client_id_x_server_id7.P_server_request_7>=1" & "server_id_x_clients_no1.P_lb_load_1>=1" & "server_id_x_clients_no9.P_lb_load_9>=1") | ("P_lb_balancing.P_lb_balancing[0]>=1" & "client_id_x_server_id9.P_server_request_9>=1" & "server_id_x_clients_no1.P_lb_load_1>=1" & "server_id_x_clients_no9.P_lb_load_9>=1") | ("P_lb_balancing.P_lb_balancing[0]>=1" & "client_id_x_server_id1.P_server_request_1>=1" & "server_id_x_clients_no2.P_lb_load_2>=1" & "server_id_x_clients_no10.P_lb_load_10>=1") | ("P_lb_balancing.P_lb_balancing[0]>=1" & "client_id_x_server_id3.P_server_request_3>=1" & "server_id_x_clients_no2.P_lb_load_2>=1" & "server_id_x_clients_no10.P_lb_load_10>=1") | ("P_lb_balancing.P_lb_balancing[0]>=1" & "client_id_x_server_id5.P_server_request_5>=1" & "server_id_x_clients_no2.P_lb_load_2>=1" & "server_id_x_clients_no10.P_lb_load_10>=1") | ("P_lb_balancing.P_lb_balancing[0]>=1" & "client_id_x_server_id7.P_server_request_7>=1" & "server_id_x_clients_no2.P_lb_load_2>=1" & "server_id_x_clients_no10.P_lb_load_10>=1") | ("P_lb_balancing.P_lb_balancing[0]>=1" & "client_id_x_server_id9.P_server_request_9>=1" & "server_id_x_clients_no2.P_lb_load_2>=1" & "server_id_x_clients_no10.P_lb_load_10>=1") | ("P_lb_balancing.P_lb_balancing[0]>=1" & "client_id_x_server_id1.P_server_request_1>=1" & "server_id_x_clients_no3.P_lb_load_3>=1" & "server_id_x_clients_no11.P_lb_load_11>=1") | ("P_lb_balancing.P_lb_balancing[0]>=1" & "client_id_x_server_id3.P_server_request_3>=1" & "server_id_x_clients_no3.P_lb_load_3>=1" & "server_id_x_clients_no11.P_lb_load_11>=1") | ("P_lb_balancing.P_lb_balancing[0]>=1" & "client_id_x_server_id5.P_server_request_5>=1" & "server_id_x_clients_no3.P_lb_load_3>=1" & "server_id_x_clients_no11.P_lb_load_11>=1") | ("P_lb_balancing.P_lb_balancing[0]>=1" & "client_id_x_server_id7.P_server_request_7>=1" & "server_id_x_clients_no3.P_lb_load_3>=1" & "server_id_x_clients_no11.P_lb_load_11>=1") | ("P_lb_balancing.P_lb_balancing[0]>=1" & "client_id_x_server_id9.P_server_request_9>=1" & "server_id_x_clients_no3.P_lb_load_3>=1" & "server_id_x_clients_no11.P_lb_load_11>=1"))
4 unique states visited
4 strongly connected components in search stack
4 transitions explored
4 items max in DFS search stack
3 ticks for the emptiness check
Model ,|S| ,Time ,Mem(kb) ,fin. SDD ,fin. DDD ,peak SDD ,peak DDD ,SDD Hom ,SDD cache peak ,DDD Hom ,DDD cachepeak ,SHom cache
STATS,0,407.746,669272,1,0,1.11568e+06,503,3974,773711,210,478,3222804
an accepting run exists (use option '-e' to print it)
Formula 4 is FALSE accepting run found.
FORMULA SimpleLoadBal-COL-05-LTLFireability-4 FALSE TECHNIQUES DECISION_DIAGRAMS TOPOLOGICAL USE_NUPN
Checking formula 5 : !((G(G((X((((((((((((((((((((((((((((((((((((((((((((((((((((((((("lb_id_x_client_id0.P_lb_routing_0>=1")&&("server_id_x_clients_no6.P_lb_load_6>=1"))&&("server_id_x_clients_no0.P_lb_load_0>=1"))||((("lb_id_x_client_id0.P_lb_routing_0>=1")&&("server_id_x_clients_no7.P_lb_load_7>=1"))&&("server_id_x_clients_no0.P_lb_load_0>=1")))||((("lb_id_x_client_id1.P_lb_routing_1>=1")&&("server_id_x_clients_no6.P_lb_load_6>=1"))&&("server_id_x_clients_no0.P_lb_load_0>=1")))||((("lb_id_x_client_id1.P_lb_routing_1>=1")&&("server_id_x_clients_no7.P_lb_load_7>=1"))&&("server_id_x_clients_no0.P_lb_load_0>=1")))||((("lb_id_x_client_id2.P_lb_routing_2>=1")&&("server_id_x_clients_no6.P_lb_load_6>=1"))&&("server_id_x_clients_no0.P_lb_load_0>=1")))||((("lb_id_x_client_id2.P_lb_routing_2>=1")&&("server_id_x_clients_no7.P_lb_load_7>=1"))&&("server_id_x_clients_no0.P_lb_load_0>=1")))||((("lb_id_x_client_id3.P_lb_routing_3>=1")&&("server_id_x_clients_no6.P_lb_load_6>=1"))&&("server_id_x_clients_no0.P_lb_load_0>=1")))||((("lb_id_x_client_id3.P_lb_routing_3>=1")&&("server_id_x_clients_no7.P_lb_load_7>=1"))&&("server_id_x_clients_no0.P_lb_load_0>=1")))||((("lb_id_x_client_id4.P_lb_routing_4>=1")&&("server_id_x_clients_no6.P_lb_load_6>=1"))&&("server_id_x_clients_no0.P_lb_load_0>=1")))||((("lb_id_x_client_id4.P_lb_routing_4>=1")&&("server_id_x_clients_no7.P_lb_load_7>=1"))&&("server_id_x_clients_no0.P_lb_load_0>=1")))||((("lb_id_x_client_id0.P_lb_routing_0>=1")&&("server_id_x_clients_no7.P_lb_load_7>=1"))&&("server_id_x_clients_no1.P_lb_load_1>=1")))||((("lb_id_x_client_id0.P_lb_routing_0>=1")&&("server_id_x_clients_no8.P_lb_load_8>=1"))&&("server_id_x_clients_no1.P_lb_load_1>=1")))||((("lb_id_x_client_id1.P_lb_routing_1>=1")&&("server_id_x_clients_no7.P_lb_load_7>=1"))&&("server_id_x_clients_no1.P_lb_load_1>=1")))||((("lb_id_x_client_id1.P_lb_routing_1>=1")&&("server_id_x_clients_no8.P_lb_load_8>=1"))&&("server_id_x_clients_no1.P_lb_load_1>=1")))||((("lb_id_x_client_id2.P_lb_routing_2>=1")&&("server_id_x_clients_no7.P_lb_load_7>=1"))&&("server_id_x_clients_no1.P_lb_load_1>=1")))||((("lb_id_x_client_id2.P_lb_routing_2>=1")&&("server_id_x_clients_no8.P_lb_load_8>=1"))&&("server_id_x_clients_no1.P_lb_load_1>=1")))||((("lb_id_x_client_id3.P_lb_routing_3>=1")&&("server_id_x_clients_no7.P_lb_load_7>=1"))&&("server_id_x_clients_no1.P_lb_load_1>=1")))||((("lb_id_x_client_id3.P_lb_routing_3>=1")&&("server_id_x_clients_no8.P_lb_load_8>=1"))&&("server_id_x_clients_no1.P_lb_load_1>=1")))||((("lb_id_x_client_id4.P_lb_routing_4>=1")&&("server_id_x_clients_no7.P_lb_load_7>=1"))&&("server_id_x_clients_no1.P_lb_load_1>=1")))||((("lb_id_x_client_id4.P_lb_routing_4>=1")&&("server_id_x_clients_no8.P_lb_load_8>=1"))&&("server_id_x_clients_no1.P_lb_load_1>=1")))||((("lb_id_x_client_id0.P_lb_routing_0>=1")&&("server_id_x_clients_no8.P_lb_load_8>=1"))&&("server_id_x_clients_no2.P_lb_load_2>=1")))||((("lb_id_x_client_id0.P_lb_routing_0>=1")&&("server_id_x_clients_no9.P_lb_load_9>=1"))&&("server_id_x_clients_no2.P_lb_load_2>=1")))||((("lb_id_x_client_id1.P_lb_routing_1>=1")&&("server_id_x_clients_no8.P_lb_load_8>=1"))&&("server_id_x_clients_no2.P_lb_load_2>=1")))||((("lb_id_x_client_id1.P_lb_routing_1>=1")&&("server_id_x_clients_no9.P_lb_load_9>=1"))&&("server_id_x_clients_no2.P_lb_load_2>=1")))||((("lb_id_x_client_id2.P_lb_routing_2>=1")&&("server_id_x_clients_no8.P_lb_load_8>=1"))&&("server_id_x_clients_no2.P_lb_load_2>=1")))||((("lb_id_x_client_id2.P_lb_routing_2>=1")&&("server_id_x_clients_no9.P_lb_load_9>=1"))&&("server_id_x_clients_no2.P_lb_load_2>=1")))||((("lb_id_x_client_id3.P_lb_routing_3>=1")&&("server_id_x_clients_no8.P_lb_load_8>=1"))&&("server_id_x_clients_no2.P_lb_load_2>=1")))||((("lb_id_x_client_id3.P_lb_routing_3>=1")&&("server_id_x_clients_no9.P_lb_load_9>=1"))&&("server_id_x_clients_no2.P_lb_load_2>=1")))||((("lb_id_x_client_id4.P_lb_routing_4>=1")&&("server_id_x_clients_no8.P_lb_load_8>=1"))&&("server_id_x_clients_no2.P_lb_load_2>=1")))||((("lb_id_x_client_id4.P_lb_routing_4>=1")&&("server_id_x_clients_no9.P_lb_load_9>=1"))&&("server_id_x_clients_no2.P_lb_load_2>=1")))||((("lb_id_x_client_id0.P_lb_routing_0>=1")&&("server_id_x_clients_no9.P_lb_load_9>=1"))&&("server_id_x_clients_no3.P_lb_load_3>=1")))||((("lb_id_x_client_id0.P_lb_routing_0>=1")&&("server_id_x_clients_no10.P_lb_load_10>=1"))&&("server_id_x_clients_no3.P_lb_load_3>=1")))||((("lb_id_x_client_id1.P_lb_routing_1>=1")&&("server_id_x_clients_no9.P_lb_load_9>=1"))&&("server_id_x_clients_no3.P_lb_load_3>=1")))||((("lb_id_x_client_id1.P_lb_routing_1>=1")&&("server_id_x_clients_no10.P_lb_load_10>=1"))&&("server_id_x_clients_no3.P_lb_load_3>=1")))||((("lb_id_x_client_id2.P_lb_routing_2>=1")&&("server_id_x_clients_no9.P_lb_load_9>=1"))&&("server_id_x_clients_no3.P_lb_load_3>=1")))||((("lb_id_x_client_id2.P_lb_routing_2>=1")&&("server_id_x_clients_no10.P_lb_load_10>=1"))&&("server_id_x_clients_no3.P_lb_load_3>=1")))||((("lb_id_x_client_id3.P_lb_routing_3>=1")&&("server_id_x_clients_no9.P_lb_load_9>=1"))&&("server_id_x_clients_no3.P_lb_load_3>=1")))||((("lb_id_x_client_id3.P_lb_routing_3>=1")&&("server_id_x_clients_no10.P_lb_load_10>=1"))&&("server_id_x_clients_no3.P_lb_load_3>=1")))||((("lb_id_x_client_id4.P_lb_routing_4>=1")&&("server_id_x_clients_no9.P_lb_load_9>=1"))&&("server_id_x_clients_no3.P_lb_load_3>=1")))||((("lb_id_x_client_id4.P_lb_routing_4>=1")&&("server_id_x_clients_no10.P_lb_load_10>=1"))&&("server_id_x_clients_no3.P_lb_load_3>=1")))||((("lb_id_x_client_id0.P_lb_routing_0>=1")&&("server_id_x_clients_no10.P_lb_load_10>=1"))&&("server_id_x_clients_no4.P_lb_load_4>=1")))||((("lb_id_x_client_id0.P_lb_routing_0>=1")&&("server_id_x_clients_no11.P_lb_load_11>=1"))&&("server_id_x_clients_no4.P_lb_load_4>=1")))||((("lb_id_x_client_id1.P_lb_routing_1>=1")&&("server_id_x_clients_no10.P_lb_load_10>=1"))&&("server_id_x_clients_no4.P_lb_load_4>=1")))||((("lb_id_x_client_id1.P_lb_routing_1>=1")&&("server_id_x_clients_no11.P_lb_load_11>=1"))&&("server_id_x_clients_no4.P_lb_load_4>=1")))||((("lb_id_x_client_id2.P_lb_routing_2>=1")&&("server_id_x_clients_no10.P_lb_load_10>=1"))&&("server_id_x_clients_no4.P_lb_load_4>=1")))||((("lb_id_x_client_id2.P_lb_routing_2>=1")&&("server_id_x_clients_no11.P_lb_load_11>=1"))&&("server_id_x_clients_no4.P_lb_load_4>=1")))||((("lb_id_x_client_id3.P_lb_routing_3>=1")&&("server_id_x_clients_no10.P_lb_load_10>=1"))&&("server_id_x_clients_no4.P_lb_load_4>=1")))||((("lb_id_x_client_id3.P_lb_routing_3>=1")&&("server_id_x_clients_no11.P_lb_load_11>=1"))&&("server_id_x_clients_no4.P_lb_load_4>=1")))||((("lb_id_x_client_id4.P_lb_routing_4>=1")&&("server_id_x_clients_no10.P_lb_load_10>=1"))&&("server_id_x_clients_no4.P_lb_load_4>=1")))||((("lb_id_x_client_id4.P_lb_routing_4>=1")&&("server_id_x_clients_no11.P_lb_load_11>=1"))&&("server_id_x_clients_no4.P_lb_load_4>=1")))||((("lb_id_x_client_id0.P_lb_routing_0>=1")&&("server_id_x_clients_no11.P_lb_load_11>=1"))&&("server_id_x_clients_no5.P_lb_load_5>=1")))||((("lb_id_x_client_id1.P_lb_routing_1>=1")&&("server_id_x_clients_no11.P_lb_load_11>=1"))&&("server_id_x_clients_no5.P_lb_load_5>=1")))||((("lb_id_x_client_id2.P_lb_routing_2>=1")&&("server_id_x_clients_no11.P_lb_load_11>=1"))&&("server_id_x_clients_no5.P_lb_load_5>=1")))||((("lb_id_x_client_id3.P_lb_routing_3>=1")&&("server_id_x_clients_no11.P_lb_load_11>=1"))&&("server_id_x_clients_no5.P_lb_load_5>=1")))||((("lb_id_x_client_id4.P_lb_routing_4>=1")&&("server_id_x_clients_no11.P_lb_load_11>=1"))&&("server_id_x_clients_no5.P_lb_load_5>=1"))))U(G((((((((((((((((((((((((((("lb_id_x_client_id0.P_lb_routing_0>=1")&&("server_id_x_clients_no1.P_lb_load_1>=1"))&&("server_id_x_clients_no6.P_lb_load_6>=1"))||((("lb_id_x_client_id1.P_lb_routing_1>=1")&&("server_id_x_clients_no1.P_lb_load_1>=1"))&&("server_id_x_clients_no6.P_lb_load_6>=1")))||((("lb_id_x_client_id2.P_lb_routing_2>=1")&&("server_id_x_clients_no1.P_lb_load_1>=1"))&&("server_id_x_clients_no6.P_lb_load_6>=1")))||((("lb_id_x_client_id3.P_lb_routing_3>=1")&&("server_id_x_clients_no1.P_lb_load_1>=1"))&&("server_id_x_clients_no6.P_lb_load_6>=1")))||((("lb_id_x_client_id4.P_lb_routing_4>=1")&&("server_id_x_clients_no1.P_lb_load_1>=1"))&&("server_id_x_clients_no6.P_lb_load_6>=1")))||((("lb_id_x_client_id0.P_lb_routing_0>=1")&&("server_id_x_clients_no2.P_lb_load_2>=1"))&&("server_id_x_clients_no7.P_lb_load_7>=1")))||((("lb_id_x_client_id1.P_lb_routing_1>=1")&&("server_id_x_clients_no2.P_lb_load_2>=1"))&&("server_id_x_clients_no7.P_lb_load_7>=1")))||((("lb_id_x_client_id2.P_lb_routing_2>=1")&&("server_id_x_clients_no2.P_lb_load_2>=1"))&&("server_id_x_clients_no7.P_lb_load_7>=1")))||((("lb_id_x_client_id3.P_lb_routing_3>=1")&&("server_id_x_clients_no2.P_lb_load_2>=1"))&&("server_id_x_clients_no7.P_lb_load_7>=1")))||((("lb_id_x_client_id4.P_lb_routing_4>=1")&&("server_id_x_clients_no2.P_lb_load_2>=1"))&&("server_id_x_clients_no7.P_lb_load_7>=1")))||((("lb_id_x_client_id0.P_lb_routing_0>=1")&&("server_id_x_clients_no3.P_lb_load_3>=1"))&&("server_id_x_clients_no8.P_lb_load_8>=1")))||((("lb_id_x_client_id1.P_lb_routing_1>=1")&&("server_id_x_clients_no3.P_lb_load_3>=1"))&&("server_id_x_clients_no8.P_lb_load_8>=1")))||((("lb_id_x_client_id2.P_lb_routing_2>=1")&&("server_id_x_clients_no3.P_lb_load_3>=1"))&&("server_id_x_clients_no8.P_lb_load_8>=1")))||((("lb_id_x_client_id3.P_lb_routing_3>=1")&&("server_id_x_clients_no3.P_lb_load_3>=1"))&&("server_id_x_clients_no8.P_lb_load_8>=1")))||((("lb_id_x_client_id4.P_lb_routing_4>=1")&&("server_id_x_clients_no3.P_lb_load_3>=1"))&&("server_id_x_clients_no8.P_lb_load_8>=1")))||((("lb_id_x_client_id0.P_lb_routing_0>=1")&&("server_id_x_clients_no4.P_lb_load_4>=1"))&&("server_id_x_clients_no9.P_lb_load_9>=1")))||((("lb_id_x_client_id1.P_lb_routing_1>=1")&&("server_id_x_clients_no4.P_lb_load_4>=1"))&&("server_id_x_clients_no9.P_lb_load_9>=1")))||((("lb_id_x_client_id2.P_lb_routing_2>=1")&&("server_id_x_clients_no4.P_lb_load_4>=1"))&&("server_id_x_clients_no9.P_lb_load_9>=1")))||((("lb_id_x_client_id3.P_lb_routing_3>=1")&&("server_id_x_clients_no4.P_lb_load_4>=1"))&&("server_id_x_clients_no9.P_lb_load_9>=1")))||((("lb_id_x_client_id4.P_lb_routing_4>=1")&&("server_id_x_clients_no4.P_lb_load_4>=1"))&&("server_id_x_clients_no9.P_lb_load_9>=1")))||((("lb_id_x_client_id0.P_lb_routing_0>=1")&&("server_id_x_clients_no5.P_lb_load_5>=1"))&&("server_id_x_clients_no10.P_lb_load_10>=1")))||((("lb_id_x_client_id1.P_lb_routing_1>=1")&&("server_id_x_clients_no5.P_lb_load_5>=1"))&&("server_id_x_clients_no10.P_lb_load_10>=1")))||((("lb_id_x_client_id2.P_lb_routing_2>=1")&&("server_id_x_clients_no5.P_lb_load_5>=1"))&&("server_id_x_clients_no10.P_lb_load_10>=1")))||((("lb_id_x_client_id3.P_lb_routing_3>=1")&&("server_id_x_clients_no5.P_lb_load_5>=1"))&&("server_id_x_clients_no10.P_lb_load_10>=1")))||((("lb_id_x_client_id4.P_lb_routing_4>=1")&&("server_id_x_clients_no5.P_lb_load_5>=1"))&&("server_id_x_clients_no10.P_lb_load_10>=1"))))))))
Formula 5 simplified : !G(X(("lb_id_x_client_id0.P_lb_routing_0>=1" & "server_id_x_clients_no0.P_lb_load_0>=1" & "server_id_x_clients_no6.P_lb_load_6>=1") | ("lb_id_x_client_id0.P_lb_routing_0>=1" & "server_id_x_clients_no0.P_lb_load_0>=1" & "server_id_x_clients_no7.P_lb_load_7>=1") | ("lb_id_x_client_id1.P_lb_routing_1>=1" & "server_id_x_clients_no0.P_lb_load_0>=1" & "server_id_x_clients_no6.P_lb_load_6>=1") | ("lb_id_x_client_id1.P_lb_routing_1>=1" & "server_id_x_clients_no0.P_lb_load_0>=1" & "server_id_x_clients_no7.P_lb_load_7>=1") | ("lb_id_x_client_id2.P_lb_routing_2>=1" & "server_id_x_clients_no0.P_lb_load_0>=1" & "server_id_x_clients_no6.P_lb_load_6>=1") | ("lb_id_x_client_id2.P_lb_routing_2>=1" & "server_id_x_clients_no0.P_lb_load_0>=1" & "server_id_x_clients_no7.P_lb_load_7>=1") | ("lb_id_x_client_id3.P_lb_routing_3>=1" & "server_id_x_clients_no0.P_lb_load_0>=1" & "server_id_x_clients_no6.P_lb_load_6>=1") | ("lb_id_x_client_id3.P_lb_routing_3>=1" & "server_id_x_clients_no0.P_lb_load_0>=1" & "server_id_x_clients_no7.P_lb_load_7>=1") | ("lb_id_x_client_id4.P_lb_routing_4>=1" & "server_id_x_clients_no0.P_lb_load_0>=1" & "server_id_x_clients_no6.P_lb_load_6>=1") | ("lb_id_x_client_id4.P_lb_routing_4>=1" & "server_id_x_clients_no0.P_lb_load_0>=1" & "server_id_x_clients_no7.P_lb_load_7>=1") | ("lb_id_x_client_id0.P_lb_routing_0>=1" & "server_id_x_clients_no1.P_lb_load_1>=1" & "server_id_x_clients_no7.P_lb_load_7>=1") | ("lb_id_x_client_id0.P_lb_routing_0>=1" & "server_id_x_clients_no1.P_lb_load_1>=1" & "server_id_x_clients_no8.P_lb_load_8>=1") | ("lb_id_x_client_id1.P_lb_routing_1>=1" & "server_id_x_clients_no1.P_lb_load_1>=1" & "server_id_x_clients_no7.P_lb_load_7>=1") | ("lb_id_x_client_id1.P_lb_routing_1>=1" & "server_id_x_clients_no1.P_lb_load_1>=1" & "server_id_x_clients_no8.P_lb_load_8>=1") | ("lb_id_x_client_id2.P_lb_routing_2>=1" & "server_id_x_clients_no1.P_lb_load_1>=1" & "server_id_x_clients_no7.P_lb_load_7>=1") | ("lb_id_x_client_id2.P_lb_routing_2>=1" & "server_id_x_clients_no1.P_lb_load_1>=1" & "server_id_x_clients_no8.P_lb_load_8>=1") | ("lb_id_x_client_id3.P_lb_routing_3>=1" & "server_id_x_clients_no1.P_lb_load_1>=1" & "server_id_x_clients_no7.P_lb_load_7>=1") | ("lb_id_x_client_id3.P_lb_routing_3>=1" & "server_id_x_clients_no1.P_lb_load_1>=1" & "server_id_x_clients_no8.P_lb_load_8>=1") | ("lb_id_x_client_id4.P_lb_routing_4>=1" & "server_id_x_clients_no1.P_lb_load_1>=1" & "server_id_x_clients_no7.P_lb_load_7>=1") | ("lb_id_x_client_id4.P_lb_routing_4>=1" & "server_id_x_clients_no1.P_lb_load_1>=1" & "server_id_x_clients_no8.P_lb_load_8>=1") | ("lb_id_x_client_id0.P_lb_routing_0>=1" & "server_id_x_clients_no2.P_lb_load_2>=1" & "server_id_x_clients_no8.P_lb_load_8>=1") | ("lb_id_x_client_id0.P_lb_routing_0>=1" & "server_id_x_clients_no2.P_lb_load_2>=1" & "server_id_x_clients_no9.P_lb_load_9>=1") | ("lb_id_x_client_id1.P_lb_routing_1>=1" & "server_id_x_clients_no2.P_lb_load_2>=1" & "server_id_x_clients_no8.P_lb_load_8>=1") | ("lb_id_x_client_id1.P_lb_routing_1>=1" & "server_id_x_clients_no2.P_lb_load_2>=1" & "server_id_x_clients_no9.P_lb_load_9>=1") | ("lb_id_x_client_id2.P_lb_routing_2>=1" & "server_id_x_clients_no2.P_lb_load_2>=1" & "server_id_x_clients_no8.P_lb_load_8>=1") | ("lb_id_x_client_id2.P_lb_routing_2>=1" & "server_id_x_clients_no2.P_lb_load_2>=1" & "server_id_x_clients_no9.P_lb_load_9>=1") | ("lb_id_x_client_id3.P_lb_routing_3>=1" & "server_id_x_clients_no2.P_lb_load_2>=1" & "server_id_x_clients_no8.P_lb_load_8>=1") | ("lb_id_x_client_id3.P_lb_routing_3>=1" & "server_id_x_clients_no2.P_lb_load_2>=1" & "server_id_x_clients_no9.P_lb_load_9>=1") | ("lb_id_x_client_id4.P_lb_routing_4>=1" & "server_id_x_clients_no2.P_lb_load_2>=1" & "server_id_x_clients_no8.P_lb_load_8>=1") | ("lb_id_x_client_id4.P_lb_routing_4>=1" & "server_id_x_clients_no2.P_lb_load_2>=1" & "server_id_x_clients_no9.P_lb_load_9>=1") | ("lb_id_x_client_id0.P_lb_routing_0>=1" & "server_id_x_clients_no3.P_lb_load_3>=1" & "server_id_x_clients_no9.P_lb_load_9>=1") | ("lb_id_x_client_id0.P_lb_routing_0>=1" & "server_id_x_clients_no3.P_lb_load_3>=1" & "server_id_x_clients_no10.P_lb_load_10>=1") | ("lb_id_x_client_id1.P_lb_routing_1>=1" & "server_id_x_clients_no3.P_lb_load_3>=1" & "server_id_x_clients_no9.P_lb_load_9>=1") | ("lb_id_x_client_id1.P_lb_routing_1>=1" & "server_id_x_clients_no3.P_lb_load_3>=1" & "server_id_x_clients_no10.P_lb_load_10>=1") | ("lb_id_x_client_id2.P_lb_routing_2>=1" & "server_id_x_clients_no3.P_lb_load_3>=1" & "server_id_x_clients_no9.P_lb_load_9>=1") | ("lb_id_x_client_id2.P_lb_routing_2>=1" & "server_id_x_clients_no3.P_lb_load_3>=1" & "server_id_x_clients_no10.P_lb_load_10>=1") | ("lb_id_x_client_id3.P_lb_routing_3>=1" & "server_id_x_clients_no3.P_lb_load_3>=1" & "server_id_x_clients_no9.P_lb_load_9>=1") | ("lb_id_x_client_id3.P_lb_routing_3>=1" & "server_id_x_clients_no3.P_lb_load_3>=1" & "server_id_x_clients_no10.P_lb_load_10>=1") | ("lb_id_x_client_id4.P_lb_routing_4>=1" & "server_id_x_clients_no3.P_lb_load_3>=1" & "server_id_x_clients_no9.P_lb_load_9>=1") | ("lb_id_x_client_id4.P_lb_routing_4>=1" & "server_id_x_clients_no3.P_lb_load_3>=1" & "server_id_x_clients_no10.P_lb_load_10>=1") | ("lb_id_x_client_id0.P_lb_routing_0>=1" & "server_id_x_clients_no4.P_lb_load_4>=1" & "server_id_x_clients_no10.P_lb_load_10>=1") | ("lb_id_x_client_id0.P_lb_routing_0>=1" & "server_id_x_clients_no4.P_lb_load_4>=1" & "server_id_x_clients_no11.P_lb_load_11>=1") | ("lb_id_x_client_id1.P_lb_routing_1>=1" & "server_id_x_clients_no4.P_lb_load_4>=1" & "server_id_x_clients_no10.P_lb_load_10>=1") | ("lb_id_x_client_id1.P_lb_routing_1>=1" & "server_id_x_clients_no4.P_lb_load_4>=1" & "server_id_x_clients_no11.P_lb_load_11>=1") | ("lb_id_x_client_id2.P_lb_routing_2>=1" & "server_id_x_clients_no4.P_lb_load_4>=1" & "server_id_x_clients_no10.P_lb_load_10>=1") | ("lb_id_x_client_id2.P_lb_routing_2>=1" & "server_id_x_clients_no4.P_lb_load_4>=1" & "server_id_x_clients_no11.P_lb_load_11>=1") | ("lb_id_x_client_id3.P_lb_routing_3>=1" & "server_id_x_clients_no4.P_lb_load_4>=1" & "server_id_x_clients_no10.P_lb_load_10>=1") | ("lb_id_x_client_id3.P_lb_routing_3>=1" & "server_id_x_clients_no4.P_lb_load_4>=1" & "server_id_x_clients_no11.P_lb_load_11>=1") | ("lb_id_x_client_id4.P_lb_routing_4>=1" & "server_id_x_clients_no4.P_lb_load_4>=1" & "server_id_x_clients_no10.P_lb_load_10>=1") | ("lb_id_x_client_id4.P_lb_routing_4>=1" & "server_id_x_clients_no4.P_lb_load_4>=1" & "server_id_x_clients_no11.P_lb_load_11>=1") | ("lb_id_x_client_id0.P_lb_routing_0>=1" & "server_id_x_clients_no5.P_lb_load_5>=1" & "server_id_x_clients_no11.P_lb_load_11>=1") | ("lb_id_x_client_id1.P_lb_routing_1>=1" & "server_id_x_clients_no5.P_lb_load_5>=1" & "server_id_x_clients_no11.P_lb_load_11>=1") | ("lb_id_x_client_id2.P_lb_routing_2>=1" & "server_id_x_clients_no5.P_lb_load_5>=1" & "server_id_x_clients_no11.P_lb_load_11>=1") | ("lb_id_x_client_id3.P_lb_routing_3>=1" & "server_id_x_clients_no5.P_lb_load_5>=1" & "server_id_x_clients_no11.P_lb_load_11>=1") | ("lb_id_x_client_id4.P_lb_routing_4>=1" & "server_id_x_clients_no5.P_lb_load_5>=1" & "server_id_x_clients_no11.P_lb_load_11>=1")) U G(("lb_id_x_client_id0.P_lb_routing_0>=1" & "server_id_x_clients_no1.P_lb_load_1>=1" & "server_id_x_clients_no6.P_lb_load_6>=1") | ("lb_id_x_client_id1.P_lb_routing_1>=1" & "server_id_x_clients_no1.P_lb_load_1>=1" & "server_id_x_clients_no6.P_lb_load_6>=1") | ("lb_id_x_client_id2.P_lb_routing_2>=1" & "server_id_x_clients_no1.P_lb_load_1>=1" & "server_id_x_clients_no6.P_lb_load_6>=1") | ("lb_id_x_client_id3.P_lb_routing_3>=1" & "server_id_x_clients_no1.P_lb_load_1>=1" & "server_id_x_clients_no6.P_lb_load_6>=1") | ("lb_id_x_client_id4.P_lb_routing_4>=1" & "server_id_x_clients_no1.P_lb_load_1>=1" & "server_id_x_clients_no6.P_lb_load_6>=1") | ("lb_id_x_client_id0.P_lb_routing_0>=1" & "server_id_x_clients_no2.P_lb_load_2>=1" & "server_id_x_clients_no7.P_lb_load_7>=1") | ("lb_id_x_client_id1.P_lb_routing_1>=1" & "server_id_x_clients_no2.P_lb_load_2>=1" & "server_id_x_clients_no7.P_lb_load_7>=1") | ("lb_id_x_client_id2.P_lb_routing_2>=1" & "server_id_x_clients_no2.P_lb_load_2>=1" & "server_id_x_clients_no7.P_lb_load_7>=1") | ("lb_id_x_client_id3.P_lb_routing_3>=1" & "server_id_x_clients_no2.P_lb_load_2>=1" & "server_id_x_clients_no7.P_lb_load_7>=1") | ("lb_id_x_client_id4.P_lb_routing_4>=1" & "server_id_x_clients_no2.P_lb_load_2>=1" & "server_id_x_clients_no7.P_lb_load_7>=1") | ("lb_id_x_client_id0.P_lb_routing_0>=1" & "server_id_x_clients_no3.P_lb_load_3>=1" & "server_id_x_clients_no8.P_lb_load_8>=1") | ("lb_id_x_client_id1.P_lb_routing_1>=1" & "server_id_x_clients_no3.P_lb_load_3>=1" & "server_id_x_clients_no8.P_lb_load_8>=1") | ("lb_id_x_client_id2.P_lb_routing_2>=1" & "server_id_x_clients_no3.P_lb_load_3>=1" & "server_id_x_clients_no8.P_lb_load_8>=1") | ("lb_id_x_client_id3.P_lb_routing_3>=1" & "server_id_x_clients_no3.P_lb_load_3>=1" & "server_id_x_clients_no8.P_lb_load_8>=1") | ("lb_id_x_client_id4.P_lb_routing_4>=1" & "server_id_x_clients_no3.P_lb_load_3>=1" & "server_id_x_clients_no8.P_lb_load_8>=1") | ("lb_id_x_client_id0.P_lb_routing_0>=1" & "server_id_x_clients_no4.P_lb_load_4>=1" & "server_id_x_clients_no9.P_lb_load_9>=1") | ("lb_id_x_client_id1.P_lb_routing_1>=1" & "server_id_x_clients_no4.P_lb_load_4>=1" & "server_id_x_clients_no9.P_lb_load_9>=1") | ("lb_id_x_client_id2.P_lb_routing_2>=1" & "server_id_x_clients_no4.P_lb_load_4>=1" & "server_id_x_clients_no9.P_lb_load_9>=1") | ("lb_id_x_client_id3.P_lb_routing_3>=1" & "server_id_x_clients_no4.P_lb_load_4>=1" & "server_id_x_clients_no9.P_lb_load_9>=1") | ("lb_id_x_client_id4.P_lb_routing_4>=1" & "server_id_x_clients_no4.P_lb_load_4>=1" & "server_id_x_clients_no9.P_lb_load_9>=1") | ("lb_id_x_client_id0.P_lb_routing_0>=1" & "server_id_x_clients_no5.P_lb_load_5>=1" & "server_id_x_clients_no10.P_lb_load_10>=1") | ("lb_id_x_client_id1.P_lb_routing_1>=1" & "server_id_x_clients_no5.P_lb_load_5>=1" & "server_id_x_clients_no10.P_lb_load_10>=1") | ("lb_id_x_client_id2.P_lb_routing_2>=1" & "server_id_x_clients_no5.P_lb_load_5>=1" & "server_id_x_clients_no10.P_lb_load_10>=1") | ("lb_id_x_client_id3.P_lb_routing_3>=1" & "server_id_x_clients_no5.P_lb_load_5>=1" & "server_id_x_clients_no10.P_lb_load_10>=1") | ("lb_id_x_client_id4.P_lb_routing_4>=1" & "server_id_x_clients_no5.P_lb_load_5>=1" & "server_id_x_clients_no10.P_lb_load_10>=1")))
4 unique states visited
4 strongly connected components in search stack
4 transitions explored
4 items max in DFS search stack
3 ticks for the emptiness check
Model ,|S| ,Time ,Mem(kb) ,fin. SDD ,fin. DDD ,peak SDD ,peak DDD ,SDD Hom ,SDD cache peak ,DDD Hom ,DDD cachepeak ,SHom cache
STATS,0,433.199,669272,1,0,1.11622e+06,503,4467,774309,210,478,3225935
an accepting run exists (use option '-e' to print it)
Formula 5 is FALSE accepting run found.
FORMULA SimpleLoadBal-COL-05-LTLFireability-5 FALSE TECHNIQUES DECISION_DIAGRAMS TOPOLOGICAL USE_NUPN
Checking formula 6 : !((F(G(("server_id0.P_server_processed_0>=1")||("server_id1.P_server_processed_1>=1")))))
Formula 6 simplified : !FG("server_id0.P_server_processed_0>=1" | "server_id1.P_server_processed_1>=1")
2 unique states visited
2 strongly connected components in search stack
2 transitions explored
2 items max in DFS search stack
2039 ticks for the emptiness check
Model ,|S| ,Time ,Mem(kb) ,fin. SDD ,fin. DDD ,peak SDD ,peak DDD ,SDD Hom ,SDD cache peak ,DDD Hom ,DDD cachepeak ,SHom cache
STATS,0,453.588,966892,1,0,2.40125e+06,503,4499,1.58966e+06,210,478,6575272
an accepting run exists (use option '-e' to print it)
Formula 6 is FALSE accepting run found.
FORMULA SimpleLoadBal-COL-05-LTLFireability-6 FALSE TECHNIQUES DECISION_DIAGRAMS TOPOLOGICAL USE_NUPN
Checking formula 7 : !(((F(((((((((((("server_id0.P_server_idle_0>=1")&&("client_id_x_server_id0.P_server_request_0>=1"))||(("server_id0.P_server_idle_0>=1")&&("client_id_x_server_id2.P_server_request_2>=1")))||(("server_id0.P_server_idle_0>=1")&&("client_id_x_server_id4.P_server_request_4>=1")))||(("server_id0.P_server_idle_0>=1")&&("client_id_x_server_id6.P_server_request_6>=1")))||(("server_id0.P_server_idle_0>=1")&&("client_id_x_server_id8.P_server_request_8>=1")))||(("server_id1.P_server_idle_1>=1")&&("client_id_x_server_id1.P_server_request_1>=1")))||(("server_id1.P_server_idle_1>=1")&&("client_id_x_server_id3.P_server_request_3>=1")))||(("server_id1.P_server_idle_1>=1")&&("client_id_x_server_id5.P_server_request_5>=1")))||(("server_id1.P_server_idle_1>=1")&&("client_id_x_server_id7.P_server_request_7>=1")))||(("server_id1.P_server_idle_1>=1")&&("client_id_x_server_id9.P_server_request_9>=1")))U((((((((((((((((((((((((((((((((((((((((((((((((((((((((("lb_id_x_client_id0.P_lb_routing_0>=1")&&("server_id_x_clients_no6.P_lb_load_6>=1"))&&("server_id_x_clients_no0.P_lb_load_0>=1"))||((("lb_id_x_client_id0.P_lb_routing_0>=1")&&("server_id_x_clients_no7.P_lb_load_7>=1"))&&("server_id_x_clients_no0.P_lb_load_0>=1")))||((("lb_id_x_client_id1.P_lb_routing_1>=1")&&("server_id_x_clients_no6.P_lb_load_6>=1"))&&("server_id_x_clients_no0.P_lb_load_0>=1")))||((("lb_id_x_client_id1.P_lb_routing_1>=1")&&("server_id_x_clients_no7.P_lb_load_7>=1"))&&("server_id_x_clients_no0.P_lb_load_0>=1")))||((("lb_id_x_client_id2.P_lb_routing_2>=1")&&("server_id_x_clients_no6.P_lb_load_6>=1"))&&("server_id_x_clients_no0.P_lb_load_0>=1")))||((("lb_id_x_client_id2.P_lb_routing_2>=1")&&("server_id_x_clients_no7.P_lb_load_7>=1"))&&("server_id_x_clients_no0.P_lb_load_0>=1")))||((("lb_id_x_client_id3.P_lb_routing_3>=1")&&("server_id_x_clients_no6.P_lb_load_6>=1"))&&("server_id_x_clients_no0.P_lb_load_0>=1")))||((("lb_id_x_client_id3.P_lb_routing_3>=1")&&("server_id_x_clients_no7.P_lb_load_7>=1"))&&("server_id_x_clients_no0.P_lb_load_0>=1")))||((("lb_id_x_client_id4.P_lb_routing_4>=1")&&("server_id_x_clients_no6.P_lb_load_6>=1"))&&("server_id_x_clients_no0.P_lb_load_0>=1")))||((("lb_id_x_client_id4.P_lb_routing_4>=1")&&("server_id_x_clients_no7.P_lb_load_7>=1"))&&("server_id_x_clients_no0.P_lb_load_0>=1")))||((("lb_id_x_client_id0.P_lb_routing_0>=1")&&("server_id_x_clients_no7.P_lb_load_7>=1"))&&("server_id_x_clients_no1.P_lb_load_1>=1")))||((("lb_id_x_client_id0.P_lb_routing_0>=1")&&("server_id_x_clients_no8.P_lb_load_8>=1"))&&("server_id_x_clients_no1.P_lb_load_1>=1")))||((("lb_id_x_client_id1.P_lb_routing_1>=1")&&("server_id_x_clients_no7.P_lb_load_7>=1"))&&("server_id_x_clients_no1.P_lb_load_1>=1")))||((("lb_id_x_client_id1.P_lb_routing_1>=1")&&("server_id_x_clients_no8.P_lb_load_8>=1"))&&("server_id_x_clients_no1.P_lb_load_1>=1")))||((("lb_id_x_client_id2.P_lb_routing_2>=1")&&("server_id_x_clients_no7.P_lb_load_7>=1"))&&("server_id_x_clients_no1.P_lb_load_1>=1")))||((("lb_id_x_client_id2.P_lb_routing_2>=1")&&("server_id_x_clients_no8.P_lb_load_8>=1"))&&("server_id_x_clients_no1.P_lb_load_1>=1")))||((("lb_id_x_client_id3.P_lb_routing_3>=1")&&("server_id_x_clients_no7.P_lb_load_7>=1"))&&("server_id_x_clients_no1.P_lb_load_1>=1")))||((("lb_id_x_client_id3.P_lb_routing_3>=1")&&("server_id_x_clients_no8.P_lb_load_8>=1"))&&("server_id_x_clients_no1.P_lb_load_1>=1")))||((("lb_id_x_client_id4.P_lb_routing_4>=1")&&("server_id_x_clients_no7.P_lb_load_7>=1"))&&("server_id_x_clients_no1.P_lb_load_1>=1")))||((("lb_id_x_client_id4.P_lb_routing_4>=1")&&("server_id_x_clients_no8.P_lb_load_8>=1"))&&("server_id_x_clients_no1.P_lb_load_1>=1")))||((("lb_id_x_client_id0.P_lb_routing_0>=1")&&("server_id_x_clients_no8.P_lb_load_8>=1"))&&("server_id_x_clients_no2.P_lb_load_2>=1")))||((("lb_id_x_client_id0.P_lb_routing_0>=1")&&("server_id_x_clients_no9.P_lb_load_9>=1"))&&("server_id_x_clients_no2.P_lb_load_2>=1")))||((("lb_id_x_client_id1.P_lb_routing_1>=1")&&("server_id_x_clients_no8.P_lb_load_8>=1"))&&("server_id_x_clients_no2.P_lb_load_2>=1")))||((("lb_id_x_client_id1.P_lb_routing_1>=1")&&("server_id_x_clients_no9.P_lb_load_9>=1"))&&("server_id_x_clients_no2.P_lb_load_2>=1")))||((("lb_id_x_client_id2.P_lb_routing_2>=1")&&("server_id_x_clients_no8.P_lb_load_8>=1"))&&("server_id_x_clients_no2.P_lb_load_2>=1")))||((("lb_id_x_client_id2.P_lb_routing_2>=1")&&("server_id_x_clients_no9.P_lb_load_9>=1"))&&("server_id_x_clients_no2.P_lb_load_2>=1")))||((("lb_id_x_client_id3.P_lb_routing_3>=1")&&("server_id_x_clients_no8.P_lb_load_8>=1"))&&("server_id_x_clients_no2.P_lb_load_2>=1")))||((("lb_id_x_client_id3.P_lb_routing_3>=1")&&("server_id_x_clients_no9.P_lb_load_9>=1"))&&("server_id_x_clients_no2.P_lb_load_2>=1")))||((("lb_id_x_client_id4.P_lb_routing_4>=1")&&("server_id_x_clients_no8.P_lb_load_8>=1"))&&("server_id_x_clients_no2.P_lb_load_2>=1")))||((("lb_id_x_client_id4.P_lb_routing_4>=1")&&("server_id_x_clients_no9.P_lb_load_9>=1"))&&("server_id_x_clients_no2.P_lb_load_2>=1")))||((("lb_id_x_client_id0.P_lb_routing_0>=1")&&("server_id_x_clients_no9.P_lb_load_9>=1"))&&("server_id_x_clients_no3.P_lb_load_3>=1")))||((("lb_id_x_client_id0.P_lb_routing_0>=1")&&("server_id_x_clients_no10.P_lb_load_10>=1"))&&("server_id_x_clients_no3.P_lb_load_3>=1")))||((("lb_id_x_client_id1.P_lb_routing_1>=1")&&("server_id_x_clients_no9.P_lb_load_9>=1"))&&("server_id_x_clients_no3.P_lb_load_3>=1")))||((("lb_id_x_client_id1.P_lb_routing_1>=1")&&("server_id_x_clients_no10.P_lb_load_10>=1"))&&("server_id_x_clients_no3.P_lb_load_3>=1")))||((("lb_id_x_client_id2.P_lb_routing_2>=1")&&("server_id_x_clients_no9.P_lb_load_9>=1"))&&("server_id_x_clients_no3.P_lb_load_3>=1")))||((("lb_id_x_client_id2.P_lb_routing_2>=1")&&("server_id_x_clients_no10.P_lb_load_10>=1"))&&("server_id_x_clients_no3.P_lb_load_3>=1")))||((("lb_id_x_client_id3.P_lb_routing_3>=1")&&("server_id_x_clients_no9.P_lb_load_9>=1"))&&("server_id_x_clients_no3.P_lb_load_3>=1")))||((("lb_id_x_client_id3.P_lb_routing_3>=1")&&("server_id_x_clients_no10.P_lb_load_10>=1"))&&("server_id_x_clients_no3.P_lb_load_3>=1")))||((("lb_id_x_client_id4.P_lb_routing_4>=1")&&("server_id_x_clients_no9.P_lb_load_9>=1"))&&("server_id_x_clients_no3.P_lb_load_3>=1")))||((("lb_id_x_client_id4.P_lb_routing_4>=1")&&("server_id_x_clients_no10.P_lb_load_10>=1"))&&("server_id_x_clients_no3.P_lb_load_3>=1")))||((("lb_id_x_client_id0.P_lb_routing_0>=1")&&("server_id_x_clients_no10.P_lb_load_10>=1"))&&("server_id_x_clients_no4.P_lb_load_4>=1")))||((("lb_id_x_client_id0.P_lb_routing_0>=1")&&("server_id_x_clients_no11.P_lb_load_11>=1"))&&("server_id_x_clients_no4.P_lb_load_4>=1")))||((("lb_id_x_client_id1.P_lb_routing_1>=1")&&("server_id_x_clients_no10.P_lb_load_10>=1"))&&("server_id_x_clients_no4.P_lb_load_4>=1")))||((("lb_id_x_client_id1.P_lb_routing_1>=1")&&("server_id_x_clients_no11.P_lb_load_11>=1"))&&("server_id_x_clients_no4.P_lb_load_4>=1")))||((("lb_id_x_client_id2.P_lb_routing_2>=1")&&("server_id_x_clients_no10.P_lb_load_10>=1"))&&("server_id_x_clients_no4.P_lb_load_4>=1")))||((("lb_id_x_client_id2.P_lb_routing_2>=1")&&("server_id_x_clients_no11.P_lb_load_11>=1"))&&("server_id_x_clients_no4.P_lb_load_4>=1")))||((("lb_id_x_client_id3.P_lb_routing_3>=1")&&("server_id_x_clients_no10.P_lb_load_10>=1"))&&("server_id_x_clients_no4.P_lb_load_4>=1")))||((("lb_id_x_client_id3.P_lb_routing_3>=1")&&("server_id_x_clients_no11.P_lb_load_11>=1"))&&("server_id_x_clients_no4.P_lb_load_4>=1")))||((("lb_id_x_client_id4.P_lb_routing_4>=1")&&("server_id_x_clients_no10.P_lb_load_10>=1"))&&("server_id_x_clients_no4.P_lb_load_4>=1")))||((("lb_id_x_client_id4.P_lb_routing_4>=1")&&("server_id_x_clients_no11.P_lb_load_11>=1"))&&("server_id_x_clients_no4.P_lb_load_4>=1")))||((("lb_id_x_client_id0.P_lb_routing_0>=1")&&("server_id_x_clients_no11.P_lb_load_11>=1"))&&("server_id_x_clients_no5.P_lb_load_5>=1")))||((("lb_id_x_client_id1.P_lb_routing_1>=1")&&("server_id_x_clients_no11.P_lb_load_11>=1"))&&("server_id_x_clients_no5.P_lb_load_5>=1")))||((("lb_id_x_client_id2.P_lb_routing_2>=1")&&("server_id_x_clients_no11.P_lb_load_11>=1"))&&("server_id_x_clients_no5.P_lb_load_5>=1")))||((("lb_id_x_client_id3.P_lb_routing_3>=1")&&("server_id_x_clients_no11.P_lb_load_11>=1"))&&("server_id_x_clients_no5.P_lb_load_5>=1")))||((("lb_id_x_client_id4.P_lb_routing_4>=1")&&("server_id_x_clients_no11.P_lb_load_11>=1"))&&("server_id_x_clients_no5.P_lb_load_5>=1")))))U((((((((((((((((((((((((((((((((((((((((((((((((((((((((("lb_id_x_client_id0.P_lb_routing_0>=1")&&("server_id_x_clients_no6.P_lb_load_6>=1"))&&("server_id_x_clients_no0.P_lb_load_0>=1"))||((("lb_id_x_client_id0.P_lb_routing_0>=1")&&("server_id_x_clients_no7.P_lb_load_7>=1"))&&("server_id_x_clients_no0.P_lb_load_0>=1")))||((("lb_id_x_client_id1.P_lb_routing_1>=1")&&("server_id_x_clients_no6.P_lb_load_6>=1"))&&("server_id_x_clients_no0.P_lb_load_0>=1")))||((("lb_id_x_client_id1.P_lb_routing_1>=1")&&("server_id_x_clients_no7.P_lb_load_7>=1"))&&("server_id_x_clients_no0.P_lb_load_0>=1")))||((("lb_id_x_client_id2.P_lb_routing_2>=1")&&("server_id_x_clients_no6.P_lb_load_6>=1"))&&("server_id_x_clients_no0.P_lb_load_0>=1")))||((("lb_id_x_client_id2.P_lb_routing_2>=1")&&("server_id_x_clients_no7.P_lb_load_7>=1"))&&("server_id_x_clients_no0.P_lb_load_0>=1")))||((("lb_id_x_client_id3.P_lb_routing_3>=1")&&("server_id_x_clients_no6.P_lb_load_6>=1"))&&("server_id_x_clients_no0.P_lb_load_0>=1")))||((("lb_id_x_client_id3.P_lb_routing_3>=1")&&("server_id_x_clients_no7.P_lb_load_7>=1"))&&("server_id_x_clients_no0.P_lb_load_0>=1")))||((("lb_id_x_client_id4.P_lb_routing_4>=1")&&("server_id_x_clients_no6.P_lb_load_6>=1"))&&("server_id_x_clients_no0.P_lb_load_0>=1")))||((("lb_id_x_client_id4.P_lb_routing_4>=1")&&("server_id_x_clients_no7.P_lb_load_7>=1"))&&("server_id_x_clients_no0.P_lb_load_0>=1")))||((("lb_id_x_client_id0.P_lb_routing_0>=1")&&("server_id_x_clients_no7.P_lb_load_7>=1"))&&("server_id_x_clients_no1.P_lb_load_1>=1")))||((("lb_id_x_client_id0.P_lb_routing_0>=1")&&("server_id_x_clients_no8.P_lb_load_8>=1"))&&("server_id_x_clients_no1.P_lb_load_1>=1")))||((("lb_id_x_client_id1.P_lb_routing_1>=1")&&("server_id_x_clients_no7.P_lb_load_7>=1"))&&("server_id_x_clients_no1.P_lb_load_1>=1")))||((("lb_id_x_client_id1.P_lb_routing_1>=1")&&("server_id_x_clients_no8.P_lb_load_8>=1"))&&("server_id_x_clients_no1.P_lb_load_1>=1")))||((("lb_id_x_client_id2.P_lb_routing_2>=1")&&("server_id_x_clients_no7.P_lb_load_7>=1"))&&("server_id_x_clients_no1.P_lb_load_1>=1")))||((("lb_id_x_client_id2.P_lb_routing_2>=1")&&("server_id_x_clients_no8.P_lb_load_8>=1"))&&("server_id_x_clients_no1.P_lb_load_1>=1")))||((("lb_id_x_client_id3.P_lb_routing_3>=1")&&("server_id_x_clients_no7.P_lb_load_7>=1"))&&("server_id_x_clients_no1.P_lb_load_1>=1")))||((("lb_id_x_client_id3.P_lb_routing_3>=1")&&("server_id_x_clients_no8.P_lb_load_8>=1"))&&("server_id_x_clients_no1.P_lb_load_1>=1")))||((("lb_id_x_client_id4.P_lb_routing_4>=1")&&("server_id_x_clients_no7.P_lb_load_7>=1"))&&("server_id_x_clients_no1.P_lb_load_1>=1")))||((("lb_id_x_client_id4.P_lb_routing_4>=1")&&("server_id_x_clients_no8.P_lb_load_8>=1"))&&("server_id_x_clients_no1.P_lb_load_1>=1")))||((("lb_id_x_client_id0.P_lb_routing_0>=1")&&("server_id_x_clients_no8.P_lb_load_8>=1"))&&("server_id_x_clients_no2.P_lb_load_2>=1")))||((("lb_id_x_client_id0.P_lb_routing_0>=1")&&("server_id_x_clients_no9.P_lb_load_9>=1"))&&("server_id_x_clients_no2.P_lb_load_2>=1")))||((("lb_id_x_client_id1.P_lb_routing_1>=1")&&("server_id_x_clients_no8.P_lb_load_8>=1"))&&("server_id_x_clients_no2.P_lb_load_2>=1")))||((("lb_id_x_client_id1.P_lb_routing_1>=1")&&("server_id_x_clients_no9.P_lb_load_9>=1"))&&("server_id_x_clients_no2.P_lb_load_2>=1")))||((("lb_id_x_client_id2.P_lb_routing_2>=1")&&("server_id_x_clients_no8.P_lb_load_8>=1"))&&("server_id_x_clients_no2.P_lb_load_2>=1")))||((("lb_id_x_client_id2.P_lb_routing_2>=1")&&("server_id_x_clients_no9.P_lb_load_9>=1"))&&("server_id_x_clients_no2.P_lb_load_2>=1")))||((("lb_id_x_client_id3.P_lb_routing_3>=1")&&("server_id_x_clients_no8.P_lb_load_8>=1"))&&("server_id_x_clients_no2.P_lb_load_2>=1")))||((("lb_id_x_client_id3.P_lb_routing_3>=1")&&("server_id_x_clients_no9.P_lb_load_9>=1"))&&("server_id_x_clients_no2.P_lb_load_2>=1")))||((("lb_id_x_client_id4.P_lb_routing_4>=1")&&("server_id_x_clients_no8.P_lb_load_8>=1"))&&("server_id_x_clients_no2.P_lb_load_2>=1")))||((("lb_id_x_client_id4.P_lb_routing_4>=1")&&("server_id_x_clients_no9.P_lb_load_9>=1"))&&("server_id_x_clients_no2.P_lb_load_2>=1")))||((("lb_id_x_client_id0.P_lb_routing_0>=1")&&("server_id_x_clients_no9.P_lb_load_9>=1"))&&("server_id_x_clients_no3.P_lb_load_3>=1")))||((("lb_id_x_client_id0.P_lb_routing_0>=1")&&("server_id_x_clients_no10.P_lb_load_10>=1"))&&("server_id_x_clients_no3.P_lb_load_3>=1")))||((("lb_id_x_client_id1.P_lb_routing_1>=1")&&("server_id_x_clients_no9.P_lb_load_9>=1"))&&("server_id_x_clients_no3.P_lb_load_3>=1")))||((("lb_id_x_client_id1.P_lb_routing_1>=1")&&("server_id_x_clients_no10.P_lb_load_10>=1"))&&("server_id_x_clients_no3.P_lb_load_3>=1")))||((("lb_id_x_client_id2.P_lb_routing_2>=1")&&("server_id_x_clients_no9.P_lb_load_9>=1"))&&("server_id_x_clients_no3.P_lb_load_3>=1")))||((("lb_id_x_client_id2.P_lb_routing_2>=1")&&("server_id_x_clients_no10.P_lb_load_10>=1"))&&("server_id_x_clients_no3.P_lb_load_3>=1")))||((("lb_id_x_client_id3.P_lb_routing_3>=1")&&("server_id_x_clients_no9.P_lb_load_9>=1"))&&("server_id_x_clients_no3.P_lb_load_3>=1")))||((("lb_id_x_client_id3.P_lb_routing_3>=1")&&("server_id_x_clients_no10.P_lb_load_10>=1"))&&("server_id_x_clients_no3.P_lb_load_3>=1")))||((("lb_id_x_client_id4.P_lb_routing_4>=1")&&("server_id_x_clients_no9.P_lb_load_9>=1"))&&("server_id_x_clients_no3.P_lb_load_3>=1")))||((("lb_id_x_client_id4.P_lb_routing_4>=1")&&("server_id_x_clients_no10.P_lb_load_10>=1"))&&("server_id_x_clients_no3.P_lb_load_3>=1")))||((("lb_id_x_client_id0.P_lb_routing_0>=1")&&("server_id_x_clients_no10.P_lb_load_10>=1"))&&("server_id_x_clients_no4.P_lb_load_4>=1")))||((("lb_id_x_client_id0.P_lb_routing_0>=1")&&("server_id_x_clients_no11.P_lb_load_11>=1"))&&("server_id_x_clients_no4.P_lb_load_4>=1")))||((("lb_id_x_client_id1.P_lb_routing_1>=1")&&("server_id_x_clients_no10.P_lb_load_10>=1"))&&("server_id_x_clients_no4.P_lb_load_4>=1")))||((("lb_id_x_client_id1.P_lb_routing_1>=1")&&("server_id_x_clients_no11.P_lb_load_11>=1"))&&("server_id_x_clients_no4.P_lb_load_4>=1")))||((("lb_id_x_client_id2.P_lb_routing_2>=1")&&("server_id_x_clients_no10.P_lb_load_10>=1"))&&("server_id_x_clients_no4.P_lb_load_4>=1")))||((("lb_id_x_client_id2.P_lb_routing_2>=1")&&("server_id_x_clients_no11.P_lb_load_11>=1"))&&("server_id_x_clients_no4.P_lb_load_4>=1")))||((("lb_id_x_client_id3.P_lb_routing_3>=1")&&("server_id_x_clients_no10.P_lb_load_10>=1"))&&("server_id_x_clients_no4.P_lb_load_4>=1")))||((("lb_id_x_client_id3.P_lb_routing_3>=1")&&("server_id_x_clients_no11.P_lb_load_11>=1"))&&("server_id_x_clients_no4.P_lb_load_4>=1")))||((("lb_id_x_client_id4.P_lb_routing_4>=1")&&("server_id_x_clients_no10.P_lb_load_10>=1"))&&("server_id_x_clients_no4.P_lb_load_4>=1")))||((("lb_id_x_client_id4.P_lb_routing_4>=1")&&("server_id_x_clients_no11.P_lb_load_11>=1"))&&("server_id_x_clients_no4.P_lb_load_4>=1")))||((("lb_id_x_client_id0.P_lb_routing_0>=1")&&("server_id_x_clients_no11.P_lb_load_11>=1"))&&("server_id_x_clients_no5.P_lb_load_5>=1")))||((("lb_id_x_client_id1.P_lb_routing_1>=1")&&("server_id_x_clients_no11.P_lb_load_11>=1"))&&("server_id_x_clients_no5.P_lb_load_5>=1")))||((("lb_id_x_client_id2.P_lb_routing_2>=1")&&("server_id_x_clients_no11.P_lb_load_11>=1"))&&("server_id_x_clients_no5.P_lb_load_5>=1")))||((("lb_id_x_client_id3.P_lb_routing_3>=1")&&("server_id_x_clients_no11.P_lb_load_11>=1"))&&("server_id_x_clients_no5.P_lb_load_5>=1")))||((("lb_id_x_client_id4.P_lb_routing_4>=1")&&("server_id_x_clients_no11.P_lb_load_11>=1"))&&("server_id_x_clients_no5.P_lb_load_5>=1")))))
Formula 7 simplified : !(F((("client_id_x_server_id0.P_server_request_0>=1" & "server_id0.P_server_idle_0>=1") | ("client_id_x_server_id2.P_server_request_2>=1" & "server_id0.P_server_idle_0>=1") | ("client_id_x_server_id4.P_server_request_4>=1" & "server_id0.P_server_idle_0>=1") | ("client_id_x_server_id6.P_server_request_6>=1" & "server_id0.P_server_idle_0>=1") | ("client_id_x_server_id8.P_server_request_8>=1" & "server_id0.P_server_idle_0>=1") | ("client_id_x_server_id1.P_server_request_1>=1" & "server_id1.P_server_idle_1>=1") | ("client_id_x_server_id3.P_server_request_3>=1" & "server_id1.P_server_idle_1>=1") | ("client_id_x_server_id5.P_server_request_5>=1" & "server_id1.P_server_idle_1>=1") | ("client_id_x_server_id7.P_server_request_7>=1" & "server_id1.P_server_idle_1>=1") | ("client_id_x_server_id9.P_server_request_9>=1" & "server_id1.P_server_idle_1>=1")) U (("lb_id_x_client_id0.P_lb_routing_0>=1" & "server_id_x_clients_no0.P_lb_load_0>=1" & "server_id_x_clients_no6.P_lb_load_6>=1") | ("lb_id_x_client_id0.P_lb_routing_0>=1" & "server_id_x_clients_no0.P_lb_load_0>=1" & "server_id_x_clients_no7.P_lb_load_7>=1") | ("lb_id_x_client_id1.P_lb_routing_1>=1" & "server_id_x_clients_no0.P_lb_load_0>=1" & "server_id_x_clients_no6.P_lb_load_6>=1") | ("lb_id_x_client_id1.P_lb_routing_1>=1" & "server_id_x_clients_no0.P_lb_load_0>=1" & "server_id_x_clients_no7.P_lb_load_7>=1") | ("lb_id_x_client_id2.P_lb_routing_2>=1" & "server_id_x_clients_no0.P_lb_load_0>=1" & "server_id_x_clients_no6.P_lb_load_6>=1") | ("lb_id_x_client_id2.P_lb_routing_2>=1" & "server_id_x_clients_no0.P_lb_load_0>=1" & "server_id_x_clients_no7.P_lb_load_7>=1") | ("lb_id_x_client_id3.P_lb_routing_3>=1" & "server_id_x_clients_no0.P_lb_load_0>=1" & "server_id_x_clients_no6.P_lb_load_6>=1") | ("lb_id_x_client_id3.P_lb_routing_3>=1" & "server_id_x_clients_no0.P_lb_load_0>=1" & "server_id_x_clients_no7.P_lb_load_7>=1") | ("lb_id_x_client_id4.P_lb_routing_4>=1" & "server_id_x_clients_no0.P_lb_load_0>=1" & "server_id_x_clients_no6.P_lb_load_6>=1") | ("lb_id_x_client_id4.P_lb_routing_4>=1" & "server_id_x_clients_no0.P_lb_load_0>=1" & "server_id_x_clients_no7.P_lb_load_7>=1") | ("lb_id_x_client_id0.P_lb_routing_0>=1" & "server_id_x_clients_no1.P_lb_load_1>=1" & "server_id_x_clients_no7.P_lb_load_7>=1") | ("lb_id_x_client_id0.P_lb_routing_0>=1" & "server_id_x_clients_no1.P_lb_load_1>=1" & "server_id_x_clients_no8.P_lb_load_8>=1") | ("lb_id_x_client_id1.P_lb_routing_1>=1" & "server_id_x_clients_no1.P_lb_load_1>=1" & "server_id_x_clients_no7.P_lb_load_7>=1") | ("lb_id_x_client_id1.P_lb_routing_1>=1" & "server_id_x_clients_no1.P_lb_load_1>=1" & "server_id_x_clients_no8.P_lb_load_8>=1") | ("lb_id_x_client_id2.P_lb_routing_2>=1" & "server_id_x_clients_no1.P_lb_load_1>=1" & "server_id_x_clients_no7.P_lb_load_7>=1") | ("lb_id_x_client_id2.P_lb_routing_2>=1" & "server_id_x_clients_no1.P_lb_load_1>=1" & "server_id_x_clients_no8.P_lb_load_8>=1") | ("lb_id_x_client_id3.P_lb_routing_3>=1" & "server_id_x_clients_no1.P_lb_load_1>=1" & "server_id_x_clients_no7.P_lb_load_7>=1") | ("lb_id_x_client_id3.P_lb_routing_3>=1" & "server_id_x_clients_no1.P_lb_load_1>=1" & "server_id_x_clients_no8.P_lb_load_8>=1") | ("lb_id_x_client_id4.P_lb_routing_4>=1" & "server_id_x_clients_no1.P_lb_load_1>=1" & "server_id_x_clients_no7.P_lb_load_7>=1") | ("lb_id_x_client_id4.P_lb_routing_4>=1" & "server_id_x_clients_no1.P_lb_load_1>=1" & "server_id_x_clients_no8.P_lb_load_8>=1") | ("lb_id_x_client_id0.P_lb_routing_0>=1" & "server_id_x_clients_no2.P_lb_load_2>=1" & "server_id_x_clients_no8.P_lb_load_8>=1") | ("lb_id_x_client_id0.P_lb_routing_0>=1" & "server_id_x_clients_no2.P_lb_load_2>=1" & "server_id_x_clients_no9.P_lb_load_9>=1") | ("lb_id_x_client_id1.P_lb_routing_1>=1" & "server_id_x_clients_no2.P_lb_load_2>=1" & "server_id_x_clients_no8.P_lb_load_8>=1") | ("lb_id_x_client_id1.P_lb_routing_1>=1" & "server_id_x_clients_no2.P_lb_load_2>=1" & "server_id_x_clients_no9.P_lb_load_9>=1") | ("lb_id_x_client_id2.P_lb_routing_2>=1" & "server_id_x_clients_no2.P_lb_load_2>=1" & "server_id_x_clients_no8.P_lb_load_8>=1") | ("lb_id_x_client_id2.P_lb_routing_2>=1" & "server_id_x_clients_no2.P_lb_load_2>=1" & "server_id_x_clients_no9.P_lb_load_9>=1") | ("lb_id_x_client_id3.P_lb_routing_3>=1" & "server_id_x_clients_no2.P_lb_load_2>=1" & "server_id_x_clients_no8.P_lb_load_8>=1") | ("lb_id_x_client_id3.P_lb_routing_3>=1" & "server_id_x_clients_no2.P_lb_load_2>=1" & "server_id_x_clients_no9.P_lb_load_9>=1") | ("lb_id_x_client_id4.P_lb_routing_4>=1" & "server_id_x_clients_no2.P_lb_load_2>=1" & "server_id_x_clients_no8.P_lb_load_8>=1") | ("lb_id_x_client_id4.P_lb_routing_4>=1" & "server_id_x_clients_no2.P_lb_load_2>=1" & "server_id_x_clients_no9.P_lb_load_9>=1") | ("lb_id_x_client_id0.P_lb_routing_0>=1" & "server_id_x_clients_no3.P_lb_load_3>=1" & "server_id_x_clients_no9.P_lb_load_9>=1") | ("lb_id_x_client_id0.P_lb_routing_0>=1" & "server_id_x_clients_no3.P_lb_load_3>=1" & "server_id_x_clients_no10.P_lb_load_10>=1") | ("lb_id_x_client_id1.P_lb_routing_1>=1" & "server_id_x_clients_no3.P_lb_load_3>=1" & "server_id_x_clients_no9.P_lb_load_9>=1") | ("lb_id_x_client_id1.P_lb_routing_1>=1" & "server_id_x_clients_no3.P_lb_load_3>=1" & "server_id_x_clients_no10.P_lb_load_10>=1") | ("lb_id_x_client_id2.P_lb_routing_2>=1" & "server_id_x_clients_no3.P_lb_load_3>=1" & "server_id_x_clients_no9.P_lb_load_9>=1") | ("lb_id_x_client_id2.P_lb_routing_2>=1" & "server_id_x_clients_no3.P_lb_load_3>=1" & "server_id_x_clients_no10.P_lb_load_10>=1") | ("lb_id_x_client_id3.P_lb_routing_3>=1" & "server_id_x_clients_no3.P_lb_load_3>=1" & "server_id_x_clients_no9.P_lb_load_9>=1") | ("lb_id_x_client_id3.P_lb_routing_3>=1" & "server_id_x_clients_no3.P_lb_load_3>=1" & "server_id_x_clients_no10.P_lb_load_10>=1") | ("lb_id_x_client_id4.P_lb_routing_4>=1" & "server_id_x_clients_no3.P_lb_load_3>=1" & "server_id_x_clients_no9.P_lb_load_9>=1") | ("lb_id_x_client_id4.P_lb_routing_4>=1" & "server_id_x_clients_no3.P_lb_load_3>=1" & "server_id_x_clients_no10.P_lb_load_10>=1") | ("lb_id_x_client_id0.P_lb_routing_0>=1" & "server_id_x_clients_no4.P_lb_load_4>=1" & "server_id_x_clients_no10.P_lb_load_10>=1") | ("lb_id_x_client_id0.P_lb_routing_0>=1" & "server_id_x_clients_no4.P_lb_load_4>=1" & "server_id_x_clients_no11.P_lb_load_11>=1") | ("lb_id_x_client_id1.P_lb_routing_1>=1" & "server_id_x_clients_no4.P_lb_load_4>=1" & "server_id_x_clients_no10.P_lb_load_10>=1") | ("lb_id_x_client_id1.P_lb_routing_1>=1" & "server_id_x_clients_no4.P_lb_load_4>=1" & "server_id_x_clients_no11.P_lb_load_11>=1") | ("lb_id_x_client_id2.P_lb_routing_2>=1" & "server_id_x_clients_no4.P_lb_load_4>=1" & "server_id_x_clients_no10.P_lb_load_10>=1") | ("lb_id_x_client_id2.P_lb_routing_2>=1" & "server_id_x_clients_no4.P_lb_load_4>=1" & "server_id_x_clients_no11.P_lb_load_11>=1") | ("lb_id_x_client_id3.P_lb_routing_3>=1" & "server_id_x_clients_no4.P_lb_load_4>=1" & "server_id_x_clients_no10.P_lb_load_10>=1") | ("lb_id_x_client_id3.P_lb_routing_3>=1" & "server_id_x_clients_no4.P_lb_load_4>=1" & "server_id_x_clients_no11.P_lb_load_11>=1") | ("lb_id_x_client_id4.P_lb_routing_4>=1" & "server_id_x_clients_no4.P_lb_load_4>=1" & "server_id_x_clients_no10.P_lb_load_10>=1") | ("lb_id_x_client_id4.P_lb_routing_4>=1" & "server_id_x_clients_no4.P_lb_load_4>=1" & "server_id_x_clients_no11.P_lb_load_11>=1") | ("lb_id_x_client_id0.P_lb_routing_0>=1" & "server_id_x_clients_no5.P_lb_load_5>=1" & "server_id_x_clients_no11.P_lb_load_11>=1") | ("lb_id_x_client_id1.P_lb_routing_1>=1" & "server_id_x_clients_no5.P_lb_load_5>=1" & "server_id_x_clients_no11.P_lb_load_11>=1") | ("lb_id_x_client_id2.P_lb_routing_2>=1" & "server_id_x_clients_no5.P_lb_load_5>=1" & "server_id_x_clients_no11.P_lb_load_11>=1") | ("lb_id_x_client_id3.P_lb_routing_3>=1" & "server_id_x_clients_no5.P_lb_load_5>=1" & "server_id_x_clients_no11.P_lb_load_11>=1") | ("lb_id_x_client_id4.P_lb_routing_4>=1" & "server_id_x_clients_no5.P_lb_load_5>=1" & "server_id_x_clients_no11.P_lb_load_11>=1"))) U (("lb_id_x_client_id0.P_lb_routing_0>=1" & "server_id_x_clients_no0.P_lb_load_0>=1" & "server_id_x_clients_no6.P_lb_load_6>=1") | ("lb_id_x_client_id0.P_lb_routing_0>=1" & "server_id_x_clients_no0.P_lb_load_0>=1" & "server_id_x_clients_no7.P_lb_load_7>=1") | ("lb_id_x_client_id1.P_lb_routing_1>=1" & "server_id_x_clients_no0.P_lb_load_0>=1" & "server_id_x_clients_no6.P_lb_load_6>=1") | ("lb_id_x_client_id1.P_lb_routing_1>=1" & "server_id_x_clients_no0.P_lb_load_0>=1" & "server_id_x_clients_no7.P_lb_load_7>=1") | ("lb_id_x_client_id2.P_lb_routing_2>=1" & "server_id_x_clients_no0.P_lb_load_0>=1" & "server_id_x_clients_no6.P_lb_load_6>=1") | ("lb_id_x_client_id2.P_lb_routing_2>=1" & "server_id_x_clients_no0.P_lb_load_0>=1" & "server_id_x_clients_no7.P_lb_load_7>=1") | ("lb_id_x_client_id3.P_lb_routing_3>=1" & "server_id_x_clients_no0.P_lb_load_0>=1" & "server_id_x_clients_no6.P_lb_load_6>=1") | ("lb_id_x_client_id3.P_lb_routing_3>=1" & "server_id_x_clients_no0.P_lb_load_0>=1" & "server_id_x_clients_no7.P_lb_load_7>=1") | ("lb_id_x_client_id4.P_lb_routing_4>=1" & "server_id_x_clients_no0.P_lb_load_0>=1" & "server_id_x_clients_no6.P_lb_load_6>=1") | ("lb_id_x_client_id4.P_lb_routing_4>=1" & "server_id_x_clients_no0.P_lb_load_0>=1" & "server_id_x_clients_no7.P_lb_load_7>=1") | ("lb_id_x_client_id0.P_lb_routing_0>=1" & "server_id_x_clients_no1.P_lb_load_1>=1" & "server_id_x_clients_no7.P_lb_load_7>=1") | ("lb_id_x_client_id0.P_lb_routing_0>=1" & "server_id_x_clients_no1.P_lb_load_1>=1" & "server_id_x_clients_no8.P_lb_load_8>=1") | ("lb_id_x_client_id1.P_lb_routing_1>=1" & "server_id_x_clients_no1.P_lb_load_1>=1" & "server_id_x_clients_no7.P_lb_load_7>=1") | ("lb_id_x_client_id1.P_lb_routing_1>=1" & "server_id_x_clients_no1.P_lb_load_1>=1" & "server_id_x_clients_no8.P_lb_load_8>=1") | ("lb_id_x_client_id2.P_lb_routing_2>=1" & "server_id_x_clients_no1.P_lb_load_1>=1" & "server_id_x_clients_no7.P_lb_load_7>=1") | ("lb_id_x_client_id2.P_lb_routing_2>=1" & "server_id_x_clients_no1.P_lb_load_1>=1" & "server_id_x_clients_no8.P_lb_load_8>=1") | ("lb_id_x_client_id3.P_lb_routing_3>=1" & "server_id_x_clients_no1.P_lb_load_1>=1" & "server_id_x_clients_no7.P_lb_load_7>=1") | ("lb_id_x_client_id3.P_lb_routing_3>=1" & "server_id_x_clients_no1.P_lb_load_1>=1" & "server_id_x_clients_no8.P_lb_load_8>=1") | ("lb_id_x_client_id4.P_lb_routing_4>=1" & "server_id_x_clients_no1.P_lb_load_1>=1" & "server_id_x_clients_no7.P_lb_load_7>=1") | ("lb_id_x_client_id4.P_lb_routing_4>=1" & "server_id_x_clients_no1.P_lb_load_1>=1" & "server_id_x_clients_no8.P_lb_load_8>=1") | ("lb_id_x_client_id0.P_lb_routing_0>=1" & "server_id_x_clients_no2.P_lb_load_2>=1" & "server_id_x_clients_no8.P_lb_load_8>=1") | ("lb_id_x_client_id0.P_lb_routing_0>=1" & "server_id_x_clients_no2.P_lb_load_2>=1" & "server_id_x_clients_no9.P_lb_load_9>=1") | ("lb_id_x_client_id1.P_lb_routing_1>=1" & "server_id_x_clients_no2.P_lb_load_2>=1" & "server_id_x_clients_no8.P_lb_load_8>=1") | ("lb_id_x_client_id1.P_lb_routing_1>=1" & "server_id_x_clients_no2.P_lb_load_2>=1" & "server_id_x_clients_no9.P_lb_load_9>=1") | ("lb_id_x_client_id2.P_lb_routing_2>=1" & "server_id_x_clients_no2.P_lb_load_2>=1" & "server_id_x_clients_no8.P_lb_load_8>=1") | ("lb_id_x_client_id2.P_lb_routing_2>=1" & "server_id_x_clients_no2.P_lb_load_2>=1" & "server_id_x_clients_no9.P_lb_load_9>=1") | ("lb_id_x_client_id3.P_lb_routing_3>=1" & "server_id_x_clients_no2.P_lb_load_2>=1" & "server_id_x_clients_no8.P_lb_load_8>=1") | ("lb_id_x_client_id3.P_lb_routing_3>=1" & "server_id_x_clients_no2.P_lb_load_2>=1" & "server_id_x_clients_no9.P_lb_load_9>=1") | ("lb_id_x_client_id4.P_lb_routing_4>=1" & "server_id_x_clients_no2.P_lb_load_2>=1" & "server_id_x_clients_no8.P_lb_load_8>=1") | ("lb_id_x_client_id4.P_lb_routing_4>=1" & "server_id_x_clients_no2.P_lb_load_2>=1" & "server_id_x_clients_no9.P_lb_load_9>=1") | ("lb_id_x_client_id0.P_lb_routing_0>=1" & "server_id_x_clients_no3.P_lb_load_3>=1" & "server_id_x_clients_no9.P_lb_load_9>=1") | ("lb_id_x_client_id0.P_lb_routing_0>=1" & "server_id_x_clients_no3.P_lb_load_3>=1" & "server_id_x_clients_no10.P_lb_load_10>=1") | ("lb_id_x_client_id1.P_lb_routing_1>=1" & "server_id_x_clients_no3.P_lb_load_3>=1" & "server_id_x_clients_no9.P_lb_load_9>=1") | ("lb_id_x_client_id1.P_lb_routing_1>=1" & "server_id_x_clients_no3.P_lb_load_3>=1" & "server_id_x_clients_no10.P_lb_load_10>=1") | ("lb_id_x_client_id2.P_lb_routing_2>=1" & "server_id_x_clients_no3.P_lb_load_3>=1" & "server_id_x_clients_no9.P_lb_load_9>=1") | ("lb_id_x_client_id2.P_lb_routing_2>=1" & "server_id_x_clients_no3.P_lb_load_3>=1" & "server_id_x_clients_no10.P_lb_load_10>=1") | ("lb_id_x_client_id3.P_lb_routing_3>=1" & "server_id_x_clients_no3.P_lb_load_3>=1" & "server_id_x_clients_no9.P_lb_load_9>=1") | ("lb_id_x_client_id3.P_lb_routing_3>=1" & "server_id_x_clients_no3.P_lb_load_3>=1" & "server_id_x_clients_no10.P_lb_load_10>=1") | ("lb_id_x_client_id4.P_lb_routing_4>=1" & "server_id_x_clients_no3.P_lb_load_3>=1" & "server_id_x_clients_no9.P_lb_load_9>=1") | ("lb_id_x_client_id4.P_lb_routing_4>=1" & "server_id_x_clients_no3.P_lb_load_3>=1" & "server_id_x_clients_no10.P_lb_load_10>=1") | ("lb_id_x_client_id0.P_lb_routing_0>=1" & "server_id_x_clients_no4.P_lb_load_4>=1" & "server_id_x_clients_no10.P_lb_load_10>=1") | ("lb_id_x_client_id0.P_lb_routing_0>=1" & "server_id_x_clients_no4.P_lb_load_4>=1" & "server_id_x_clients_no11.P_lb_load_11>=1") | ("lb_id_x_client_id1.P_lb_routing_1>=1" & "server_id_x_clients_no4.P_lb_load_4>=1" & "server_id_x_clients_no10.P_lb_load_10>=1") | ("lb_id_x_client_id1.P_lb_routing_1>=1" & "server_id_x_clients_no4.P_lb_load_4>=1" & "server_id_x_clients_no11.P_lb_load_11>=1") | ("lb_id_x_client_id2.P_lb_routing_2>=1" & "server_id_x_clients_no4.P_lb_load_4>=1" & "server_id_x_clients_no10.P_lb_load_10>=1") | ("lb_id_x_client_id2.P_lb_routing_2>=1" & "server_id_x_clients_no4.P_lb_load_4>=1" & "server_id_x_clients_no11.P_lb_load_11>=1") | ("lb_id_x_client_id3.P_lb_routing_3>=1" & "server_id_x_clients_no4.P_lb_load_4>=1" & "server_id_x_clients_no10.P_lb_load_10>=1") | ("lb_id_x_client_id3.P_lb_routing_3>=1" & "server_id_x_clients_no4.P_lb_load_4>=1" & "server_id_x_clients_no11.P_lb_load_11>=1") | ("lb_id_x_client_id4.P_lb_routing_4>=1" & "server_id_x_clients_no4.P_lb_load_4>=1" & "server_id_x_clients_no10.P_lb_load_10>=1") | ("lb_id_x_client_id4.P_lb_routing_4>=1" & "server_id_x_clients_no4.P_lb_load_4>=1" & "server_id_x_clients_no11.P_lb_load_11>=1") | ("lb_id_x_client_id0.P_lb_routing_0>=1" & "server_id_x_clients_no5.P_lb_load_5>=1" & "server_id_x_clients_no11.P_lb_load_11>=1") | ("lb_id_x_client_id1.P_lb_routing_1>=1" & "server_id_x_clients_no5.P_lb_load_5>=1" & "server_id_x_clients_no11.P_lb_load_11>=1") | ("lb_id_x_client_id2.P_lb_routing_2>=1" & "server_id_x_clients_no5.P_lb_load_5>=1" & "server_id_x_clients_no11.P_lb_load_11>=1") | ("lb_id_x_client_id3.P_lb_routing_3>=1" & "server_id_x_clients_no5.P_lb_load_5>=1" & "server_id_x_clients_no11.P_lb_load_11>=1") | ("lb_id_x_client_id4.P_lb_routing_4>=1" & "server_id_x_clients_no5.P_lb_load_5>=1" & "server_id_x_clients_no11.P_lb_load_11>=1")))
1 unique states visited
0 strongly connected components in search stack
0 transitions explored
1 items max in DFS search stack
7 ticks for the emptiness check
Model ,|S| ,Time ,Mem(kb) ,fin. SDD ,fin. DDD ,peak SDD ,peak DDD ,SDD Hom ,SDD cache peak ,DDD Hom ,DDD cachepeak ,SHom cache
STATS,0,454.778,981164,1,0,2.4029e+06,503,4522,1.59009e+06,210,478,6588235
no accepting run found
Formula 7 is TRUE no accepting run found.
FORMULA SimpleLoadBal-COL-05-LTLFireability-7 TRUE TECHNIQUES DECISION_DIAGRAMS TOPOLOGICAL USE_NUPN
Checking formula 8 : !((((((("client_id0.P_client_request_0>=1")&&("P_lb_idle.P_lb_idle[0]>=1"))||(("client_id1.P_client_request_1>=1")&&("P_lb_idle.P_lb_idle[0]>=1")))||(("client_id2.P_client_request_2>=1")&&("P_lb_idle.P_lb_idle[0]>=1")))||(("client_id3.P_client_request_3>=1")&&("P_lb_idle.P_lb_idle[0]>=1")))||(("client_id4.P_client_request_4>=1")&&("P_lb_idle.P_lb_idle[0]>=1"))))
Formula 8 simplified : !(("P_lb_idle.P_lb_idle[0]>=1" & "client_id0.P_client_request_0>=1") | ("P_lb_idle.P_lb_idle[0]>=1" & "client_id1.P_client_request_1>=1") | ("P_lb_idle.P_lb_idle[0]>=1" & "client_id2.P_client_request_2>=1") | ("P_lb_idle.P_lb_idle[0]>=1" & "client_id3.P_client_request_3>=1") | ("P_lb_idle.P_lb_idle[0]>=1" & "client_id4.P_client_request_4>=1"))
3 unique states visited
3 strongly connected components in search stack
3 transitions explored
3 items max in DFS search stack
0 ticks for the emptiness check
Model ,|S| ,Time ,Mem(kb) ,fin. SDD ,fin. DDD ,peak SDD ,peak DDD ,SDD Hom ,SDD cache peak ,DDD Hom ,DDD cachepeak ,SHom cache
STATS,0,454.781,981164,1,0,2.4029e+06,503,4523,1.59009e+06,210,478,6588307
an accepting run exists (use option '-e' to print it)
Formula 8 is FALSE accepting run found.
FORMULA SimpleLoadBal-COL-05-LTLFireability-8 FALSE TECHNIQUES DECISION_DIAGRAMS TOPOLOGICAL USE_NUPN
Checking formula 9 : !(((((((((((((((("server_id0.P_server_notification_0>=1")&&("P_lb_balancing.P_lb_balancing[0]>=1"))&&("server_id_x_clients_no0.P_lb_load_0>=1"))||((("server_id1.P_server_notification_1>=1")&&("P_lb_balancing.P_lb_balancing[0]>=1"))&&("server_id_x_clients_no6.P_lb_load_6>=1")))||((("server_id0.P_server_notification_0>=1")&&("P_lb_balancing.P_lb_balancing[0]>=1"))&&("server_id_x_clients_no1.P_lb_load_1>=1")))||((("server_id1.P_server_notification_1>=1")&&("P_lb_balancing.P_lb_balancing[0]>=1"))&&("server_id_x_clients_no7.P_lb_load_7>=1")))||((("server_id0.P_server_notification_0>=1")&&("P_lb_balancing.P_lb_balancing[0]>=1"))&&("server_id_x_clients_no2.P_lb_load_2>=1")))||((("server_id1.P_server_notification_1>=1")&&("P_lb_balancing.P_lb_balancing[0]>=1"))&&("server_id_x_clients_no8.P_lb_load_8>=1")))||((("server_id0.P_server_notification_0>=1")&&("P_lb_balancing.P_lb_balancing[0]>=1"))&&("server_id_x_clients_no3.P_lb_load_3>=1")))||((("server_id1.P_server_notification_1>=1")&&("P_lb_balancing.P_lb_balancing[0]>=1"))&&("server_id_x_clients_no9.P_lb_load_9>=1")))||((("server_id0.P_server_notification_0>=1")&&("P_lb_balancing.P_lb_balancing[0]>=1"))&&("server_id_x_clients_no4.P_lb_load_4>=1")))||((("server_id1.P_server_notification_1>=1")&&("P_lb_balancing.P_lb_balancing[0]>=1"))&&("server_id_x_clients_no10.P_lb_load_10>=1")))||((("server_id0.P_server_notification_0>=1")&&("P_lb_balancing.P_lb_balancing[0]>=1"))&&("server_id_x_clients_no5.P_lb_load_5>=1")))||((("server_id1.P_server_notification_1>=1")&&("P_lb_balancing.P_lb_balancing[0]>=1"))&&("server_id_x_clients_no11.P_lb_load_11>=1")))U(F(X((((((((((((((((((((((((((("lb_id_x_client_id0.P_lb_routing_0>=1")&&("server_id_x_clients_no1.P_lb_load_1>=1"))&&("server_id_x_clients_no6.P_lb_load_6>=1"))||((("lb_id_x_client_id1.P_lb_routing_1>=1")&&("server_id_x_clients_no1.P_lb_load_1>=1"))&&("server_id_x_clients_no6.P_lb_load_6>=1")))||((("lb_id_x_client_id2.P_lb_routing_2>=1")&&("server_id_x_clients_no1.P_lb_load_1>=1"))&&("server_id_x_clients_no6.P_lb_load_6>=1")))||((("lb_id_x_client_id3.P_lb_routing_3>=1")&&("server_id_x_clients_no1.P_lb_load_1>=1"))&&("server_id_x_clients_no6.P_lb_load_6>=1")))||((("lb_id_x_client_id4.P_lb_routing_4>=1")&&("server_id_x_clients_no1.P_lb_load_1>=1"))&&("server_id_x_clients_no6.P_lb_load_6>=1")))||((("lb_id_x_client_id0.P_lb_routing_0>=1")&&("server_id_x_clients_no2.P_lb_load_2>=1"))&&("server_id_x_clients_no7.P_lb_load_7>=1")))||((("lb_id_x_client_id1.P_lb_routing_1>=1")&&("server_id_x_clients_no2.P_lb_load_2>=1"))&&("server_id_x_clients_no7.P_lb_load_7>=1")))||((("lb_id_x_client_id2.P_lb_routing_2>=1")&&("server_id_x_clients_no2.P_lb_load_2>=1"))&&("server_id_x_clients_no7.P_lb_load_7>=1")))||((("lb_id_x_client_id3.P_lb_routing_3>=1")&&("server_id_x_clients_no2.P_lb_load_2>=1"))&&("server_id_x_clients_no7.P_lb_load_7>=1")))||((("lb_id_x_client_id4.P_lb_routing_4>=1")&&("server_id_x_clients_no2.P_lb_load_2>=1"))&&("server_id_x_clients_no7.P_lb_load_7>=1")))||((("lb_id_x_client_id0.P_lb_routing_0>=1")&&("server_id_x_clients_no3.P_lb_load_3>=1"))&&("server_id_x_clients_no8.P_lb_load_8>=1")))||((("lb_id_x_client_id1.P_lb_routing_1>=1")&&("server_id_x_clients_no3.P_lb_load_3>=1"))&&("server_id_x_clients_no8.P_lb_load_8>=1")))||((("lb_id_x_client_id2.P_lb_routing_2>=1")&&("server_id_x_clients_no3.P_lb_load_3>=1"))&&("server_id_x_clients_no8.P_lb_load_8>=1")))||((("lb_id_x_client_id3.P_lb_routing_3>=1")&&("server_id_x_clients_no3.P_lb_load_3>=1"))&&("server_id_x_clients_no8.P_lb_load_8>=1")))||((("lb_id_x_client_id4.P_lb_routing_4>=1")&&("server_id_x_clients_no3.P_lb_load_3>=1"))&&("server_id_x_clients_no8.P_lb_load_8>=1")))||((("lb_id_x_client_id0.P_lb_routing_0>=1")&&("server_id_x_clients_no4.P_lb_load_4>=1"))&&("server_id_x_clients_no9.P_lb_load_9>=1")))||((("lb_id_x_client_id1.P_lb_routing_1>=1")&&("server_id_x_clients_no4.P_lb_load_4>=1"))&&("server_id_x_clients_no9.P_lb_load_9>=1")))||((("lb_id_x_client_id2.P_lb_routing_2>=1")&&("server_id_x_clients_no4.P_lb_load_4>=1"))&&("server_id_x_clients_no9.P_lb_load_9>=1")))||((("lb_id_x_client_id3.P_lb_routing_3>=1")&&("server_id_x_clients_no4.P_lb_load_4>=1"))&&("server_id_x_clients_no9.P_lb_load_9>=1")))||((("lb_id_x_client_id4.P_lb_routing_4>=1")&&("server_id_x_clients_no4.P_lb_load_4>=1"))&&("server_id_x_clients_no9.P_lb_load_9>=1")))||((("lb_id_x_client_id0.P_lb_routing_0>=1")&&("server_id_x_clients_no5.P_lb_load_5>=1"))&&("server_id_x_clients_no10.P_lb_load_10>=1")))||((("lb_id_x_client_id1.P_lb_routing_1>=1")&&("server_id_x_clients_no5.P_lb_load_5>=1"))&&("server_id_x_clients_no10.P_lb_load_10>=1")))||((("lb_id_x_client_id2.P_lb_routing_2>=1")&&("server_id_x_clients_no5.P_lb_load_5>=1"))&&("server_id_x_clients_no10.P_lb_load_10>=1")))||((("lb_id_x_client_id3.P_lb_routing_3>=1")&&("server_id_x_clients_no5.P_lb_load_5>=1"))&&("server_id_x_clients_no10.P_lb_load_10>=1")))||((("lb_id_x_client_id4.P_lb_routing_4>=1")&&("server_id_x_clients_no5.P_lb_load_5>=1"))&&("server_id_x_clients_no10.P_lb_load_10>=1")))))))
Formula 9 simplified : !((("P_lb_balancing.P_lb_balancing[0]>=1" & "server_id0.P_server_notification_0>=1" & "server_id_x_clients_no0.P_lb_load_0>=1") | ("P_lb_balancing.P_lb_balancing[0]>=1" & "server_id1.P_server_notification_1>=1" & "server_id_x_clients_no6.P_lb_load_6>=1") | ("P_lb_balancing.P_lb_balancing[0]>=1" & "server_id0.P_server_notification_0>=1" & "server_id_x_clients_no1.P_lb_load_1>=1") | ("P_lb_balancing.P_lb_balancing[0]>=1" & "server_id1.P_server_notification_1>=1" & "server_id_x_clients_no7.P_lb_load_7>=1") | ("P_lb_balancing.P_lb_balancing[0]>=1" & "server_id0.P_server_notification_0>=1" & "server_id_x_clients_no2.P_lb_load_2>=1") | ("P_lb_balancing.P_lb_balancing[0]>=1" & "server_id1.P_server_notification_1>=1" & "server_id_x_clients_no8.P_lb_load_8>=1") | ("P_lb_balancing.P_lb_balancing[0]>=1" & "server_id0.P_server_notification_0>=1" & "server_id_x_clients_no3.P_lb_load_3>=1") | ("P_lb_balancing.P_lb_balancing[0]>=1" & "server_id1.P_server_notification_1>=1" & "server_id_x_clients_no9.P_lb_load_9>=1") | ("P_lb_balancing.P_lb_balancing[0]>=1" & "server_id0.P_server_notification_0>=1" & "server_id_x_clients_no4.P_lb_load_4>=1") | ("P_lb_balancing.P_lb_balancing[0]>=1" & "server_id1.P_server_notification_1>=1" & "server_id_x_clients_no10.P_lb_load_10>=1") | ("P_lb_balancing.P_lb_balancing[0]>=1" & "server_id0.P_server_notification_0>=1" & "server_id_x_clients_no5.P_lb_load_5>=1") | ("P_lb_balancing.P_lb_balancing[0]>=1" & "server_id1.P_server_notification_1>=1" & "server_id_x_clients_no11.P_lb_load_11>=1")) U FX(("lb_id_x_client_id0.P_lb_routing_0>=1" & "server_id_x_clients_no1.P_lb_load_1>=1" & "server_id_x_clients_no6.P_lb_load_6>=1") | ("lb_id_x_client_id1.P_lb_routing_1>=1" & "server_id_x_clients_no1.P_lb_load_1>=1" & "server_id_x_clients_no6.P_lb_load_6>=1") | ("lb_id_x_client_id2.P_lb_routing_2>=1" & "server_id_x_clients_no1.P_lb_load_1>=1" & "server_id_x_clients_no6.P_lb_load_6>=1") | ("lb_id_x_client_id3.P_lb_routing_3>=1" & "server_id_x_clients_no1.P_lb_load_1>=1" & "server_id_x_clients_no6.P_lb_load_6>=1") | ("lb_id_x_client_id4.P_lb_routing_4>=1" & "server_id_x_clients_no1.P_lb_load_1>=1" & "server_id_x_clients_no6.P_lb_load_6>=1") | ("lb_id_x_client_id0.P_lb_routing_0>=1" & "server_id_x_clients_no2.P_lb_load_2>=1" & "server_id_x_clients_no7.P_lb_load_7>=1") | ("lb_id_x_client_id1.P_lb_routing_1>=1" & "server_id_x_clients_no2.P_lb_load_2>=1" & "server_id_x_clients_no7.P_lb_load_7>=1") | ("lb_id_x_client_id2.P_lb_routing_2>=1" & "server_id_x_clients_no2.P_lb_load_2>=1" & "server_id_x_clients_no7.P_lb_load_7>=1") | ("lb_id_x_client_id3.P_lb_routing_3>=1" & "server_id_x_clients_no2.P_lb_load_2>=1" & "server_id_x_clients_no7.P_lb_load_7>=1") | ("lb_id_x_client_id4.P_lb_routing_4>=1" & "server_id_x_clients_no2.P_lb_load_2>=1" & "server_id_x_clients_no7.P_lb_load_7>=1") | ("lb_id_x_client_id0.P_lb_routing_0>=1" & "server_id_x_clients_no3.P_lb_load_3>=1" & "server_id_x_clients_no8.P_lb_load_8>=1") | ("lb_id_x_client_id1.P_lb_routing_1>=1" & "server_id_x_clients_no3.P_lb_load_3>=1" & "server_id_x_clients_no8.P_lb_load_8>=1") | ("lb_id_x_client_id2.P_lb_routing_2>=1" & "server_id_x_clients_no3.P_lb_load_3>=1" & "server_id_x_clients_no8.P_lb_load_8>=1") | ("lb_id_x_client_id3.P_lb_routing_3>=1" & "server_id_x_clients_no3.P_lb_load_3>=1" & "server_id_x_clients_no8.P_lb_load_8>=1") | ("lb_id_x_client_id4.P_lb_routing_4>=1" & "server_id_x_clients_no3.P_lb_load_3>=1" & "server_id_x_clients_no8.P_lb_load_8>=1") | ("lb_id_x_client_id0.P_lb_routing_0>=1" & "server_id_x_clients_no4.P_lb_load_4>=1" & "server_id_x_clients_no9.P_lb_load_9>=1") | ("lb_id_x_client_id1.P_lb_routing_1>=1" & "server_id_x_clients_no4.P_lb_load_4>=1" & "server_id_x_clients_no9.P_lb_load_9>=1") | ("lb_id_x_client_id2.P_lb_routing_2>=1" & "server_id_x_clients_no4.P_lb_load_4>=1" & "server_id_x_clients_no9.P_lb_load_9>=1") | ("lb_id_x_client_id3.P_lb_routing_3>=1" & "server_id_x_clients_no4.P_lb_load_4>=1" & "server_id_x_clients_no9.P_lb_load_9>=1") | ("lb_id_x_client_id4.P_lb_routing_4>=1" & "server_id_x_clients_no4.P_lb_load_4>=1" & "server_id_x_clients_no9.P_lb_load_9>=1") | ("lb_id_x_client_id0.P_lb_routing_0>=1" & "server_id_x_clients_no5.P_lb_load_5>=1" & "server_id_x_clients_no10.P_lb_load_10>=1") | ("lb_id_x_client_id1.P_lb_routing_1>=1" & "server_id_x_clients_no5.P_lb_load_5>=1" & "server_id_x_clients_no10.P_lb_load_10>=1") | ("lb_id_x_client_id2.P_lb_routing_2>=1" & "server_id_x_clients_no5.P_lb_load_5>=1" & "server_id_x_clients_no10.P_lb_load_10>=1") | ("lb_id_x_client_id3.P_lb_routing_3>=1" & "server_id_x_clients_no5.P_lb_load_5>=1" & "server_id_x_clients_no10.P_lb_load_10>=1") | ("lb_id_x_client_id4.P_lb_routing_4>=1" & "server_id_x_clients_no5.P_lb_load_5>=1" & "server_id_x_clients_no10.P_lb_load_10>=1")))
3 unique states visited
3 strongly connected components in search stack
3 transitions explored
3 items max in DFS search stack
28 ticks for the emptiness check
Model ,|S| ,Time ,Mem(kb) ,fin. SDD ,fin. DDD ,peak SDD ,peak DDD ,SDD Hom ,SDD cache peak ,DDD Hom ,DDD cachepeak ,SHom cache
STATS,0,496.67,1006464,1,0,2.414e+06,503,4595,1.5973e+06,210,478,6646852
an accepting run exists (use option '-e' to print it)
Formula 9 is FALSE accepting run found.
FORMULA SimpleLoadBal-COL-05-LTLFireability-9 FALSE TECHNIQUES DECISION_DIAGRAMS TOPOLOGICAL USE_NUPN
Checking formula 10 : !((G((((((((((((((((((((((((((((("lb_id_x_client_id0.P_lb_routing_0>=1")&&("server_id_x_clients_no1.P_lb_load_1>=1"))&&("server_id_x_clients_no6.P_lb_load_6>=1"))||((("lb_id_x_client_id1.P_lb_routing_1>=1")&&("server_id_x_clients_no1.P_lb_load_1>=1"))&&("server_id_x_clients_no6.P_lb_load_6>=1")))||((("lb_id_x_client_id2.P_lb_routing_2>=1")&&("server_id_x_clients_no1.P_lb_load_1>=1"))&&("server_id_x_clients_no6.P_lb_load_6>=1")))||((("lb_id_x_client_id3.P_lb_routing_3>=1")&&("server_id_x_clients_no1.P_lb_load_1>=1"))&&("server_id_x_clients_no6.P_lb_load_6>=1")))||((("lb_id_x_client_id4.P_lb_routing_4>=1")&&("server_id_x_clients_no1.P_lb_load_1>=1"))&&("server_id_x_clients_no6.P_lb_load_6>=1")))||((("lb_id_x_client_id0.P_lb_routing_0>=1")&&("server_id_x_clients_no2.P_lb_load_2>=1"))&&("server_id_x_clients_no7.P_lb_load_7>=1")))||((("lb_id_x_client_id1.P_lb_routing_1>=1")&&("server_id_x_clients_no2.P_lb_load_2>=1"))&&("server_id_x_clients_no7.P_lb_load_7>=1")))||((("lb_id_x_client_id2.P_lb_routing_2>=1")&&("server_id_x_clients_no2.P_lb_load_2>=1"))&&("server_id_x_clients_no7.P_lb_load_7>=1")))||((("lb_id_x_client_id3.P_lb_routing_3>=1")&&("server_id_x_clients_no2.P_lb_load_2>=1"))&&("server_id_x_clients_no7.P_lb_load_7>=1")))||((("lb_id_x_client_id4.P_lb_routing_4>=1")&&("server_id_x_clients_no2.P_lb_load_2>=1"))&&("server_id_x_clients_no7.P_lb_load_7>=1")))||((("lb_id_x_client_id0.P_lb_routing_0>=1")&&("server_id_x_clients_no3.P_lb_load_3>=1"))&&("server_id_x_clients_no8.P_lb_load_8>=1")))||((("lb_id_x_client_id1.P_lb_routing_1>=1")&&("server_id_x_clients_no3.P_lb_load_3>=1"))&&("server_id_x_clients_no8.P_lb_load_8>=1")))||((("lb_id_x_client_id2.P_lb_routing_2>=1")&&("server_id_x_clients_no3.P_lb_load_3>=1"))&&("server_id_x_clients_no8.P_lb_load_8>=1")))||((("lb_id_x_client_id3.P_lb_routing_3>=1")&&("server_id_x_clients_no3.P_lb_load_3>=1"))&&("server_id_x_clients_no8.P_lb_load_8>=1")))||((("lb_id_x_client_id4.P_lb_routing_4>=1")&&("server_id_x_clients_no3.P_lb_load_3>=1"))&&("server_id_x_clients_no8.P_lb_load_8>=1")))||((("lb_id_x_client_id0.P_lb_routing_0>=1")&&("server_id_x_clients_no4.P_lb_load_4>=1"))&&("server_id_x_clients_no9.P_lb_load_9>=1")))||((("lb_id_x_client_id1.P_lb_routing_1>=1")&&("server_id_x_clients_no4.P_lb_load_4>=1"))&&("server_id_x_clients_no9.P_lb_load_9>=1")))||((("lb_id_x_client_id2.P_lb_routing_2>=1")&&("server_id_x_clients_no4.P_lb_load_4>=1"))&&("server_id_x_clients_no9.P_lb_load_9>=1")))||((("lb_id_x_client_id3.P_lb_routing_3>=1")&&("server_id_x_clients_no4.P_lb_load_4>=1"))&&("server_id_x_clients_no9.P_lb_load_9>=1")))||((("lb_id_x_client_id4.P_lb_routing_4>=1")&&("server_id_x_clients_no4.P_lb_load_4>=1"))&&("server_id_x_clients_no9.P_lb_load_9>=1")))||((("lb_id_x_client_id0.P_lb_routing_0>=1")&&("server_id_x_clients_no5.P_lb_load_5>=1"))&&("server_id_x_clients_no10.P_lb_load_10>=1")))||((("lb_id_x_client_id1.P_lb_routing_1>=1")&&("server_id_x_clients_no5.P_lb_load_5>=1"))&&("server_id_x_clients_no10.P_lb_load_10>=1")))||((("lb_id_x_client_id2.P_lb_routing_2>=1")&&("server_id_x_clients_no5.P_lb_load_5>=1"))&&("server_id_x_clients_no10.P_lb_load_10>=1")))||((("lb_id_x_client_id3.P_lb_routing_3>=1")&&("server_id_x_clients_no5.P_lb_load_5>=1"))&&("server_id_x_clients_no10.P_lb_load_10>=1")))||((("lb_id_x_client_id4.P_lb_routing_4>=1")&&("server_id_x_clients_no5.P_lb_load_5>=1"))&&("server_id_x_clients_no10.P_lb_load_10>=1")))U(((((("client_id0.P_client_request_0>=1")&&("P_lb_idle.P_lb_idle[0]>=1"))||(("client_id1.P_client_request_1>=1")&&("P_lb_idle.P_lb_idle[0]>=1")))||(("client_id2.P_client_request_2>=1")&&("P_lb_idle.P_lb_idle[0]>=1")))||(("client_id3.P_client_request_3>=1")&&("P_lb_idle.P_lb_idle[0]>=1")))||(("client_id4.P_client_request_4>=1")&&("P_lb_idle.P_lb_idle[0]>=1"))))U(G(((((("client_id0.P_client_ack_0>=1")&&("client_id0.P_client_waiting_0>=1"))||(("client_id1.P_client_ack_1>=1")&&("client_id1.P_client_waiting_1>=1")))||(("client_id2.P_client_ack_2>=1")&&("client_id2.P_client_waiting_2>=1")))||(("client_id3.P_client_ack_3>=1")&&("client_id3.P_client_waiting_3>=1")))||(("client_id4.P_client_ack_4>=1")&&("client_id4.P_client_waiting_4>=1")))))))
Formula 10 simplified : !G(((("lb_id_x_client_id0.P_lb_routing_0>=1" & "server_id_x_clients_no1.P_lb_load_1>=1" & "server_id_x_clients_no6.P_lb_load_6>=1") | ("lb_id_x_client_id1.P_lb_routing_1>=1" & "server_id_x_clients_no1.P_lb_load_1>=1" & "server_id_x_clients_no6.P_lb_load_6>=1") | ("lb_id_x_client_id2.P_lb_routing_2>=1" & "server_id_x_clients_no1.P_lb_load_1>=1" & "server_id_x_clients_no6.P_lb_load_6>=1") | ("lb_id_x_client_id3.P_lb_routing_3>=1" & "server_id_x_clients_no1.P_lb_load_1>=1" & "server_id_x_clients_no6.P_lb_load_6>=1") | ("lb_id_x_client_id4.P_lb_routing_4>=1" & "server_id_x_clients_no1.P_lb_load_1>=1" & "server_id_x_clients_no6.P_lb_load_6>=1") | ("lb_id_x_client_id0.P_lb_routing_0>=1" & "server_id_x_clients_no2.P_lb_load_2>=1" & "server_id_x_clients_no7.P_lb_load_7>=1") | ("lb_id_x_client_id1.P_lb_routing_1>=1" & "server_id_x_clients_no2.P_lb_load_2>=1" & "server_id_x_clients_no7.P_lb_load_7>=1") | ("lb_id_x_client_id2.P_lb_routing_2>=1" & "server_id_x_clients_no2.P_lb_load_2>=1" & "server_id_x_clients_no7.P_lb_load_7>=1") | ("lb_id_x_client_id3.P_lb_routing_3>=1" & "server_id_x_clients_no2.P_lb_load_2>=1" & "server_id_x_clients_no7.P_lb_load_7>=1") | ("lb_id_x_client_id4.P_lb_routing_4>=1" & "server_id_x_clients_no2.P_lb_load_2>=1" & "server_id_x_clients_no7.P_lb_load_7>=1") | ("lb_id_x_client_id0.P_lb_routing_0>=1" & "server_id_x_clients_no3.P_lb_load_3>=1" & "server_id_x_clients_no8.P_lb_load_8>=1") | ("lb_id_x_client_id1.P_lb_routing_1>=1" & "server_id_x_clients_no3.P_lb_load_3>=1" & "server_id_x_clients_no8.P_lb_load_8>=1") | ("lb_id_x_client_id2.P_lb_routing_2>=1" & "server_id_x_clients_no3.P_lb_load_3>=1" & "server_id_x_clients_no8.P_lb_load_8>=1") | ("lb_id_x_client_id3.P_lb_routing_3>=1" & "server_id_x_clients_no3.P_lb_load_3>=1" & "server_id_x_clients_no8.P_lb_load_8>=1") | ("lb_id_x_client_id4.P_lb_routing_4>=1" & "server_id_x_clients_no3.P_lb_load_3>=1" & "server_id_x_clients_no8.P_lb_load_8>=1") | ("lb_id_x_client_id0.P_lb_routing_0>=1" & "server_id_x_clients_no4.P_lb_load_4>=1" & "server_id_x_clients_no9.P_lb_load_9>=1") | ("lb_id_x_client_id1.P_lb_routing_1>=1" & "server_id_x_clients_no4.P_lb_load_4>=1" & "server_id_x_clients_no9.P_lb_load_9>=1") | ("lb_id_x_client_id2.P_lb_routing_2>=1" & "server_id_x_clients_no4.P_lb_load_4>=1" & "server_id_x_clients_no9.P_lb_load_9>=1") | ("lb_id_x_client_id3.P_lb_routing_3>=1" & "server_id_x_clients_no4.P_lb_load_4>=1" & "server_id_x_clients_no9.P_lb_load_9>=1") | ("lb_id_x_client_id4.P_lb_routing_4>=1" & "server_id_x_clients_no4.P_lb_load_4>=1" & "server_id_x_clients_no9.P_lb_load_9>=1") | ("lb_id_x_client_id0.P_lb_routing_0>=1" & "server_id_x_clients_no5.P_lb_load_5>=1" & "server_id_x_clients_no10.P_lb_load_10>=1") | ("lb_id_x_client_id1.P_lb_routing_1>=1" & "server_id_x_clients_no5.P_lb_load_5>=1" & "server_id_x_clients_no10.P_lb_load_10>=1") | ("lb_id_x_client_id2.P_lb_routing_2>=1" & "server_id_x_clients_no5.P_lb_load_5>=1" & "server_id_x_clients_no10.P_lb_load_10>=1") | ("lb_id_x_client_id3.P_lb_routing_3>=1" & "server_id_x_clients_no5.P_lb_load_5>=1" & "server_id_x_clients_no10.P_lb_load_10>=1") | ("lb_id_x_client_id4.P_lb_routing_4>=1" & "server_id_x_clients_no5.P_lb_load_5>=1" & "server_id_x_clients_no10.P_lb_load_10>=1")) U (("P_lb_idle.P_lb_idle[0]>=1" & "client_id0.P_client_request_0>=1") | ("P_lb_idle.P_lb_idle[0]>=1" & "client_id1.P_client_request_1>=1") | ("P_lb_idle.P_lb_idle[0]>=1" & "client_id2.P_client_request_2>=1") | ("P_lb_idle.P_lb_idle[0]>=1" & "client_id3.P_client_request_3>=1") | ("P_lb_idle.P_lb_idle[0]>=1" & "client_id4.P_client_request_4>=1"))) U G(("client_id0.P_client_ack_0>=1" & "client_id0.P_client_waiting_0>=1") | ("client_id1.P_client_ack_1>=1" & "client_id1.P_client_waiting_1>=1") | ("client_id2.P_client_ack_2>=1" & "client_id2.P_client_waiting_2>=1") | ("client_id3.P_client_ack_3>=1" & "client_id3.P_client_waiting_3>=1") | ("client_id4.P_client_ack_4>=1" & "client_id4.P_client_waiting_4>=1")))
COULD_NOT_COMPUTE

BK_STOP 1464873045448

--------------------
content from stderr:

+ export BINDIR=/home/mcc/BenchKit/
+ BINDIR=/home/mcc/BenchKit/
++ pwd
+ export MODEL=/home/mcc/execution
+ MODEL=/home/mcc/execution
+ [[ LTLFireability = StateSpace ]]
+ /home/mcc/BenchKit//runeclipse.sh /home/mcc/execution LTLFireability -its
+ ulimit -s 65536
+ java -Dosgi.requiredJavaVersion=1.6 -XX:MaxPermSize=512m -Xss8m -Xms40m -Xmx8192m -Declipse.pde.launch=true -Dfile.encoding=UTF-8 -classpath /home/mcc/BenchKit//eclipse/plugins/org.eclipse.equinox.launcher_1.3.100.v20150511-1540.jar org.eclipse.equinox.launcher.Main -application fr.lip6.move.gal.application.pnmcc -data /home/mcc/BenchKit//workspace -os linux -ws gtk -arch x86_64 -nl en_US -consoleLog -pnfolder /home/mcc/execution -examination LTLFireability -z3path /home/mcc/BenchKit//z3/bin/z3 -yices2path /home/mcc/BenchKit//yices/bin/yices -its
Java HotSpot(TM) 64-Bit Server VM warning: ignoring option MaxPermSize=512m; support was removed in 8.0
Jun 02, 2016 12:12:07 PM fr.lip6.move.gal.application.Application transformPNML
INFO: Parsing pnml file : /home/mcc/execution/model.pnml
Jun 02, 2016 12:12:07 PM fr.lip6.move.gal.pnml.togal.PnmlToGalTransformer transform
INFO: Detected file is not PT type :http://www.pnml.org/version-2009/grammar/symmetricnet
Jun 02, 2016 12:12:08 PM fr.lip6.move.gal.pnml.togal.PnmlToGalTransformer transform
INFO: Load time of PNML (colored model parsed with PNMLFW) : 1852 ms
Jun 02, 2016 12:12:09 PM fr.lip6.move.gal.pnml.togal.HLGALTransformer handlePage
INFO: Transformed 14 places.
Jun 02, 2016 12:12:09 PM fr.lip6.move.gal.pnml.togal.HLGALTransformer handlePage
INFO: read order :VarOrder [vars=[P_client_idle[0], P_client_idle[1], P_client_idle[2], P_client_idle[3], P_client_idle[4], P_client_waiting[0], P_client_waiting[1], P_client_waiting[2], P_client_waiting[3], P_client_waiting[4], P_client_request[0], P_client_request[1], P_client_request[2], P_client_request[3], P_client_request[4], P_client_ack[0], P_client_ack[1], P_client_ack[2], P_client_ack[3], P_client_ack[4], P_server_idle[0], P_server_idle[1], P_server_waiting[0], P_server_waiting[1], P_server_processed[0], P_server_processed[1], P_server_notification[0], P_server_notification[1], P_server_notification_ack[0], P_server_notification_ack[1], P_server_request[0], P_server_request[1], P_server_request[2], P_server_request[3], P_server_request[4], P_server_request[5], P_server_request[6], P_server_request[7], P_server_request[8], P_server_request[9], P_lb_idle[0], P_lb_routing[0], P_lb_routing[1], P_lb_routing[2], P_lb_routing[3], P_lb_routing[4], P_lb_balancing[0], P_lb_load[0], P_lb_load[1], P_lb_load[2], P_lb_load[3], P_lb_load[4], P_lb_load[5], P_lb_load[6], P_lb_load[7], P_lb_load[8], P_lb_load[9], P_lb_load[10], P_lb_load[11]]]
Jun 02, 2016 12:12:09 PM fr.lip6.move.gal.pnml.togal.HLGALTransformer handlePage
INFO: sort/places :lb_id * client_id->P-lb_routing,
client_id * server_id->P-server_request,
lb_id->P-lb_idle,P-lb_balancing,
server_id * clients_no->P-lb_load,
server_id->P-server_idle,P-server_waiting,P-server_processed,P-server_notification,P-server_notification_ack,
client_id->P-client_idle,P-client_waiting,P-client_request,P-client_ack,

Jun 02, 2016 12:12:09 PM fr.lip6.move.gal.pnml.togal.HLGALTransformer handlePage
INFO: Transformed 13 transitions.
Jun 02, 2016 12:12:09 PM fr.lip6.move.gal.pnml.togal.PnmlToGalTransformer transform
INFO: Computed order based on color domains : CompositeGalOrder [children=[VarOrder [vars=[P_lb_routing[0]]], VarOrder [vars=[P_lb_routing[1]]], VarOrder [vars=[P_lb_routing[2]]], VarOrder [vars=[P_lb_routing[3]]], VarOrder [vars=[P_lb_routing[4]]], VarOrder [vars=[P_server_request[0]]], VarOrder [vars=[P_server_request[1]]], VarOrder [vars=[P_server_request[2]]], VarOrder [vars=[P_server_request[3]]], VarOrder [vars=[P_server_request[4]]], VarOrder [vars=[P_server_request[5]]], VarOrder [vars=[P_server_request[6]]], VarOrder [vars=[P_server_request[7]]], VarOrder [vars=[P_server_request[8]]], VarOrder [vars=[P_server_request[9]]], VarOrder [vars=[P_lb_idle[0]]], VarOrder [vars=[P_lb_balancing[0]]], VarOrder [vars=[P_lb_load[0]]], VarOrder [vars=[P_lb_load[1]]], VarOrder [vars=[P_lb_load[2]]], VarOrder [vars=[P_lb_load[3]]], VarOrder [vars=[P_lb_load[4]]], VarOrder [vars=[P_lb_load[5]]], VarOrder [vars=[P_lb_load[6]]], VarOrder [vars=[P_lb_load[7]]], VarOrder [vars=[P_lb_load[8]]], VarOrder [vars=[P_lb_load[9]]], VarOrder [vars=[P_lb_load[10]]], VarOrder [vars=[P_lb_load[11]]], VarOrder [vars=[P_server_idle[0], P_server_waiting[0], P_server_processed[0], P_server_notification[0], P_server_notification_ack[0]]], VarOrder [vars=[P_server_idle[1], P_server_waiting[1], P_server_processed[1], P_server_notification[1], P_server_notification_ack[1]]], VarOrder [vars=[P_client_idle[0], P_client_waiting[0], P_client_request[0], P_client_ack[0]]], VarOrder [vars=[P_client_idle[1], P_client_waiting[1], P_client_request[1], P_client_ack[1]]], VarOrder [vars=[P_client_idle[2], P_client_waiting[2], P_client_request[2], P_client_ack[2]]], VarOrder [vars=[P_client_idle[3], P_client_waiting[3], P_client_request[3], P_client_ack[3]]], VarOrder [vars=[P_client_idle[4], P_client_waiting[4], P_client_request[4], P_client_ack[4]]]]]
Jun 02, 2016 12:12:10 PM fr.lip6.move.gal.instantiate.Instantiator instantiateParameters
INFO: On-the-fly reduction of False transitions avoided exploring 620 instantiations of transitions. Total transitions/syncs built is 207
Jun 02, 2016 12:12:10 PM fr.lip6.move.gal.instantiate.GALRewriter flatten
INFO: Flatten gal took : 416 ms
Jun 02, 2016 12:12:10 PM fr.lip6.move.gal.application.Application applyOrder
INFO: Applying decomposition
Jun 02, 2016 12:12:10 PM fr.lip6.move.gal.instantiate.CompositeBuilder decomposeWithOrder
INFO: Decomposing Gal with order
Jun 02, 2016 12:12:10 PM fr.lip6.move.gal.instantiate.GALRewriter flatten
INFO: Flatten gal took : 106 ms
Jun 02, 2016 12:12:10 PM fr.lip6.move.gal.instantiate.CompositeBuilder decomposeWithOrder
INFO: Partition obtained :[P_lb_routing[0], ],[P_lb_routing[1], ],[P_lb_routing[2], ],[P_lb_routing[3], ],[P_lb_routing[4], ],[P_server_request[0], ],[P_server_request[1], ],[P_server_request[2], ],[P_server_request[3], ],[P_server_request[4], ],[P_server_request[5], ],[P_server_request[6], ],[P_server_request[7], ],[P_server_request[8], ],[P_server_request[9], ],[P_lb_idle[0], ],[P_lb_balancing[0], ],[P_lb_load[0], ],[P_lb_load[1], ],[P_lb_load[2], ],[P_lb_load[3], ],[P_lb_load[4], ],[P_lb_load[5], ],[P_lb_load[6], ],[P_lb_load[7], ],[P_lb_load[8], ],[P_lb_load[9], ],[P_lb_load[10], ],[P_lb_load[11], ],[P_server_idle[0], P_server_waiting[0], P_server_processed[0], P_server_notification[0], P_server_notification_ack[0], ],[P_server_idle[1], P_server_waiting[1], P_server_processed[1], P_server_notification[1], P_server_notification_ack[1], ],[P_client_idle[0], P_client_waiting[0], P_client_request[0], P_client_ack[0], ],[P_client_idle[1], P_client_waiting[1], P_client_request[1], P_client_ack[1], ],[P_client_idle[2], P_client_waiting[2], P_client_request[2], P_client_ack[2], ],[P_client_idle[3], P_client_waiting[3], P_client_request[3], P_client_ack[3], ],[P_client_idle[4], P_client_waiting[4], P_client_request[4], P_client_ack[4], ],

Jun 02, 2016 12:12:10 PM fr.lip6.move.gal.instantiate.CompositeBuilder rewriteArraysToAllowPartition
INFO: Rewriting array P_client_idle to variables to allow decomposition.
Jun 02, 2016 12:12:10 PM fr.lip6.move.gal.instantiate.CompositeBuilder rewriteArraysToAllowPartition
INFO: Rewriting array P_client_waiting to variables to allow decomposition.
Jun 02, 2016 12:12:10 PM fr.lip6.move.gal.instantiate.CompositeBuilder rewriteArraysToAllowPartition
INFO: Rewriting array P_client_request to variables to allow decomposition.
Jun 02, 2016 12:12:10 PM fr.lip6.move.gal.instantiate.CompositeBuilder rewriteArraysToAllowPartition
INFO: Rewriting array P_client_ack to variables to allow decomposition.
Jun 02, 2016 12:12:10 PM fr.lip6.move.gal.instantiate.CompositeBuilder rewriteArraysToAllowPartition
INFO: Rewriting array P_server_idle to variables to allow decomposition.
Jun 02, 2016 12:12:11 PM fr.lip6.move.gal.instantiate.CompositeBuilder rewriteArraysToAllowPartition
INFO: Rewriting array P_server_waiting to variables to allow decomposition.
Jun 02, 2016 12:12:11 PM fr.lip6.move.gal.instantiate.CompositeBuilder rewriteArraysToAllowPartition
INFO: Rewriting array P_server_processed to variables to allow decomposition.
Jun 02, 2016 12:12:11 PM fr.lip6.move.gal.instantiate.CompositeBuilder rewriteArraysToAllowPartition
INFO: Rewriting array P_server_notification to variables to allow decomposition.
Jun 02, 2016 12:12:11 PM fr.lip6.move.gal.instantiate.CompositeBuilder rewriteArraysToAllowPartition
INFO: Rewriting array P_server_notification_ack to variables to allow decomposition.
Jun 02, 2016 12:12:11 PM fr.lip6.move.gal.instantiate.CompositeBuilder rewriteArraysToAllowPartition
INFO: Rewriting array P_server_request to variables to allow decomposition.
Jun 02, 2016 12:12:11 PM fr.lip6.move.gal.instantiate.CompositeBuilder rewriteArraysToAllowPartition
INFO: Rewriting array P_lb_routing to variables to allow decomposition.
Jun 02, 2016 12:12:11 PM fr.lip6.move.gal.instantiate.CompositeBuilder rewriteArraysToAllowPartition
INFO: Rewriting array P_lb_load to variables to allow decomposition.
Jun 02, 2016 12:12:11 PM fr.lip6.move.gal.instantiate.Instantiator fuseIsomorphicEffects
INFO: Removed a total of 851 redundant transitions.
Jun 02, 2016 12:12:11 PM fr.lip6.move.serialization.SerializationUtil systemToFile
INFO: Time to serialize gal into /home/mcc/execution/LTLFireability.gal : 26 ms
Jun 02, 2016 12:12:11 PM fr.lip6.move.serialization.SerializationUtil serializePropertiesForITSLTLTools
INFO: Time to serialize properties into /home/mcc/execution/LTLFireability.ltl : 14 ms
Jun 02, 2016 1:10:42 PM fr.lip6.move.gal.itstools.ProcessController$1 run
WARNING: null
java.io.IOException: Pipe broken
at java.io.PipedInputStream.read(PipedInputStream.java:321)
at java.io.PipedInputStream.read(PipedInputStream.java:377)
at sun.nio.cs.StreamDecoder.readBytes(StreamDecoder.java:284)
at sun.nio.cs.StreamDecoder.implRead(StreamDecoder.java:326)
at sun.nio.cs.StreamDecoder.read(StreamDecoder.java:178)
at java.io.InputStreamReader.read(InputStreamReader.java:184)
at java.io.BufferedReader.fill(BufferedReader.java:161)
at java.io.BufferedReader.readLine(BufferedReader.java:324)
at java.io.BufferedReader.readLine(BufferedReader.java:389)
at fr.lip6.move.gal.application.Application$ITSInterpreter.run(Application.java:460)
at java.lang.Thread.run(Thread.java:745)

Sequence of Actions to be Executed by the VM

This is useful if one wants to reexecute the tool in the VM from the submitted image disk.

set -x
# this is for BenchKit: configuration of major elements for the test
export BK_INPUT="S_SimpleLoadBal-COL-05"
export BK_EXAMINATION="LTLFireability"
export BK_TOOL="itstools"
export BK_RESULT_DIR="/home/fko/BK_RESULTS/OUTPUTS"
export BK_TIME_CONFINEMENT="3600"
export BK_MEMORY_CONFINEMENT="16384"

# this is specific to your benchmark or test

export BIN_DIR="$HOME/BenchKit/bin"

# remove the execution directoty if it exists (to avoid increse of .vmdk images)
if [ -d execution ] ; then
rm -rf execution
fi

tar xzf /home/mcc/BenchKit/INPUTS/S_SimpleLoadBal-COL-05.tgz
mv S_SimpleLoadBal-COL-05 execution

# this is for BenchKit: explicit launching of the test

cd execution
echo "====================================================================="
echo " Generated by BenchKit 2-2979"
echo " Executing tool itstools"
echo " Input is S_SimpleLoadBal-COL-05, examination is LTLFireability"
echo " Time confinement is $BK_TIME_CONFINEMENT seconds"
echo " Memory confinement is 16384 MBytes"
echo " Number of cores is 4"
echo " Run identifier is r193kn-qhx2-146444252700222"
echo "====================================================================="
echo
echo "--------------------"
echo "content from stdout:"
echo
echo "=== Data for post analysis generated by BenchKit (invocation template)"
echo
if [ "LTLFireability" = "UpperBounds" ] ; then
echo "The expected result is a vector of positive values"
echo NUM_VECTOR
elif [ "LTLFireability" != "StateSpace" ] ; then
echo "The expected result is a vector of booleans"
echo BOOL_VECTOR
else
echo "no data necessary for post analysis"
fi
echo
if [ -f "LTLFireability.txt" ] ; then
echo "here is the order used to build the result vector(from text file)"
for x in $(grep Property LTLFireability.txt | cut -d ' ' -f 2 | sort -u) ; do
echo "FORMULA_NAME $x"
done
elif [ -f "LTLFireability.xml" ] ; then # for cunf (txt files deleted;-)
echo echo "here is the order used to build the result vector(from xml file)"
for x in $(grep '' LTLFireability.xml | cut -d '>' -f 2 | cut -d '<' -f 1 | sort -u) ; do
echo "FORMULA_NAME $x"
done
fi
echo
echo "=== Now, execution of the tool begins"
echo
echo -n "BK_START "
date -u +%s%3N
echo
timeout -s 9 $BK_TIME_CONFINEMENT bash -c "/home/mcc/BenchKit/BenchKit_head.sh 2> STDERR ; echo ; echo -n \"BK_STOP \" ; date -u +%s%3N"
if [ $? -eq 137 ] ; then
echo
echo "BK_TIME_CONFINEMENT_REACHED"
fi
echo
echo "--------------------"
echo "content from stderr:"
echo
cat STDERR ;