fond
Model Checking Contest @ Petri Nets 2015
Bruxelles, Belgium, June 23, 2015
Execution of r201st-blw3-143341200200298
Last Updated
August 19, 2015

About the Execution of ITS-Tools for S_Peterson-COL-6

Execution Summary
Max Memory
Used (MB)
Time wait (ms) CPU Usage (ms) I/O Wait (ms) Computed Result Execution
Status
15948.390 663425.00 2022759.00 743.30 ???F???????F???? normal

Execution Chart

We display below the execution chart for this examination (boot time has been removed).

Trace from the execution

Waiting for the VM to be ready (probing ssh)
........
=====================================================================
Generated by BenchKit 2-2270
Executing tool itstools
Input is S_Peterson-COL-6, examination is ReachabilityFireability
Time confinement is 3600 seconds
Memory confinement is 16384 MBytes
Number of cores is 4
Run identifier is r201st-blw3-143341200200298
=====================================================================


--------------------
content from stdout:

=== Data for post analysis generated by BenchKit (invocation template)

The expected result is a vector of booleans
BOOL_VECTOR

here is the order used to build the result vector(from text file)
FORMULA_NAME Peterson-COL-6-ReachabilityFireability-0
FORMULA_NAME Peterson-COL-6-ReachabilityFireability-1
FORMULA_NAME Peterson-COL-6-ReachabilityFireability-10
FORMULA_NAME Peterson-COL-6-ReachabilityFireability-11
FORMULA_NAME Peterson-COL-6-ReachabilityFireability-12
FORMULA_NAME Peterson-COL-6-ReachabilityFireability-13
FORMULA_NAME Peterson-COL-6-ReachabilityFireability-14
FORMULA_NAME Peterson-COL-6-ReachabilityFireability-15
FORMULA_NAME Peterson-COL-6-ReachabilityFireability-2
FORMULA_NAME Peterson-COL-6-ReachabilityFireability-3
FORMULA_NAME Peterson-COL-6-ReachabilityFireability-4
FORMULA_NAME Peterson-COL-6-ReachabilityFireability-5
FORMULA_NAME Peterson-COL-6-ReachabilityFireability-6
FORMULA_NAME Peterson-COL-6-ReachabilityFireability-7
FORMULA_NAME Peterson-COL-6-ReachabilityFireability-8
FORMULA_NAME Peterson-COL-6-ReachabilityFireability-9

=== Now, execution of the tool begins

BK_START 1433517643446

FORMULA Peterson-COL-6-ReachabilityFireability-11 FALSE TECHNIQUES TOPOLOGICAL
FORMULA Peterson-COL-6-ReachabilityFireability-5 FALSE TECHNIQUES TOPOLOGICAL
work folder: /home/mcc/execution
unsat
unsat
unsat
unsat
unsat
unsat
unsat
unsat
unsat
unsat
unsat
unsat
unsat
unsat
work folder: /home/mcc/execution

BK_STOP 1433518306871

--------------------
content from stderr:

Java HotSpot(TM) 64-Bit Server VM warning: ignoring option MaxPermSize=512m; support was removed in 8.0
Jun 05, 2015 3:20:46 PM fr.lip6.move.gal.application.Application transformPNML
INFO: Parsing pnml file : /home/mcc/execution/model.pnml
Jun 05, 2015 3:20:46 PM fr.lip6.move.gal.pnml.togal.PnmlToGalTransformer transform
INFO: Detected file is not PT type :http://www.pnml.org/version-2009/grammar/symmetricnet
Jun 05, 2015 3:20:47 PM fr.lip6.move.gal.pnml.togal.PnmlToGalTransformer transform
INFO: Load time of PNML (colored model parsed with PNMLFW) : 1425 ms
Jun 05, 2015 3:20:47 PM fr.lip6.move.gal.pnml.togal.HLGALTransformer handlePage
INFO: Transformed 11 places.
Jun 05, 2015 3:20:47 PM fr.lip6.move.gal.pnml.togal.HLGALTransformer handlePage
INFO: read order :VarOrder [vars=[idle[0], idle[1], idle[2], idle[3], idle[4], idle[5], idle[6], wantSection[0], wantSection[1], wantSection[2], wantSection[3], wantSection[4], wantSection[5], wantSection[6], wantSection[7], wantSection[8], wantSection[9], wantSection[10], wantSection[11], wantSection[12], wantSection[13], askForSection[0], askForSection[1], askForSection[2], askForSection[3], askForSection[4], askForSection[5], askForSection[6], askForSection[7], askForSection[8], askForSection[9], askForSection[10], askForSection[11], askForSection[12], askForSection[13], askForSection[14], askForSection[15], askForSection[16], askForSection[17], askForSection[18], askForSection[19], askForSection[20], askForSection[21], askForSection[22], askForSection[23], askForSection[24], askForSection[25], askForSection[26], askForSection[27], askForSection[28], askForSection[29], askForSection[30], askForSection[31], askForSection[32], askForSection[33], askForSection[34], askForSection[35], askForSection[36], askForSection[37], askForSection[38], askForSection[39], askForSection[40], askForSection[41], turn[0], turn[1], turn[2], turn[3], turn[4], turn[5], turn[6], turn[7], turn[8], turn[9], turn[10], turn[11], turn[12], turn[13], turn[14], turn[15], turn[16], turn[17], turn[18], turn[19], turn[20], turn[21], turn[22], turn[23], turn[24], turn[25], turn[26], turn[27], turn[28], turn[29], turn[30], turn[31], turn[32], turn[33], turn[34], turn[35], turn[36], turn[37], turn[38], turn[39], turn[40], turn[41], testTurn[0], testTurn[1], testTurn[2], testTurn[3], testTurn[4], testTurn[5], testTurn[6], testTurn[7], testTurn[8], testTurn[9], testTurn[10], testTurn[11], testTurn[12], testTurn[13], testTurn[14], testTurn[15], testTurn[16], testTurn[17], testTurn[18], testTurn[19], testTurn[20], testTurn[21], testTurn[22], testTurn[23], testTurn[24], testTurn[25], testTurn[26], testTurn[27], testTurn[28], testTurn[29], testTurn[30], testTurn[31], testTurn[32], testTurn[33], testTurn[34], testTurn[35], testTurn[36], testTurn[37], testTurn[38], testTurn[39], testTurn[40], testTurn[41], beginLoop[0], beginLoop[1], beginLoop[2], beginLoop[3], beginLoop[4], beginLoop[5], beginLoop[6], beginLoop[7], beginLoop[8], beginLoop[9], beginLoop[10], beginLoop[11], beginLoop[12], beginLoop[13], beginLoop[14], beginLoop[15], beginLoop[16], beginLoop[17], beginLoop[18], beginLoop[19], beginLoop[20], beginLoop[21], beginLoop[22], beginLoop[23], beginLoop[24], beginLoop[25], beginLoop[26], beginLoop[27], beginLoop[28], beginLoop[29], beginLoop[30], beginLoop[31], beginLoop[32], beginLoop[33], beginLoop[34], beginLoop[35], beginLoop[36], beginLoop[37], beginLoop[38], beginLoop[39], beginLoop[40], beginLoop[41], beginLoop[42], beginLoop[43], beginLoop[44], beginLoop[45], beginLoop[46], beginLoop[47], beginLoop[48], beginLoop[49], beginLoop[50], beginLoop[51], beginLoop[52], beginLoop[53], beginLoop[54], beginLoop[55], beginLoop[56], beginLoop[57], beginLoop[58], beginLoop[59], beginLoop[60], beginLoop[61], beginLoop[62], beginLoop[63], beginLoop[64], beginLoop[65], beginLoop[66], beginLoop[67], beginLoop[68], beginLoop[69], beginLoop[70], beginLoop[71], beginLoop[72], beginLoop[73], beginLoop[74], beginLoop[75], beginLoop[76], beginLoop[77], beginLoop[78], beginLoop[79], beginLoop[80], beginLoop[81], beginLoop[82], beginLoop[83], beginLoop[84], beginLoop[85], beginLoop[86], beginLoop[87], beginLoop[88], beginLoop[89], beginLoop[90], beginLoop[91], beginLoop[92], beginLoop[93], beginLoop[94], beginLoop[95], beginLoop[96], beginLoop[97], beginLoop[98], beginLoop[99], beginLoop[100], beginLoop[101], beginLoop[102], beginLoop[103], beginLoop[104], beginLoop[105], beginLoop[106], beginLoop[107], beginLoop[108], beginLoop[109], beginLoop[110], beginLoop[111], beginLoop[112], beginLoop[113], beginLoop[114], beginLoop[115], beginLoop[116], beginLoop[117], beginLoop[118], beginLoop[119], beginLoop[120], beginLoop[121], beginLoop[122], beginLoop[123], beginLoop[124], beginLoop[125], beginLoop[126], beginLoop[127], beginLoop[128], beginLoop[129], beginLoop[130], beginLoop[131], beginLoop[132], beginLoop[133], beginLoop[134], beginLoop[135], beginLoop[136], beginLoop[137], beginLoop[138], beginLoop[139], beginLoop[140], beginLoop[141], beginLoop[142], beginLoop[143], beginLoop[144], beginLoop[145], beginLoop[146], beginLoop[147], beginLoop[148], beginLoop[149], beginLoop[150], beginLoop[151], beginLoop[152], beginLoop[153], beginLoop[154], beginLoop[155], beginLoop[156], beginLoop[157], beginLoop[158], beginLoop[159], beginLoop[160], beginLoop[161], beginLoop[162], beginLoop[163], beginLoop[164], beginLoop[165], beginLoop[166], beginLoop[167], beginLoop[168], beginLoop[169], beginLoop[170], beginLoop[171], beginLoop[172], beginLoop[173], beginLoop[174], beginLoop[175], beginLoop[176], beginLoop[177], beginLoop[178], beginLoop[179], beginLoop[180], beginLoop[181], beginLoop[182], beginLoop[183], beginLoop[184], beginLoop[185], beginLoop[186], beginLoop[187], beginLoop[188], beginLoop[189], beginLoop[190], beginLoop[191], beginLoop[192], beginLoop[193], beginLoop[194], beginLoop[195], beginLoop[196], beginLoop[197], beginLoop[198], beginLoop[199], beginLoop[200], beginLoop[201], beginLoop[202], beginLoop[203], beginLoop[204], beginLoop[205], beginLoop[206], beginLoop[207], beginLoop[208], beginLoop[209], beginLoop[210], beginLoop[211], beginLoop[212], beginLoop[213], beginLoop[214], beginLoop[215], beginLoop[216], beginLoop[217], beginLoop[218], beginLoop[219], beginLoop[220], beginLoop[221], beginLoop[222], beginLoop[223], beginLoop[224], beginLoop[225], beginLoop[226], beginLoop[227], beginLoop[228], beginLoop[229], beginLoop[230], beginLoop[231], beginLoop[232], beginLoop[233], beginLoop[234], beginLoop[235], beginLoop[236], beginLoop[237], beginLoop[238], beginLoop[239], beginLoop[240], beginLoop[241], beginLoop[242], beginLoop[243], beginLoop[244], beginLoop[245], beginLoop[246], beginLoop[247], beginLoop[248], beginLoop[249], beginLoop[250], beginLoop[251], beginLoop[252], beginLoop[253], beginLoop[254], beginLoop[255], beginLoop[256], beginLoop[257], beginLoop[258], beginLoop[259], beginLoop[260], beginLoop[261], beginLoop[262], beginLoop[263], beginLoop[264], beginLoop[265], beginLoop[266], beginLoop[267], beginLoop[268], beginLoop[269], beginLoop[270], beginLoop[271], beginLoop[272], beginLoop[273], beginLoop[274], beginLoop[275], beginLoop[276], beginLoop[277], beginLoop[278], beginLoop[279], beginLoop[280], beginLoop[281], beginLoop[282], beginLoop[283], beginLoop[284], beginLoop[285], beginLoop[286], beginLoop[287], beginLoop[288], beginLoop[289], beginLoop[290], beginLoop[291], beginLoop[292], beginLoop[293], endTurn[0], endTurn[1], endTurn[2], endTurn[3], endTurn[4], endTurn[5], endTurn[6], endTurn[7], endTurn[8], endTurn[9], endTurn[10], endTurn[11], endTurn[12], endTurn[13], endTurn[14], endTurn[15], endTurn[16], endTurn[17], endTurn[18], endTurn[19], endTurn[20], endTurn[21], endTurn[22], endTurn[23], endTurn[24], endTurn[25], endTurn[26], endTurn[27], endTurn[28], endTurn[29], endTurn[30], endTurn[31], endTurn[32], endTurn[33], endTurn[34], endTurn[35], endTurn[36], endTurn[37], endTurn[38], endTurn[39], endTurn[40], endTurn[41], CS[0], CS[1], CS[2], CS[3], CS[4], CS[5], CS[6], testIdentity[0], testIdentity[1], testIdentity[2], testIdentity[3], testIdentity[4], testIdentity[5], testIdentity[6], testIdentity[7], testIdentity[8], testIdentity[9], testIdentity[10], testIdentity[11], testIdentity[12], testIdentity[13], testIdentity[14], testIdentity[15], testIdentity[16], testIdentity[17], testIdentity[18], testIdentity[19], testIdentity[20], testIdentity[21], testIdentity[22], testIdentity[23], testIdentity[24], testIdentity[25], testIdentity[26], testIdentity[27], testIdentity[28], testIdentity[29], testIdentity[30], testIdentity[31], testIdentity[32], testIdentity[33], testIdentity[34], testIdentity[35], testIdentity[36], testIdentity[37], testIdentity[38], testIdentity[39], testIdentity[40], testIdentity[41], testIdentity[42], testIdentity[43], testIdentity[44], testIdentity[45], testIdentity[46], testIdentity[47], testIdentity[48], testIdentity[49], testIdentity[50], testIdentity[51], testIdentity[52], testIdentity[53], testIdentity[54], testIdentity[55], testIdentity[56], testIdentity[57], testIdentity[58], testIdentity[59], testIdentity[60], testIdentity[61], testIdentity[62], testIdentity[63], testIdentity[64], testIdentity[65], testIdentity[66], testIdentity[67], testIdentity[68], testIdentity[69], testIdentity[70], testIdentity[71], testIdentity[72], testIdentity[73], testIdentity[74], testIdentity[75], testIdentity[76], testIdentity[77], testIdentity[78], testIdentity[79], testIdentity[80], testIdentity[81], testIdentity[82], testIdentity[83], testIdentity[84], testIdentity[85], testIdentity[86], testIdentity[87], testIdentity[88], testIdentity[89], testIdentity[90], testIdentity[91], testIdentity[92], testIdentity[93], testIdentity[94], testIdentity[95], testIdentity[96], testIdentity[97], testIdentity[98], testIdentity[99], testIdentity[100], testIdentity[101], testIdentity[102], testIdentity[103], testIdentity[104], testIdentity[105], testIdentity[106], testIdentity[107], testIdentity[108], testIdentity[109], testIdentity[110], testIdentity[111], testIdentity[112], testIdentity[113], testIdentity[114], testIdentity[115], testIdentity[116], testIdentity[117], testIdentity[118], testIdentity[119], testIdentity[120], testIdentity[121], testIdentity[122], testIdentity[123], testIdentity[124], testIdentity[125], testIdentity[126], testIdentity[127], testIdentity[128], testIdentity[129], testIdentity[130], testIdentity[131], testIdentity[132], testIdentity[133], testIdentity[134], testIdentity[135], testIdentity[136], testIdentity[137], testIdentity[138], testIdentity[139], testIdentity[140], testIdentity[141], testIdentity[142], testIdentity[143], testIdentity[144], testIdentity[145], testIdentity[146], testIdentity[147], testIdentity[148], testIdentity[149], testIdentity[150], testIdentity[151], testIdentity[152], testIdentity[153], testIdentity[154], testIdentity[155], testIdentity[156], testIdentity[157], testIdentity[158], testIdentity[159], testIdentity[160], testIdentity[161], testIdentity[162], testIdentity[163], testIdentity[164], testIdentity[165], testIdentity[166], testIdentity[167], testIdentity[168], testIdentity[169], testIdentity[170], testIdentity[171], testIdentity[172], testIdentity[173], testIdentity[174], testIdentity[175], testIdentity[176], testIdentity[177], testIdentity[178], testIdentity[179], testIdentity[180], testIdentity[181], testIdentity[182], testIdentity[183], testIdentity[184], testIdentity[185], testIdentity[186], testIdentity[187], testIdentity[188], testIdentity[189], testIdentity[190], testIdentity[191], testIdentity[192], testIdentity[193], testIdentity[194], testIdentity[195], testIdentity[196], testIdentity[197], testIdentity[198], testIdentity[199], testIdentity[200], testIdentity[201], testIdentity[202], testIdentity[203], testIdentity[204], testIdentity[205], testIdentity[206], testIdentity[207], testIdentity[208], testIdentity[209], testIdentity[210], testIdentity[211], testIdentity[212], testIdentity[213], testIdentity[214], testIdentity[215], testIdentity[216], testIdentity[217], testIdentity[218], testIdentity[219], testIdentity[220], testIdentity[221], testIdentity[222], testIdentity[223], testIdentity[224], testIdentity[225], testIdentity[226], testIdentity[227], testIdentity[228], testIdentity[229], testIdentity[230], testIdentity[231], testIdentity[232], testIdentity[233], testIdentity[234], testIdentity[235], testIdentity[236], testIdentity[237], testIdentity[238], testIdentity[239], testIdentity[240], testIdentity[241], testIdentity[242], testIdentity[243], testIdentity[244], testIdentity[245], testIdentity[246], testIdentity[247], testIdentity[248], testIdentity[249], testIdentity[250], testIdentity[251], testIdentity[252], testIdentity[253], testIdentity[254], testIdentity[255], testIdentity[256], testIdentity[257], testIdentity[258], testIdentity[259], testIdentity[260], testIdentity[261], testIdentity[262], testIdentity[263], testIdentity[264], testIdentity[265], testIdentity[266], testIdentity[267], testIdentity[268], testIdentity[269], testIdentity[270], testIdentity[271], testIdentity[272], testIdentity[273], testIdentity[274], testIdentity[275], testIdentity[276], testIdentity[277], testIdentity[278], testIdentity[279], testIdentity[280], testIdentity[281], testIdentity[282], testIdentity[283], testIdentity[284], testIdentity[285], testIdentity[286], testIdentity[287], testIdentity[288], testIdentity[289], testIdentity[290], testIdentity[291], testIdentity[292], testIdentity[293], testAlone[0], testAlone[1], testAlone[2], testAlone[3], testAlone[4], testAlone[5], testAlone[6], testAlone[7], testAlone[8], testAlone[9], testAlone[10], testAlone[11], testAlone[12], testAlone[13], testAlone[14], testAlone[15], testAlone[16], testAlone[17], testAlone[18], testAlone[19], testAlone[20], testAlone[21], testAlone[22], testAlone[23], testAlone[24], testAlone[25], testAlone[26], testAlone[27], testAlone[28], testAlone[29], testAlone[30], testAlone[31], testAlone[32], testAlone[33], testAlone[34], testAlone[35], testAlone[36], testAlone[37], testAlone[38], testAlone[39], testAlone[40], testAlone[41], testAlone[42], testAlone[43], testAlone[44], testAlone[45], testAlone[46], testAlone[47], testAlone[48], testAlone[49], testAlone[50], testAlone[51], testAlone[52], testAlone[53], testAlone[54], testAlone[55], testAlone[56], testAlone[57], testAlone[58], testAlone[59], testAlone[60], testAlone[61], testAlone[62], testAlone[63], testAlone[64], testAlone[65], testAlone[66], testAlone[67], testAlone[68], testAlone[69], testAlone[70], testAlone[71], testAlone[72], testAlone[73], testAlone[74], testAlone[75], testAlone[76], testAlone[77], testAlone[78], testAlone[79], testAlone[80], testAlone[81], testAlone[82], testAlone[83], testAlone[84], testAlone[85], testAlone[86], testAlone[87], testAlone[88], testAlone[89], testAlone[90], testAlone[91], testAlone[92], testAlone[93], testAlone[94], testAlone[95], testAlone[96], testAlone[97], testAlone[98], testAlone[99], testAlone[100], testAlone[101], testAlone[102], testAlone[103], testAlone[104], testAlone[105], testAlone[106], testAlone[107], testAlone[108], testAlone[109], testAlone[110], testAlone[111], testAlone[112], testAlone[113], testAlone[114], testAlone[115], testAlone[116], testAlone[117], testAlone[118], testAlone[119], testAlone[120], testAlone[121], testAlone[122], testAlone[123], testAlone[124], testAlone[125], testAlone[126], testAlone[127], testAlone[128], testAlone[129], testAlone[130], testAlone[131], testAlone[132], testAlone[133], testAlone[134], testAlone[135], testAlone[136], testAlone[137], testAlone[138], testAlone[139], testAlone[140], testAlone[141], testAlone[142], testAlone[143], testAlone[144], testAlone[145], testAlone[146], testAlone[147], testAlone[148], testAlone[149], testAlone[150], testAlone[151], testAlone[152], testAlone[153], testAlone[154], testAlone[155], testAlone[156], testAlone[157], testAlone[158], testAlone[159], testAlone[160], testAlone[161], testAlone[162], testAlone[163], testAlone[164], testAlone[165], testAlone[166], testAlone[167], testAlone[168], testAlone[169], testAlone[170], testAlone[171], testAlone[172], testAlone[173], testAlone[174], testAlone[175], testAlone[176], testAlone[177], testAlone[178], testAlone[179], testAlone[180], testAlone[181], testAlone[182], testAlone[183], testAlone[184], testAlone[185], testAlone[186], testAlone[187], testAlone[188], testAlone[189], testAlone[190], testAlone[191], testAlone[192], testAlone[193], testAlone[194], testAlone[195], testAlone[196], testAlone[197], testAlone[198], testAlone[199], testAlone[200], testAlone[201], testAlone[202], testAlone[203], testAlone[204], testAlone[205], testAlone[206], testAlone[207], testAlone[208], testAlone[209], testAlone[210], testAlone[211], testAlone[212], testAlone[213], testAlone[214], testAlone[215], testAlone[216], testAlone[217], testAlone[218], testAlone[219], testAlone[220], testAlone[221], testAlone[222], testAlone[223], testAlone[224], testAlone[225], testAlone[226], testAlone[227], testAlone[228], testAlone[229], testAlone[230], testAlone[231], testAlone[232], testAlone[233], testAlone[234], testAlone[235], testAlone[236], testAlone[237], testAlone[238], testAlone[239], testAlone[240], testAlone[241], testAlone[242], testAlone[243], testAlone[244], testAlone[245], testAlone[246], testAlone[247], testAlone[248], testAlone[249], testAlone[250], testAlone[251], testAlone[252], testAlone[253], testAlone[254], testAlone[255], testAlone[256], testAlone[257], testAlone[258], testAlone[259], testAlone[260], testAlone[261], testAlone[262], testAlone[263], testAlone[264], testAlone[265], testAlone[266], testAlone[267], testAlone[268], testAlone[269], testAlone[270], testAlone[271], testAlone[272], testAlone[273], testAlone[274], testAlone[275], testAlone[276], testAlone[277], testAlone[278], testAlone[279], testAlone[280], testAlone[281], testAlone[282], testAlone[283], testAlone[284], testAlone[285], testAlone[286], testAlone[287], testAlone[288], testAlone[289], testAlone[290], testAlone[291], testAlone[292], testAlone[293], isEndLoop[0], isEndLoop[1], isEndLoop[2], isEndLoop[3], isEndLoop[4], isEndLoop[5], isEndLoop[6], isEndLoop[7], isEndLoop[8], isEndLoop[9], isEndLoop[10], isEndLoop[11], isEndLoop[12], isEndLoop[13], isEndLoop[14], isEndLoop[15], isEndLoop[16], isEndLoop[17], isEndLoop[18], isEndLoop[19], isEndLoop[20], isEndLoop[21], isEndLoop[22], isEndLoop[23], isEndLoop[24], isEndLoop[25], isEndLoop[26], isEndLoop[27], isEndLoop[28], isEndLoop[29], isEndLoop[30], isEndLoop[31], isEndLoop[32], isEndLoop[33], isEndLoop[34], isEndLoop[35], isEndLoop[36], isEndLoop[37], isEndLoop[38], isEndLoop[39], isEndLoop[40], isEndLoop[41], isEndLoop[42], isEndLoop[43], isEndLoop[44], isEndLoop[45], isEndLoop[46], isEndLoop[47], isEndLoop[48], isEndLoop[49], isEndLoop[50], isEndLoop[51], isEndLoop[52], isEndLoop[53], isEndLoop[54], isEndLoop[55], isEndLoop[56], isEndLoop[57], isEndLoop[58], isEndLoop[59], isEndLoop[60], isEndLoop[61], isEndLoop[62], isEndLoop[63], isEndLoop[64], isEndLoop[65], isEndLoop[66], isEndLoop[67], isEndLoop[68], isEndLoop[69], isEndLoop[70], isEndLoop[71], isEndLoop[72], isEndLoop[73], isEndLoop[74], isEndLoop[75], isEndLoop[76], isEndLoop[77], isEndLoop[78], isEndLoop[79], isEndLoop[80], isEndLoop[81], isEndLoop[82], isEndLoop[83], isEndLoop[84], isEndLoop[85], isEndLoop[86], isEndLoop[87], isEndLoop[88], isEndLoop[89], isEndLoop[90], isEndLoop[91], isEndLoop[92], isEndLoop[93], isEndLoop[94], isEndLoop[95], isEndLoop[96], isEndLoop[97], isEndLoop[98], isEndLoop[99], isEndLoop[100], isEndLoop[101], isEndLoop[102], isEndLoop[103], isEndLoop[104], isEndLoop[105], isEndLoop[106], isEndLoop[107], isEndLoop[108], isEndLoop[109], isEndLoop[110], isEndLoop[111], isEndLoop[112], isEndLoop[113], isEndLoop[114], isEndLoop[115], isEndLoop[116], isEndLoop[117], isEndLoop[118], isEndLoop[119], isEndLoop[120], isEndLoop[121], isEndLoop[122], isEndLoop[123], isEndLoop[124], isEndLoop[125], isEndLoop[126], isEndLoop[127], isEndLoop[128], isEndLoop[129], isEndLoop[130], isEndLoop[131], isEndLoop[132], isEndLoop[133], isEndLoop[134], isEndLoop[135], isEndLoop[136], isEndLoop[137], isEndLoop[138], isEndLoop[139], isEndLoop[140], isEndLoop[141], isEndLoop[142], isEndLoop[143], isEndLoop[144], isEndLoop[145], isEndLoop[146], isEndLoop[147], isEndLoop[148], isEndLoop[149], isEndLoop[150], isEndLoop[151], isEndLoop[152], isEndLoop[153], isEndLoop[154], isEndLoop[155], isEndLoop[156], isEndLoop[157], isEndLoop[158], isEndLoop[159], isEndLoop[160], isEndLoop[161], isEndLoop[162], isEndLoop[163], isEndLoop[164], isEndLoop[165], isEndLoop[166], isEndLoop[167], isEndLoop[168], isEndLoop[169], isEndLoop[170], isEndLoop[171], isEndLoop[172], isEndLoop[173], isEndLoop[174], isEndLoop[175], isEndLoop[176], isEndLoop[177], isEndLoop[178], isEndLoop[179], isEndLoop[180], isEndLoop[181], isEndLoop[182], isEndLoop[183], isEndLoop[184], isEndLoop[185], isEndLoop[186], isEndLoop[187], isEndLoop[188], isEndLoop[189], isEndLoop[190], isEndLoop[191], isEndLoop[192], isEndLoop[193], isEndLoop[194], isEndLoop[195], isEndLoop[196], isEndLoop[197], isEndLoop[198], isEndLoop[199], isEndLoop[200], isEndLoop[201], isEndLoop[202], isEndLoop[203], isEndLoop[204], isEndLoop[205], isEndLoop[206], isEndLoop[207], isEndLoop[208], isEndLoop[209], isEndLoop[210], isEndLoop[211], isEndLoop[212], isEndLoop[213], isEndLoop[214], isEndLoop[215], isEndLoop[216], isEndLoop[217], isEndLoop[218], isEndLoop[219], isEndLoop[220], isEndLoop[221], isEndLoop[222], isEndLoop[223], isEndLoop[224], isEndLoop[225], isEndLoop[226], isEndLoop[227], isEndLoop[228], isEndLoop[229], isEndLoop[230], isEndLoop[231], isEndLoop[232], isEndLoop[233], isEndLoop[234], isEndLoop[235], isEndLoop[236], isEndLoop[237], isEndLoop[238], isEndLoop[239], isEndLoop[240], isEndLoop[241], isEndLoop[242], isEndLoop[243], isEndLoop[244], isEndLoop[245], isEndLoop[246], isEndLoop[247], isEndLoop[248], isEndLoop[249], isEndLoop[250], isEndLoop[251], isEndLoop[252], isEndLoop[253], isEndLoop[254], isEndLoop[255], isEndLoop[256], isEndLoop[257], isEndLoop[258], isEndLoop[259], isEndLoop[260], isEndLoop[261], isEndLoop[262], isEndLoop[263], isEndLoop[264], isEndLoop[265], isEndLoop[266], isEndLoop[267], isEndLoop[268], isEndLoop[269], isEndLoop[270], isEndLoop[271], isEndLoop[272], isEndLoop[273], isEndLoop[274], isEndLoop[275], isEndLoop[276], isEndLoop[277], isEndLoop[278], isEndLoop[279], isEndLoop[280], isEndLoop[281], isEndLoop[282], isEndLoop[283], isEndLoop[284], isEndLoop[285], isEndLoop[286], isEndLoop[287], isEndLoop[288], isEndLoop[289], isEndLoop[290], isEndLoop[291], isEndLoop[292], isEndLoop[293]]]
Jun 05, 2015 3:20:47 PM fr.lip6.move.gal.pnml.togal.HLGALTransformer handlePage
INFO: sort/places :ProcTourProc->beginLoop,testIdentity,testAlone,isEndLoop,
ProcBool->wantSection,
ProcTour->askForSection,testTurn,endTurn,
TourProc->turn,
Process->idle,CS,

Jun 05, 2015 3:20:47 PM fr.lip6.move.gal.pnml.togal.HLGALTransformer handlePage
INFO: Transformed 14 transitions.
Jun 05, 2015 3:20:47 PM fr.lip6.move.gal.pnml.togal.PnmlToGalTransformer transform
INFO: Computed order based on color domains : CompositeGalOrder [children=[VarOrder [vars=[beginLoop[0], testIdentity[0], testAlone[0], isEndLoop[0]]], VarOrder [vars=[beginLoop[1], testIdentity[1], testAlone[1], isEndLoop[1]]], VarOrder [vars=[beginLoop[2], testIdentity[2], testAlone[2], isEndLoop[2]]], VarOrder [vars=[beginLoop[3], testIdentity[3], testAlone[3], isEndLoop[3]]], VarOrder [vars=[beginLoop[4], testIdentity[4], testAlone[4], isEndLoop[4]]], VarOrder [vars=[beginLoop[5], testIdentity[5], testAlone[5], isEndLoop[5]]], VarOrder [vars=[beginLoop[6], testIdentity[6], testAlone[6], isEndLoop[6]]], VarOrder [vars=[beginLoop[7], testIdentity[7], testAlone[7], isEndLoop[7]]], VarOrder [vars=[beginLoop[8], testIdentity[8], testAlone[8], isEndLoop[8]]], VarOrder [vars=[beginLoop[9], testIdentity[9], testAlone[9], isEndLoop[9]]], VarOrder [vars=[beginLoop[10], testIdentity[10], testAlone[10], isEndLoop[10]]], VarOrder [vars=[beginLoop[11], testIdentity[11], testAlone[11], isEndLoop[11]]], VarOrder [vars=[beginLoop[12], testIdentity[12], testAlone[12], isEndLoop[12]]], VarOrder [vars=[beginLoop[13], testIdentity[13], testAlone[13], isEndLoop[13]]], VarOrder [vars=[beginLoop[14], testIdentity[14], testAlone[14], isEndLoop[14]]], VarOrder [vars=[beginLoop[15], testIdentity[15], testAlone[15], isEndLoop[15]]], VarOrder [vars=[beginLoop[16], testIdentity[16], testAlone[16], isEndLoop[16]]], VarOrder [vars=[beginLoop[17], testIdentity[17], testAlone[17], isEndLoop[17]]], VarOrder [vars=[beginLoop[18], testIdentity[18], testAlone[18], isEndLoop[18]]], VarOrder [vars=[beginLoop[19], testIdentity[19], testAlone[19], isEndLoop[19]]], VarOrder [vars=[beginLoop[20], testIdentity[20], testAlone[20], isEndLoop[20]]], VarOrder [vars=[beginLoop[21], testIdentity[21], testAlone[21], isEndLoop[21]]], VarOrder [vars=[beginLoop[22], testIdentity[22], testAlone[22], isEndLoop[22]]], VarOrder [vars=[beginLoop[23], testIdentity[23], testAlone[23], isEndLoop[23]]], VarOrder [vars=[beginLoop[24], testIdentity[24], testAlone[24], isEndLoop[24]]], VarOrder [vars=[beginLoop[25], testIdentity[25], testAlone[25], isEndLoop[25]]], VarOrder [vars=[beginLoop[26], testIdentity[26], testAlone[26], isEndLoop[26]]], VarOrder [vars=[beginLoop[27], testIdentity[27], testAlone[27], isEndLoop[27]]], VarOrder [vars=[beginLoop[28], testIdentity[28], testAlone[28], isEndLoop[28]]], VarOrder [vars=[beginLoop[29], testIdentity[29], testAlone[29], isEndLoop[29]]], VarOrder [vars=[beginLoop[30], testIdentity[30], testAlone[30], isEndLoop[30]]], VarOrder [vars=[beginLoop[31], testIdentity[31], testAlone[31], isEndLoop[31]]], VarOrder [vars=[beginLoop[32], testIdentity[32], testAlone[32], isEndLoop[32]]], VarOrder [vars=[beginLoop[33], testIdentity[33], testAlone[33], isEndLoop[33]]], VarOrder [vars=[beginLoop[34], testIdentity[34], testAlone[34], isEndLoop[34]]], VarOrder [vars=[beginLoop[35], testIdentity[35], testAlone[35], isEndLoop[35]]], VarOrder [vars=[beginLoop[36], testIdentity[36], testAlone[36], isEndLoop[36]]], VarOrder [vars=[beginLoop[37], testIdentity[37], testAlone[37], isEndLoop[37]]], VarOrder [vars=[beginLoop[38], testIdentity[38], testAlone[38], isEndLoop[38]]], VarOrder [vars=[beginLoop[39], testIdentity[39], testAlone[39], isEndLoop[39]]], VarOrder [vars=[beginLoop[40], testIdentity[40], testAlone[40], isEndLoop[40]]], VarOrder [vars=[beginLoop[41], testIdentity[41], testAlone[41], isEndLoop[41]]], VarOrder [vars=[beginLoop[42], testIdentity[42], testAlone[42], isEndLoop[42]]], VarOrder [vars=[beginLoop[43], testIdentity[43], testAlone[43], isEndLoop[43]]], VarOrder [vars=[beginLoop[44], testIdentity[44], testAlone[44], isEndLoop[44]]], VarOrder [vars=[beginLoop[45], testIdentity[45], testAlone[45], isEndLoop[45]]], VarOrder [vars=[beginLoop[46], testIdentity[46], testAlone[46], isEndLoop[46]]], VarOrder [vars=[beginLoop[47], testIdentity[47], testAlone[47], isEndLoop[47]]], VarOrder [vars=[beginLoop[48], testIdentity[48], testAlone[48], isEndLoop[48]]], VarOrder [vars=[beginLoop[49], testIdentity[49], testAlone[49], isEndLoop[49]]], VarOrder [vars=[beginLoop[50], testIdentity[50], testAlone[50], isEndLoop[50]]], VarOrder [vars=[beginLoop[51], testIdentity[51], testAlone[51], isEndLoop[51]]], VarOrder [vars=[beginLoop[52], testIdentity[52], testAlone[52], isEndLoop[52]]], VarOrder [vars=[beginLoop[53], testIdentity[53], testAlone[53], isEndLoop[53]]], VarOrder [vars=[beginLoop[54], testIdentity[54], testAlone[54], isEndLoop[54]]], VarOrder [vars=[beginLoop[55], testIdentity[55], testAlone[55], isEndLoop[55]]], VarOrder [vars=[beginLoop[56], testIdentity[56], testAlone[56], isEndLoop[56]]], VarOrder [vars=[beginLoop[57], testIdentity[57], testAlone[57], isEndLoop[57]]], VarOrder [vars=[beginLoop[58], testIdentity[58], testAlone[58], isEndLoop[58]]], VarOrder [vars=[beginLoop[59], testIdentity[59], testAlone[59], isEndLoop[59]]], VarOrder [vars=[beginLoop[60], testIdentity[60], testAlone[60], isEndLoop[60]]], VarOrder [vars=[beginLoop[61], testIdentity[61], testAlone[61], isEndLoop[61]]], VarOrder [vars=[beginLoop[62], testIdentity[62], testAlone[62], isEndLoop[62]]], VarOrder [vars=[beginLoop[63], testIdentity[63], testAlone[63], isEndLoop[63]]], VarOrder [vars=[beginLoop[64], testIdentity[64], testAlone[64], isEndLoop[64]]], VarOrder [vars=[beginLoop[65], testIdentity[65], testAlone[65], isEndLoop[65]]], VarOrder [vars=[beginLoop[66], testIdentity[66], testAlone[66], isEndLoop[66]]], VarOrder [vars=[beginLoop[67], testIdentity[67], testAlone[67], isEndLoop[67]]], VarOrder [vars=[beginLoop[68], testIdentity[68], testAlone[68], isEndLoop[68]]], VarOrder [vars=[beginLoop[69], testIdentity[69], testAlone[69], isEndLoop[69]]], VarOrder [vars=[beginLoop[70], testIdentity[70], testAlone[70], isEndLoop[70]]], VarOrder [vars=[beginLoop[71], testIdentity[71], testAlone[71], isEndLoop[71]]], VarOrder [vars=[beginLoop[72], testIdentity[72], testAlone[72], isEndLoop[72]]], VarOrder [vars=[beginLoop[73], testIdentity[73], testAlone[73], isEndLoop[73]]], VarOrder [vars=[beginLoop[74], testIdentity[74], testAlone[74], isEndLoop[74]]], VarOrder [vars=[beginLoop[75], testIdentity[75], testAlone[75], isEndLoop[75]]], VarOrder [vars=[beginLoop[76], testIdentity[76], testAlone[76], isEndLoop[76]]], VarOrder [vars=[beginLoop[77], testIdentity[77], testAlone[77], isEndLoop[77]]], VarOrder [vars=[beginLoop[78], testIdentity[78], testAlone[78], isEndLoop[78]]], VarOrder [vars=[beginLoop[79], testIdentity[79], testAlone[79], isEndLoop[79]]], VarOrder [vars=[beginLoop[80], testIdentity[80], testAlone[80], isEndLoop[80]]], VarOrder [vars=[beginLoop[81], testIdentity[81], testAlone[81], isEndLoop[81]]], VarOrder [vars=[beginLoop[82], testIdentity[82], testAlone[82], isEndLoop[82]]], VarOrder [vars=[beginLoop[83], testIdentity[83], testAlone[83], isEndLoop[83]]], VarOrder [vars=[beginLoop[84], testIdentity[84], testAlone[84], isEndLoop[84]]], VarOrder [vars=[beginLoop[85], testIdentity[85], testAlone[85], isEndLoop[85]]], VarOrder [vars=[beginLoop[86], testIdentity[86], testAlone[86], isEndLoop[86]]], VarOrder [vars=[beginLoop[87], testIdentity[87], testAlone[87], isEndLoop[87]]], VarOrder [vars=[beginLoop[88], testIdentity[88], testAlone[88], isEndLoop[88]]], VarOrder [vars=[beginLoop[89], testIdentity[89], testAlone[89], isEndLoop[89]]], VarOrder [vars=[beginLoop[90], testIdentity[90], testAlone[90], isEndLoop[90]]], VarOrder [vars=[beginLoop[91], testIdentity[91], testAlone[91], isEndLoop[91]]], VarOrder [vars=[beginLoop[92], testIdentity[92], testAlone[92], isEndLoop[92]]], VarOrder [vars=[beginLoop[93], testIdentity[93], testAlone[93], isEndLoop[93]]], VarOrder [vars=[beginLoop[94], testIdentity[94], testAlone[94], isEndLoop[94]]], VarOrder [vars=[beginLoop[95], testIdentity[95], testAlone[95], isEndLoop[95]]], VarOrder [vars=[beginLoop[96], testIdentity[96], testAlone[96], isEndLoop[96]]], VarOrder [vars=[beginLoop[97], testIdentity[97], testAlone[97], isEndLoop[97]]], VarOrder [vars=[beginLoop[98], testIdentity[98], testAlone[98], isEndLoop[98]]], VarOrder [vars=[beginLoop[99], testIdentity[99], testAlone[99], isEndLoop[99]]], VarOrder [vars=[beginLoop[100], testIdentity[100], testAlone[100], isEndLoop[100]]], VarOrder [vars=[beginLoop[101], testIdentity[101], testAlone[101], isEndLoop[101]]], VarOrder [vars=[beginLoop[102], testIdentity[102], testAlone[102], isEndLoop[102]]], VarOrder [vars=[beginLoop[103], testIdentity[103], testAlone[103], isEndLoop[103]]], VarOrder [vars=[beginLoop[104], testIdentity[104], testAlone[104], isEndLoop[104]]], VarOrder [vars=[beginLoop[105], testIdentity[105], testAlone[105], isEndLoop[105]]], VarOrder [vars=[beginLoop[106], testIdentity[106], testAlone[106], isEndLoop[106]]], VarOrder [vars=[beginLoop[107], testIdentity[107], testAlone[107], isEndLoop[107]]], VarOrder [vars=[beginLoop[108], testIdentity[108], testAlone[108], isEndLoop[108]]], VarOrder [vars=[beginLoop[109], testIdentity[109], testAlone[109], isEndLoop[109]]], VarOrder [vars=[beginLoop[110], testIdentity[110], testAlone[110], isEndLoop[110]]], VarOrder [vars=[beginLoop[111], testIdentity[111], testAlone[111], isEndLoop[111]]], VarOrder [vars=[beginLoop[112], testIdentity[112], testAlone[112], isEndLoop[112]]], VarOrder [vars=[beginLoop[113], testIdentity[113], testAlone[113], isEndLoop[113]]], VarOrder [vars=[beginLoop[114], testIdentity[114], testAlone[114], isEndLoop[114]]], VarOrder [vars=[beginLoop[115], testIdentity[115], testAlone[115], isEndLoop[115]]], VarOrder [vars=[beginLoop[116], testIdentity[116], testAlone[116], isEndLoop[116]]], VarOrder [vars=[beginLoop[117], testIdentity[117], testAlone[117], isEndLoop[117]]], VarOrder [vars=[beginLoop[118], testIdentity[118], testAlone[118], isEndLoop[118]]], VarOrder [vars=[beginLoop[119], testIdentity[119], testAlone[119], isEndLoop[119]]], VarOrder [vars=[beginLoop[120], testIdentity[120], testAlone[120], isEndLoop[120]]], VarOrder [vars=[beginLoop[121], testIdentity[121], testAlone[121], isEndLoop[121]]], VarOrder [vars=[beginLoop[122], testIdentity[122], testAlone[122], isEndLoop[122]]], VarOrder [vars=[beginLoop[123], testIdentity[123], testAlone[123], isEndLoop[123]]], VarOrder [vars=[beginLoop[124], testIdentity[124], testAlone[124], isEndLoop[124]]], VarOrder [vars=[beginLoop[125], testIdentity[125], testAlone[125], isEndLoop[125]]], VarOrder [vars=[beginLoop[126], testIdentity[126], testAlone[126], isEndLoop[126]]], VarOrder [vars=[beginLoop[127], testIdentity[127], testAlone[127], isEndLoop[127]]], VarOrder [vars=[beginLoop[128], testIdentity[128], testAlone[128], isEndLoop[128]]], VarOrder [vars=[beginLoop[129], testIdentity[129], testAlone[129], isEndLoop[129]]], VarOrder [vars=[beginLoop[130], testIdentity[130], testAlone[130], isEndLoop[130]]], VarOrder [vars=[beginLoop[131], testIdentity[131], testAlone[131], isEndLoop[131]]], VarOrder [vars=[beginLoop[132], testIdentity[132], testAlone[132], isEndLoop[132]]], VarOrder [vars=[beginLoop[133], testIdentity[133], testAlone[133], isEndLoop[133]]], VarOrder [vars=[beginLoop[134], testIdentity[134], testAlone[134], isEndLoop[134]]], VarOrder [vars=[beginLoop[135], testIdentity[135], testAlone[135], isEndLoop[135]]], VarOrder [vars=[beginLoop[136], testIdentity[136], testAlone[136], isEndLoop[136]]], VarOrder [vars=[beginLoop[137], testIdentity[137], testAlone[137], isEndLoop[137]]], VarOrder [vars=[beginLoop[138], testIdentity[138], testAlone[138], isEndLoop[138]]], VarOrder [vars=[beginLoop[139], testIdentity[139], testAlone[139], isEndLoop[139]]], VarOrder [vars=[beginLoop[140], testIdentity[140], testAlone[140], isEndLoop[140]]], VarOrder [vars=[beginLoop[141], testIdentity[141], testAlone[141], isEndLoop[141]]], VarOrder [vars=[beginLoop[142], testIdentity[142], testAlone[142], isEndLoop[142]]], VarOrder [vars=[beginLoop[143], testIdentity[143], testAlone[143], isEndLoop[143]]], VarOrder [vars=[beginLoop[144], testIdentity[144], testAlone[144], isEndLoop[144]]], VarOrder [vars=[beginLoop[145], testIdentity[145], testAlone[145], isEndLoop[145]]], VarOrder [vars=[beginLoop[146], testIdentity[146], testAlone[146], isEndLoop[146]]], VarOrder [vars=[beginLoop[147], testIdentity[147], testAlone[147], isEndLoop[147]]], VarOrder [vars=[beginLoop[148], testIdentity[148], testAlone[148], isEndLoop[148]]], VarOrder [vars=[beginLoop[149], testIdentity[149], testAlone[149], isEndLoop[149]]], VarOrder [vars=[beginLoop[150], testIdentity[150], testAlone[150], isEndLoop[150]]], VarOrder [vars=[beginLoop[151], testIdentity[151], testAlone[151], isEndLoop[151]]], VarOrder [vars=[beginLoop[152], testIdentity[152], testAlone[152], isEndLoop[152]]], VarOrder [vars=[beginLoop[153], testIdentity[153], testAlone[153], isEndLoop[153]]], VarOrder [vars=[beginLoop[154], testIdentity[154], testAlone[154], isEndLoop[154]]], VarOrder [vars=[beginLoop[155], testIdentity[155], testAlone[155], isEndLoop[155]]], VarOrder [vars=[beginLoop[156], testIdentity[156], testAlone[156], isEndLoop[156]]], VarOrder [vars=[beginLoop[157], testIdentity[157], testAlone[157], isEndLoop[157]]], VarOrder [vars=[beginLoop[158], testIdentity[158], testAlone[158], isEndLoop[158]]], VarOrder [vars=[beginLoop[159], testIdentity[159], testAlone[159], isEndLoop[159]]], VarOrder [vars=[beginLoop[160], testIdentity[160], testAlone[160], isEndLoop[160]]], VarOrder [vars=[beginLoop[161], testIdentity[161], testAlone[161], isEndLoop[161]]], VarOrder [vars=[beginLoop[162], testIdentity[162], testAlone[162], isEndLoop[162]]], VarOrder [vars=[beginLoop[163], testIdentity[163], testAlone[163], isEndLoop[163]]], VarOrder [vars=[beginLoop[164], testIdentity[164], testAlone[164], isEndLoop[164]]], VarOrder [vars=[beginLoop[165], testIdentity[165], testAlone[165], isEndLoop[165]]], VarOrder [vars=[beginLoop[166], testIdentity[166], testAlone[166], isEndLoop[166]]], VarOrder [vars=[beginLoop[167], testIdentity[167], testAlone[167], isEndLoop[167]]], VarOrder [vars=[beginLoop[168], testIdentity[168], testAlone[168], isEndLoop[168]]], VarOrder [vars=[beginLoop[169], testIdentity[169], testAlone[169], isEndLoop[169]]], VarOrder [vars=[beginLoop[170], testIdentity[170], testAlone[170], isEndLoop[170]]], VarOrder [vars=[beginLoop[171], testIdentity[171], testAlone[171], isEndLoop[171]]], VarOrder [vars=[beginLoop[172], testIdentity[172], testAlone[172], isEndLoop[172]]], VarOrder [vars=[beginLoop[173], testIdentity[173], testAlone[173], isEndLoop[173]]], VarOrder [vars=[beginLoop[174], testIdentity[174], testAlone[174], isEndLoop[174]]], VarOrder [vars=[beginLoop[175], testIdentity[175], testAlone[175], isEndLoop[175]]], VarOrder [vars=[beginLoop[176], testIdentity[176], testAlone[176], isEndLoop[176]]], VarOrder [vars=[beginLoop[177], testIdentity[177], testAlone[177], isEndLoop[177]]], VarOrder [vars=[beginLoop[178], testIdentity[178], testAlone[178], isEndLoop[178]]], VarOrder [vars=[beginLoop[179], testIdentity[179], testAlone[179], isEndLoop[179]]], VarOrder [vars=[beginLoop[180], testIdentity[180], testAlone[180], isEndLoop[180]]], VarOrder [vars=[beginLoop[181], testIdentity[181], testAlone[181], isEndLoop[181]]], VarOrder [vars=[beginLoop[182], testIdentity[182], testAlone[182], isEndLoop[182]]], VarOrder [vars=[beginLoop[183], testIdentity[183], testAlone[183], isEndLoop[183]]], VarOrder [vars=[beginLoop[184], testIdentity[184], testAlone[184], isEndLoop[184]]], VarOrder [vars=[beginLoop[185], testIdentity[185], testAlone[185], isEndLoop[185]]], VarOrder [vars=[beginLoop[186], testIdentity[186], testAlone[186], isEndLoop[186]]], VarOrder [vars=[beginLoop[187], testIdentity[187], testAlone[187], isEndLoop[187]]], VarOrder [vars=[beginLoop[188], testIdentity[188], testAlone[188], isEndLoop[188]]], VarOrder [vars=[beginLoop[189], testIdentity[189], testAlone[189], isEndLoop[189]]], VarOrder [vars=[beginLoop[190], testIdentity[190], testAlone[190], isEndLoop[190]]], VarOrder [vars=[beginLoop[191], testIdentity[191], testAlone[191], isEndLoop[191]]], VarOrder [vars=[beginLoop[192], testIdentity[192], testAlone[192], isEndLoop[192]]], VarOrder [vars=[beginLoop[193], testIdentity[193], testAlone[193], isEndLoop[193]]], VarOrder [vars=[beginLoop[194], testIdentity[194], testAlone[194], isEndLoop[194]]], VarOrder [vars=[beginLoop[195], testIdentity[195], testAlone[195], isEndLoop[195]]], VarOrder [vars=[beginLoop[196], testIdentity[196], testAlone[196], isEndLoop[196]]], VarOrder [vars=[beginLoop[197], testIdentity[197], testAlone[197], isEndLoop[197]]], VarOrder [vars=[beginLoop[198], testIdentity[198], testAlone[198], isEndLoop[198]]], VarOrder [vars=[beginLoop[199], testIdentity[199], testAlone[199], isEndLoop[199]]], VarOrder [vars=[beginLoop[200], testIdentity[200], testAlone[200], isEndLoop[200]]], VarOrder [vars=[beginLoop[201], testIdentity[201], testAlone[201], isEndLoop[201]]], VarOrder [vars=[beginLoop[202], testIdentity[202], testAlone[202], isEndLoop[202]]], VarOrder [vars=[beginLoop[203], testIdentity[203], testAlone[203], isEndLoop[203]]], VarOrder [vars=[beginLoop[204], testIdentity[204], testAlone[204], isEndLoop[204]]], VarOrder [vars=[beginLoop[205], testIdentity[205], testAlone[205], isEndLoop[205]]], VarOrder [vars=[beginLoop[206], testIdentity[206], testAlone[206], isEndLoop[206]]], VarOrder [vars=[beginLoop[207], testIdentity[207], testAlone[207], isEndLoop[207]]], VarOrder [vars=[beginLoop[208], testIdentity[208], testAlone[208], isEndLoop[208]]], VarOrder [vars=[beginLoop[209], testIdentity[209], testAlone[209], isEndLoop[209]]], VarOrder [vars=[beginLoop[210], testIdentity[210], testAlone[210], isEndLoop[210]]], VarOrder [vars=[beginLoop[211], testIdentity[211], testAlone[211], isEndLoop[211]]], VarOrder [vars=[beginLoop[212], testIdentity[212], testAlone[212], isEndLoop[212]]], VarOrder [vars=[beginLoop[213], testIdentity[213], testAlone[213], isEndLoop[213]]], VarOrder [vars=[beginLoop[214], testIdentity[214], testAlone[214], isEndLoop[214]]], VarOrder [vars=[beginLoop[215], testIdentity[215], testAlone[215], isEndLoop[215]]], VarOrder [vars=[beginLoop[216], testIdentity[216], testAlone[216], isEndLoop[216]]], VarOrder [vars=[beginLoop[217], testIdentity[217], testAlone[217], isEndLoop[217]]], VarOrder [vars=[beginLoop[218], testIdentity[218], testAlone[218], isEndLoop[218]]], VarOrder [vars=[beginLoop[219], testIdentity[219], testAlone[219], isEndLoop[219]]], VarOrder [vars=[beginLoop[220], testIdentity[220], testAlone[220], isEndLoop[220]]], VarOrder [vars=[beginLoop[221], testIdentity[221], testAlone[221], isEndLoop[221]]], VarOrder [vars=[beginLoop[222], testIdentity[222], testAlone[222], isEndLoop[222]]], VarOrder [vars=[beginLoop[223], testIdentity[223], testAlone[223], isEndLoop[223]]], VarOrder [vars=[beginLoop[224], testIdentity[224], testAlone[224], isEndLoop[224]]], VarOrder [vars=[beginLoop[225], testIdentity[225], testAlone[225], isEndLoop[225]]], VarOrder [vars=[beginLoop[226], testIdentity[226], testAlone[226], isEndLoop[226]]], VarOrder [vars=[beginLoop[227], testIdentity[227], testAlone[227], isEndLoop[227]]], VarOrder [vars=[beginLoop[228], testIdentity[228], testAlone[228], isEndLoop[228]]], VarOrder [vars=[beginLoop[229], testIdentity[229], testAlone[229], isEndLoop[229]]], VarOrder [vars=[beginLoop[230], testIdentity[230], testAlone[230], isEndLoop[230]]], VarOrder [vars=[beginLoop[231], testIdentity[231], testAlone[231], isEndLoop[231]]], VarOrder [vars=[beginLoop[232], testIdentity[232], testAlone[232], isEndLoop[232]]], VarOrder [vars=[beginLoop[233], testIdentity[233], testAlone[233], isEndLoop[233]]], VarOrder [vars=[beginLoop[234], testIdentity[234], testAlone[234], isEndLoop[234]]], VarOrder [vars=[beginLoop[235], testIdentity[235], testAlone[235], isEndLoop[235]]], VarOrder [vars=[beginLoop[236], testIdentity[236], testAlone[236], isEndLoop[236]]], VarOrder [vars=[beginLoop[237], testIdentity[237], testAlone[237], isEndLoop[237]]], VarOrder [vars=[beginLoop[238], testIdentity[238], testAlone[238], isEndLoop[238]]], VarOrder [vars=[beginLoop[239], testIdentity[239], testAlone[239], isEndLoop[239]]], VarOrder [vars=[beginLoop[240], testIdentity[240], testAlone[240], isEndLoop[240]]], VarOrder [vars=[beginLoop[241], testIdentity[241], testAlone[241], isEndLoop[241]]], VarOrder [vars=[beginLoop[242], testIdentity[242], testAlone[242], isEndLoop[242]]], VarOrder [vars=[beginLoop[243], testIdentity[243], testAlone[243], isEndLoop[243]]], VarOrder [vars=[beginLoop[244], testIdentity[244], testAlone[244], isEndLoop[244]]], VarOrder [vars=[beginLoop[245], testIdentity[245], testAlone[245], isEndLoop[245]]], VarOrder [vars=[beginLoop[246], testIdentity[246], testAlone[246], isEndLoop[246]]], VarOrder [vars=[beginLoop[247], testIdentity[247], testAlone[247], isEndLoop[247]]], VarOrder [vars=[beginLoop[248], testIdentity[248], testAlone[248], isEndLoop[248]]], VarOrder [vars=[beginLoop[249], testIdentity[249], testAlone[249], isEndLoop[249]]], VarOrder [vars=[beginLoop[250], testIdentity[250], testAlone[250], isEndLoop[250]]], VarOrder [vars=[beginLoop[251], testIdentity[251], testAlone[251], isEndLoop[251]]], VarOrder [vars=[beginLoop[252], testIdentity[252], testAlone[252], isEndLoop[252]]], VarOrder [vars=[beginLoop[253], testIdentity[253], testAlone[253], isEndLoop[253]]], VarOrder [vars=[beginLoop[254], testIdentity[254], testAlone[254], isEndLoop[254]]], VarOrder [vars=[beginLoop[255], testIdentity[255], testAlone[255], isEndLoop[255]]], VarOrder [vars=[beginLoop[256], testIdentity[256], testAlone[256], isEndLoop[256]]], VarOrder [vars=[beginLoop[257], testIdentity[257], testAlone[257], isEndLoop[257]]], VarOrder [vars=[beginLoop[258], testIdentity[258], testAlone[258], isEndLoop[258]]], VarOrder [vars=[beginLoop[259], testIdentity[259], testAlone[259], isEndLoop[259]]], VarOrder [vars=[beginLoop[260], testIdentity[260], testAlone[260], isEndLoop[260]]], VarOrder [vars=[beginLoop[261], testIdentity[261], testAlone[261], isEndLoop[261]]], VarOrder [vars=[beginLoop[262], testIdentity[262], testAlone[262], isEndLoop[262]]], VarOrder [vars=[beginLoop[263], testIdentity[263], testAlone[263], isEndLoop[263]]], VarOrder [vars=[beginLoop[264], testIdentity[264], testAlone[264], isEndLoop[264]]], VarOrder [vars=[beginLoop[265], testIdentity[265], testAlone[265], isEndLoop[265]]], VarOrder [vars=[beginLoop[266], testIdentity[266], testAlone[266], isEndLoop[266]]], VarOrder [vars=[beginLoop[267], testIdentity[267], testAlone[267], isEndLoop[267]]], VarOrder [vars=[beginLoop[268], testIdentity[268], testAlone[268], isEndLoop[268]]], VarOrder [vars=[beginLoop[269], testIdentity[269], testAlone[269], isEndLoop[269]]], VarOrder [vars=[beginLoop[270], testIdentity[270], testAlone[270], isEndLoop[270]]], VarOrder [vars=[beginLoop[271], testIdentity[271], testAlone[271], isEndLoop[271]]], VarOrder [vars=[beginLoop[272], testIdentity[272], testAlone[272], isEndLoop[272]]], VarOrder [vars=[beginLoop[273], testIdentity[273], testAlone[273], isEndLoop[273]]], VarOrder [vars=[beginLoop[274], testIdentity[274], testAlone[274], isEndLoop[274]]], VarOrder [vars=[beginLoop[275], testIdentity[275], testAlone[275], isEndLoop[275]]], VarOrder [vars=[beginLoop[276], testIdentity[276], testAlone[276], isEndLoop[276]]], VarOrder [vars=[beginLoop[277], testIdentity[277], testAlone[277], isEndLoop[277]]], VarOrder [vars=[beginLoop[278], testIdentity[278], testAlone[278], isEndLoop[278]]], VarOrder [vars=[beginLoop[279], testIdentity[279], testAlone[279], isEndLoop[279]]], VarOrder [vars=[beginLoop[280], testIdentity[280], testAlone[280], isEndLoop[280]]], VarOrder [vars=[beginLoop[281], testIdentity[281], testAlone[281], isEndLoop[281]]], VarOrder [vars=[beginLoop[282], testIdentity[282], testAlone[282], isEndLoop[282]]], VarOrder [vars=[beginLoop[283], testIdentity[283], testAlone[283], isEndLoop[283]]], VarOrder [vars=[beginLoop[284], testIdentity[284], testAlone[284], isEndLoop[284]]], VarOrder [vars=[beginLoop[285], testIdentity[285], testAlone[285], isEndLoop[285]]], VarOrder [vars=[beginLoop[286], testIdentity[286], testAlone[286], isEndLoop[286]]], VarOrder [vars=[beginLoop[287], testIdentity[287], testAlone[287], isEndLoop[287]]], VarOrder [vars=[beginLoop[288], testIdentity[288], testAlone[288], isEndLoop[288]]], VarOrder [vars=[beginLoop[289], testIdentity[289], testAlone[289], isEndLoop[289]]], VarOrder [vars=[beginLoop[290], testIdentity[290], testAlone[290], isEndLoop[290]]], VarOrder [vars=[beginLoop[291], testIdentity[291], testAlone[291], isEndLoop[291]]], VarOrder [vars=[beginLoop[292], testIdentity[292], testAlone[292], isEndLoop[292]]], VarOrder [vars=[beginLoop[293], testIdentity[293], testAlone[293], isEndLoop[293]]], VarOrder [vars=[wantSection[0]]], VarOrder [vars=[wantSection[1]]], VarOrder [vars=[wantSection[2]]], VarOrder [vars=[wantSection[3]]], VarOrder [vars=[wantSection[4]]], VarOrder [vars=[wantSection[5]]], VarOrder [vars=[wantSection[6]]], VarOrder [vars=[wantSection[7]]], VarOrder [vars=[wantSection[8]]], VarOrder [vars=[wantSection[9]]], VarOrder [vars=[wantSection[10]]], VarOrder [vars=[wantSection[11]]], VarOrder [vars=[wantSection[12]]], VarOrder [vars=[wantSection[13]]], VarOrder [vars=[askForSection[0], testTurn[0], endTurn[0]]], VarOrder [vars=[askForSection[1], testTurn[1], endTurn[1]]], VarOrder [vars=[askForSection[2], testTurn[2], endTurn[2]]], VarOrder [vars=[askForSection[3], testTurn[3], endTurn[3]]], VarOrder [vars=[askForSection[4], testTurn[4], endTurn[4]]], VarOrder [vars=[askForSection[5], testTurn[5], endTurn[5]]], VarOrder [vars=[askForSection[6], testTurn[6], endTurn[6]]], VarOrder [vars=[askForSection[7], testTurn[7], endTurn[7]]], VarOrder [vars=[askForSection[8], testTurn[8], endTurn[8]]], VarOrder [vars=[askForSection[9], testTurn[9], endTurn[9]]], VarOrder [vars=[askForSection[10], testTurn[10], endTurn[10]]], VarOrder [vars=[askForSection[11], testTurn[11], endTurn[11]]], VarOrder [vars=[askForSection[12], testTurn[12], endTurn[12]]], VarOrder [vars=[askForSection[13], testTurn[13], endTurn[13]]], VarOrder [vars=[askForSection[14], testTurn[14], endTurn[14]]], VarOrder [vars=[askForSection[15], testTurn[15], endTurn[15]]], VarOrder [vars=[askForSection[16], testTurn[16], endTurn[16]]], VarOrder [vars=[askForSection[17], testTurn[17], endTurn[17]]], VarOrder [vars=[askForSection[18], testTurn[18], endTurn[18]]], VarOrder [vars=[askForSection[19], testTurn[19], endTurn[19]]], VarOrder [vars=[askForSection[20], testTurn[20], endTurn[20]]], VarOrder [vars=[askForSection[21], testTurn[21], endTurn[21]]], VarOrder [vars=[askForSection[22], testTurn[22], endTurn[22]]], VarOrder [vars=[askForSection[23], testTurn[23], endTurn[23]]], VarOrder [vars=[askForSection[24], testTurn[24], endTurn[24]]], VarOrder [vars=[askForSection[25], testTurn[25], endTurn[25]]], VarOrder [vars=[askForSection[26], testTurn[26], endTurn[26]]], VarOrder [vars=[askForSection[27], testTurn[27], endTurn[27]]], VarOrder [vars=[askForSection[28], testTurn[28], endTurn[28]]], VarOrder [vars=[askForSection[29], testTurn[29], endTurn[29]]], VarOrder [vars=[askForSection[30], testTurn[30], endTurn[30]]], VarOrder [vars=[askForSection[31], testTurn[31], endTurn[31]]], VarOrder [vars=[askForSection[32], testTurn[32], endTurn[32]]], VarOrder [vars=[askForSection[33], testTurn[33], endTurn[33]]], VarOrder [vars=[askForSection[34], testTurn[34], endTurn[34]]], VarOrder [vars=[askForSection[35], testTurn[35], endTurn[35]]], VarOrder [vars=[askForSection[36], testTurn[36], endTurn[36]]], VarOrder [vars=[askForSection[37], testTurn[37], endTurn[37]]], VarOrder [vars=[askForSection[38], testTurn[38], endTurn[38]]], VarOrder [vars=[askForSection[39], testTurn[39], endTurn[39]]], VarOrder [vars=[askForSection[40], testTurn[40], endTurn[40]]], VarOrder [vars=[askForSection[41], testTurn[41], endTurn[41]]], VarOrder [vars=[turn[0]]], VarOrder [vars=[turn[1]]], VarOrder [vars=[turn[2]]], VarOrder [vars=[turn[3]]], VarOrder [vars=[turn[4]]], VarOrder [vars=[turn[5]]], VarOrder [vars=[turn[6]]], VarOrder [vars=[turn[7]]], VarOrder [vars=[turn[8]]], VarOrder [vars=[turn[9]]], VarOrder [vars=[turn[10]]], VarOrder [vars=[turn[11]]], VarOrder [vars=[turn[12]]], VarOrder [vars=[turn[13]]], VarOrder [vars=[turn[14]]], VarOrder [vars=[turn[15]]], VarOrder [vars=[turn[16]]], VarOrder [vars=[turn[17]]], VarOrder [vars=[turn[18]]], VarOrder [vars=[turn[19]]], VarOrder [vars=[turn[20]]], VarOrder [vars=[turn[21]]], VarOrder [vars=[turn[22]]], VarOrder [vars=[turn[23]]], VarOrder [vars=[turn[24]]], VarOrder [vars=[turn[25]]], VarOrder [vars=[turn[26]]], VarOrder [vars=[turn[27]]], VarOrder [vars=[turn[28]]], VarOrder [vars=[turn[29]]], VarOrder [vars=[turn[30]]], VarOrder [vars=[turn[31]]], VarOrder [vars=[turn[32]]], VarOrder [vars=[turn[33]]], VarOrder [vars=[turn[34]]], VarOrder [vars=[turn[35]]], VarOrder [vars=[turn[36]]], VarOrder [vars=[turn[37]]], VarOrder [vars=[turn[38]]], VarOrder [vars=[turn[39]]], VarOrder [vars=[turn[40]]], VarOrder [vars=[turn[41]]], VarOrder [vars=[idle[0], CS[0]]], VarOrder [vars=[idle[1], CS[1]]], VarOrder [vars=[idle[2], CS[2]]], VarOrder [vars=[idle[3], CS[3]]], VarOrder [vars=[idle[4], CS[4]]], VarOrder [vars=[idle[5], CS[5]]], VarOrder [vars=[idle[6], CS[6]]]]]
Jun 05, 2015 3:20:49 PM fr.lip6.move.gal.instantiate.Instantiator separateParameters
INFO: Found a deeply bound parameter : $k
Jun 05, 2015 3:20:49 PM fr.lip6.move.gal.instantiate.Instantiator separateParameters
INFO: Found a deeply bound parameter : $i
Jun 05, 2015 3:20:49 PM fr.lip6.move.gal.instantiate.Instantiator separateParameters
INFO: Found a deeply bound parameter : $j
Jun 05, 2015 3:20:49 PM fr.lip6.move.gal.instantiate.Instantiator separateParameters
INFO: Found a deeply bound parameter : $k
Jun 05, 2015 3:20:49 PM fr.lip6.move.gal.instantiate.Instantiator separateParameters
INFO: Found a deeply bound parameter : $i
Jun 05, 2015 3:20:49 PM fr.lip6.move.gal.instantiate.Instantiator separateParameters
INFO: Found a deeply bound parameter : $j
Jun 05, 2015 3:20:49 PM fr.lip6.move.gal.instantiate.Instantiator separateParameters
INFO: Found a deeply bound parameter : $k
Jun 05, 2015 3:20:49 PM fr.lip6.move.gal.instantiate.Instantiator separateParameters
INFO: Found a deeply bound parameter : $i
Jun 05, 2015 3:20:49 PM fr.lip6.move.gal.instantiate.Instantiator separateParameters
INFO: Found a deeply bound parameter : $j
Jun 05, 2015 3:20:49 PM fr.lip6.move.gal.instantiate.Instantiator separateParameters
INFO: Found a deeply bound parameter : $k
Jun 05, 2015 3:20:49 PM fr.lip6.move.gal.instantiate.Instantiator separateParameters
INFO: Found a deeply bound parameter : $i
Jun 05, 2015 3:20:49 PM fr.lip6.move.gal.instantiate.Instantiator separateParameters
INFO: Found a deeply bound parameter : $j
Jun 05, 2015 3:20:49 PM fr.lip6.move.gal.instantiate.Instantiator separateParameters
INFO: Found a deeply bound parameter : $k
Jun 05, 2015 3:20:49 PM fr.lip6.move.gal.instantiate.Instantiator separateParameters
INFO: Found a deeply bound parameter : $i
Jun 05, 2015 3:20:49 PM fr.lip6.move.gal.instantiate.Instantiator separateParameters
INFO: Found a deeply bound parameter : $j
Jun 05, 2015 3:20:49 PM fr.lip6.move.gal.instantiate.Instantiator separateParameters
INFO: Found a deeply bound parameter : $k
Jun 05, 2015 3:20:49 PM fr.lip6.move.gal.instantiate.Instantiator separateParameters
INFO: Found a deeply bound parameter : $i
Jun 05, 2015 3:20:49 PM fr.lip6.move.gal.instantiate.Instantiator separateParameters
INFO: Found a deeply bound parameter : $j
Jun 05, 2015 3:20:49 PM fr.lip6.move.gal.instantiate.Instantiator separateParameters
INFO: Found a deeply bound parameter : $k
Jun 05, 2015 3:20:49 PM fr.lip6.move.gal.instantiate.Instantiator separateParameters
INFO: Found a deeply bound parameter : $i
Jun 05, 2015 3:20:49 PM fr.lip6.move.gal.instantiate.Instantiator separateParameters
INFO: Found a deeply bound parameter : $j
Jun 05, 2015 3:20:50 PM fr.lip6.move.gal.instantiate.Instantiator instantiateParameters
INFO: On-the-fly reduction of False transitions avoided exploring 22 instantiations of transitions. Total transitions built is 2144
Jun 05, 2015 3:20:50 PM fr.lip6.move.gal.instantiate.PropertySimplifier rewriteWithInitialState
INFO: Invariant property Peterson-COL-6-ReachabilityFireability-5 is trivially false : it is not verified in initial state.
Jun 05, 2015 3:20:50 PM fr.lip6.move.gal.instantiate.PropertySimplifier rewriteWithInitialState
INFO: Invariant property Peterson-COL-6-ReachabilityFireability-11 is trivially false : it is not verified in initial state.
Jun 05, 2015 3:20:50 PM fr.lip6.move.gal.instantiate.Simplifier removeUncalledTransitions
INFO: Removed 7 uncalled transitions.
Jun 05, 2015 3:20:50 PM fr.lip6.move.gal.instantiate.PropertySimplifier rewriteWithInitialState
INFO: Invariant property Peterson-COL-6-ReachabilityFireability-5 is trivially false : it is not verified in initial state.
Jun 05, 2015 3:20:50 PM fr.lip6.move.gal.instantiate.PropertySimplifier rewriteWithInitialState
INFO: Invariant property Peterson-COL-6-ReachabilityFireability-11 is trivially false : it is not verified in initial state.
Jun 05, 2015 3:20:50 PM fr.lip6.move.gal.instantiate.GALRewriter flatten
INFO: Flatten gal took : 736 ms
Jun 05, 2015 3:20:50 PM fr.lip6.move.gal.application.Application applyOrder
INFO: Applying decomposition
Jun 05, 2015 3:20:50 PM fr.lip6.move.gal.instantiate.CompositeBuilder decomposeWithOrder
INFO: Decomposing Gal with order
Jun 05, 2015 3:20:51 PM fr.lip6.move.gal.instantiate.GALRewriter flatten
INFO: Flatten gal took : 569 ms
Jun 05, 2015 3:20:51 PM fr.lip6.move.gal.instantiate.GALRewriter flatten
INFO: Flatten gal took : 1013 ms
Jun 05, 2015 3:20:51 PM fr.lip6.move.gal.instantiate.GALRewriter flatten
INFO: Flatten gal took : 1190 ms
Jun 05, 2015 3:20:52 PM fr.lip6.move.gal.instantiate.CompositeBuilder decomposeWithOrder
INFO: Partition obtained :[beginLoop[0], testIdentity[0], testAlone[0], isEndLoop[0], ],[beginLoop[1], testIdentity[1], testAlone[1], isEndLoop[1], ],[beginLoop[2], testIdentity[2], testAlone[2], isEndLoop[2], ],[beginLoop[3], testIdentity[3], testAlone[3], isEndLoop[3], ],[beginLoop[4], testIdentity[4], testAlone[4], isEndLoop[4], ],[beginLoop[5], testIdentity[5], testAlone[5], isEndLoop[5], ],[beginLoop[6], testIdentity[6], testAlone[6], isEndLoop[6], ],[beginLoop[7], testIdentity[7], testAlone[7], isEndLoop[7], ],[beginLoop[8], testIdentity[8], testAlone[8], isEndLoop[8], ],[beginLoop[9], testIdentity[9], testAlone[9], isEndLoop[9], ],[beginLoop[10], testIdentity[10], testAlone[10], isEndLoop[10], ],[beginLoop[11], testIdentity[11], testAlone[11], isEndLoop[11], ],[beginLoop[12], testIdentity[12], testAlone[12], isEndLoop[12], ],[beginLoop[13], testIdentity[13], testAlone[13], isEndLoop[13], ],[beginLoop[14], testIdentity[14], testAlone[14], isEndLoop[14], ],[beginLoop[15], testIdentity[15], testAlone[15], isEndLoop[15], ],[beginLoop[16], testIdentity[16], testAlone[16], isEndLoop[16], ],[beginLoop[17], testIdentity[17], testAlone[17], isEndLoop[17], ],[beginLoop[18], testIdentity[18], testAlone[18], isEndLoop[18], ],[beginLoop[19], testIdentity[19], testAlone[19], isEndLoop[19], ],[beginLoop[20], testIdentity[20], testAlone[20], isEndLoop[20], ],[beginLoop[21], testIdentity[21], testAlone[21], isEndLoop[21], ],[beginLoop[22], testIdentity[22], testAlone[22], isEndLoop[22], ],[beginLoop[23], testIdentity[23], testAlone[23], isEndLoop[23], ],[beginLoop[24], testIdentity[24], testAlone[24], isEndLoop[24], ],[beginLoop[25], testIdentity[25], testAlone[25], isEndLoop[25], ],[beginLoop[26], testIdentity[26], testAlone[26], isEndLoop[26], ],[beginLoop[27], testIdentity[27], testAlone[27], isEndLoop[27], ],[beginLoop[28], testIdentity[28], testAlone[28], isEndLoop[28], ],[beginLoop[29], testIdentity[29], testAlone[29], isEndLoop[29], ],[beginLoop[30], testIdentity[30], testAlone[30], isEndLoop[30], ],[beginLoop[31], testIdentity[31], testAlone[31], isEndLoop[31], ],[beginLoop[32], testIdentity[32], testAlone[32], isEndLoop[32], ],[beginLoop[33], testIdentity[33], testAlone[33], isEndLoop[33], ],[beginLoop[34], testIdentity[34], testAlone[34], isEndLoop[34], ],[beginLoop[35], testIdentity[35], testAlone[35], isEndLoop[35], ],[beginLoop[36], testIdentity[36], testAlone[36], isEndLoop[36], ],[beginLoop[37], testIdentity[37], testAlone[37], isEndLoop[37], ],[beginLoop[38], testIdentity[38], testAlone[38], isEndLoop[38], ],[beginLoop[39], testIdentity[39], testAlone[39], isEndLoop[39], ],[beginLoop[40], testIdentity[40], testAlone[40], isEndLoop[40], ],[beginLoop[41], testIdentity[41], testAlone[41], isEndLoop[41], ],[beginLoop[42], testIdentity[42], testAlone[42], isEndLoop[42], ],[beginLoop[43], testIdentity[43], testAlone[43], isEndLoop[43], ],[beginLoop[44], testIdentity[44], testAlone[44], isEndLoop[44], ],[beginLoop[45], testIdentity[45], testAlone[45], isEndLoop[45], ],[beginLoop[46], testIdentity[46], testAlone[46], isEndLoop[46], ],[beginLoop[47], testIdentity[47], testAlone[47], isEndLoop[47], ],[beginLoop[48], testIdentity[48], testAlone[48], isEndLoop[48], ],[beginLoop[49], testIdentity[49], testAlone[49], isEndLoop[49], ],[beginLoop[50], testIdentity[50], testAlone[50], isEndLoop[50], ],[beginLoop[51], testIdentity[51], testAlone[51], isEndLoop[51], ],[beginLoop[52], testIdentity[52], testAlone[52], isEndLoop[52], ],[beginLoop[53], testIdentity[53], testAlone[53], isEndLoop[53], ],[beginLoop[54], testIdentity[54], testAlone[54], isEndLoop[54], ],[beginLoop[55], testIdentity[55], testAlone[55], isEndLoop[55], ],[beginLoop[56], testIdentity[56], testAlone[56], isEndLoop[56], ],[beginLoop[57], testIdentity[57], testAlone[57], isEndLoop[57], ],[beginLoop[58], testIdentity[58], testAlone[58], isEndLoop[58], ],[beginLoop[59], testIdentity[59], testAlone[59], isEndLoop[59], ],[beginLoop[60], testIdentity[60], testAlone[60], isEndLoop[60], ],[beginLoop[61], testIdentity[61], testAlone[61], isEndLoop[61], ],[beginLoop[62], testIdentity[62], testAlone[62], isEndLoop[62], ],[beginLoop[63], testIdentity[63], testAlone[63], isEndLoop[63], ],[beginLoop[64], testIdentity[64], testAlone[64], isEndLoop[64], ],[beginLoop[65], testIdentity[65], testAlone[65], isEndLoop[65], ],[beginLoop[66], testIdentity[66], testAlone[66], isEndLoop[66], ],[beginLoop[67], testIdentity[67], testAlone[67], isEndLoop[67], ],[beginLoop[68], testIdentity[68], testAlone[68], isEndLoop[68], ],[beginLoop[69], testIdentity[69], testAlone[69], isEndLoop[69], ],[beginLoop[70], testIdentity[70], testAlone[70], isEndLoop[70], ],[beginLoop[71], testIdentity[71], testAlone[71], isEndLoop[71], ],[beginLoop[72], testIdentity[72], testAlone[72], isEndLoop[72], ],[beginLoop[73], testIdentity[73], testAlone[73], isEndLoop[73], ],[beginLoop[74], testIdentity[74], testAlone[74], isEndLoop[74], ],[beginLoop[75], testIdentity[75], testAlone[75], isEndLoop[75], ],[beginLoop[76], testIdentity[76], testAlone[76], isEndLoop[76], ],[beginLoop[77], testIdentity[77], testAlone[77], isEndLoop[77], ],[beginLoop[78], testIdentity[78], testAlone[78], isEndLoop[78], ],[beginLoop[79], testIdentity[79], testAlone[79], isEndLoop[79], ],[beginLoop[80], testIdentity[80], testAlone[80], isEndLoop[80], ],[beginLoop[81], testIdentity[81], testAlone[81], isEndLoop[81], ],[beginLoop[82], testIdentity[82], testAlone[82], isEndLoop[82], ],[beginLoop[83], testIdentity[83], testAlone[83], isEndLoop[83], ],[beginLoop[84], testIdentity[84], testAlone[84], isEndLoop[84], ],[beginLoop[85], testIdentity[85], testAlone[85], isEndLoop[85], ],[beginLoop[86], testIdentity[86], testAlone[86], isEndLoop[86], ],[beginLoop[87], testIdentity[87], testAlone[87], isEndLoop[87], ],[beginLoop[88], testIdentity[88], testAlone[88], isEndLoop[88], ],[beginLoop[89], testIdentity[89], testAlone[89], isEndLoop[89], ],[beginLoop[90], testIdentity[90], testAlone[90], isEndLoop[90], ],[beginLoop[91], testIdentity[91], testAlone[91], isEndLoop[91], ],[beginLoop[92], testIdentity[92], testAlone[92], isEndLoop[92], ],[beginLoop[93], testIdentity[93], testAlone[93], isEndLoop[93], ],[beginLoop[94], testIdentity[94], testAlone[94], isEndLoop[94], ],[beginLoop[95], testIdentity[95], testAlone[95], isEndLoop[95], ],[beginLoop[96], testIdentity[96], testAlone[96], isEndLoop[96], ],[beginLoop[97], testIdentity[97], testAlone[97], isEndLoop[97], ],[beginLoop[98], testIdentity[98], testAlone[98], isEndLoop[98], ],[beginLoop[99], testIdentity[99], testAlone[99], isEndLoop[99], ],[beginLoop[100], testIdentity[100], testAlone[100], isEndLoop[100], ],[beginLoop[101], testIdentity[101], testAlone[101], isEndLoop[101], ],[beginLoop[102], testIdentity[102], testAlone[102], isEndLoop[102], ],[beginLoop[103], testIdentity[103], testAlone[103], isEndLoop[103], ],[beginLoop[104], testIdentity[104], testAlone[104], isEndLoop[104], ],[beginLoop[105], testIdentity[105], testAlone[105], isEndLoop[105], ],[beginLoop[106], testIdentity[106], testAlone[106], isEndLoop[106], ],[beginLoop[107], testIdentity[107], testAlone[107], isEndLoop[107], ],[beginLoop[108], testIdentity[108], testAlone[108], isEndLoop[108], ],[beginLoop[109], testIdentity[109], testAlone[109], isEndLoop[109], ],[beginLoop[110], testIdentity[110], testAlone[110], isEndLoop[110], ],[beginLoop[111], testIdentity[111], testAlone[111], isEndLoop[111], ],[beginLoop[112], testIdentity[112], testAlone[112], isEndLoop[112], ],[beginLoop[113], testIdentity[113], testAlone[113], isEndLoop[113], ],[beginLoop[114], testIdentity[114], testAlone[114], isEndLoop[114], ],[beginLoop[115], testIdentity[115], testAlone[115], isEndLoop[115], ],[beginLoop[116], testIdentity[116], testAlone[116], isEndLoop[116], ],[beginLoop[117], testIdentity[117], testAlone[117], isEndLoop[117], ],[beginLoop[118], testIdentity[118], testAlone[118], isEndLoop[118], ],[beginLoop[119], testIdentity[119], testAlone[119], isEndLoop[119], ],[beginLoop[120], testIdentity[120], testAlone[120], isEndLoop[120], ],[beginLoop[121], testIdentity[121], testAlone[121], isEndLoop[121], ],[beginLoop[122], testIdentity[122], testAlone[122], isEndLoop[122], ],[beginLoop[123], testIdentity[123], testAlone[123], isEndLoop[123], ],[beginLoop[124], testIdentity[124], testAlone[124], isEndLoop[124], ],[beginLoop[125], testIdentity[125], testAlone[125], isEndLoop[125], ],[beginLoop[126], testIdentity[126], testAlone[126], isEndLoop[126], ],[beginLoop[127], testIdentity[127], testAlone[127], isEndLoop[127], ],[beginLoop[128], testIdentity[128], testAlone[128], isEndLoop[128], ],[beginLoop[129], testIdentity[129], testAlone[129], isEndLoop[129], ],[beginLoop[130], testIdentity[130], testAlone[130], isEndLoop[130], ],[beginLoop[131], testIdentity[131], testAlone[131], isEndLoop[131], ],[beginLoop[132], testIdentity[132], testAlone[132], isEndLoop[132], ],[beginLoop[133], testIdentity[133], testAlone[133], isEndLoop[133], ],[beginLoop[134], testIdentity[134], testAlone[134], isEndLoop[134], ],[beginLoop[135], testIdentity[135], testAlone[135], isEndLoop[135], ],[beginLoop[136], testIdentity[136], testAlone[136], isEndLoop[136], ],[beginLoop[137], testIdentity[137], testAlone[137], isEndLoop[137], ],[beginLoop[138], testIdentity[138], testAlone[138], isEndLoop[138], ],[beginLoop[139], testIdentity[139], testAlone[139], isEndLoop[139], ],[beginLoop[140], testIdentity[140], testAlone[140], isEndLoop[140], ],[beginLoop[141], testIdentity[141], testAlone[141], isEndLoop[141], ],[beginLoop[142], testIdentity[142], testAlone[142], isEndLoop[142], ],[beginLoop[143], testIdentity[143], testAlone[143], isEndLoop[143], ],[beginLoop[144], testIdentity[144], testAlone[144], isEndLoop[144], ],[beginLoop[145], testIdentity[145], testAlone[145], isEndLoop[145], ],[beginLoop[146], testIdentity[146], testAlone[146], isEndLoop[146], ],[beginLoop[147], testIdentity[147], testAlone[147], isEndLoop[147], ],[beginLoop[148], testIdentity[148], testAlone[148], isEndLoop[148], ],[beginLoop[149], testIdentity[149], testAlone[149], isEndLoop[149], ],[beginLoop[150], testIdentity[150], testAlone[150], isEndLoop[150], ],[beginLoop[151], testIdentity[151], testAlone[151], isEndLoop[151], ],[beginLoop[152], testIdentity[152], testAlone[152], isEndLoop[152], ],[beginLoop[153], testIdentity[153], testAlone[153], isEndLoop[153], ],[beginLoop[154], testIdentity[154], testAlone[154], isEndLoop[154], ],[beginLoop[155], testIdentity[155], testAlone[155], isEndLoop[155], ],[beginLoop[156], testIdentity[156], testAlone[156], isEndLoop[156], ],[beginLoop[157], testIdentity[157], testAlone[157], isEndLoop[157], ],[beginLoop[158], testIdentity[158], testAlone[158], isEndLoop[158], ],[beginLoop[159], testIdentity[159], testAlone[159], isEndLoop[159], ],[beginLoop[160], testIdentity[160], testAlone[160], isEndLoop[160], ],[beginLoop[161], testIdentity[161], testAlone[161], isEndLoop[161], ],[beginLoop[162], testIdentity[162], testAlone[162], isEndLoop[162], ],[beginLoop[163], testIdentity[163], testAlone[163], isEndLoop[163], ],[beginLoop[164], testIdentity[164], testAlone[164], isEndLoop[164], ],[beginLoop[165], testIdentity[165], testAlone[165], isEndLoop[165], ],[beginLoop[166], testIdentity[166], testAlone[166], isEndLoop[166], ],[beginLoop[167], testIdentity[167], testAlone[167], isEndLoop[167], ],[beginLoop[168], testIdentity[168], testAlone[168], isEndLoop[168], ],[beginLoop[169], testIdentity[169], testAlone[169], isEndLoop[169], ],[beginLoop[170], testIdentity[170], testAlone[170], isEndLoop[170], ],[beginLoop[171], testIdentity[171], testAlone[171], isEndLoop[171], ],[beginLoop[172], testIdentity[172], testAlone[172], isEndLoop[172], ],[beginLoop[173], testIdentity[173], testAlone[173], isEndLoop[173], ],[beginLoop[174], testIdentity[174], testAlone[174], isEndLoop[174], ],[beginLoop[175], testIdentity[175], testAlone[175], isEndLoop[175], ],[beginLoop[176], testIdentity[176], testAlone[176], isEndLoop[176], ],[beginLoop[177], testIdentity[177], testAlone[177], isEndLoop[177], ],[beginLoop[178], testIdentity[178], testAlone[178], isEndLoop[178], ],[beginLoop[179], testIdentity[179], testAlone[179], isEndLoop[179], ],[beginLoop[180], testIdentity[180], testAlone[180], isEndLoop[180], ],[beginLoop[181], testIdentity[181], testAlone[181], isEndLoop[181], ],[beginLoop[182], testIdentity[182], testAlone[182], isEndLoop[182], ],[beginLoop[183], testIdentity[183], testAlone[183], isEndLoop[183], ],[beginLoop[184], testIdentity[184], testAlone[184], isEndLoop[184], ],[beginLoop[185], testIdentity[185], testAlone[185], isEndLoop[185], ],[beginLoop[186], testIdentity[186], testAlone[186], isEndLoop[186], ],[beginLoop[187], testIdentity[187], testAlone[187], isEndLoop[187], ],[beginLoop[188], testIdentity[188], testAlone[188], isEndLoop[188], ],[beginLoop[189], testIdentity[189], testAlone[189], isEndLoop[189], ],[beginLoop[190], testIdentity[190], testAlone[190], isEndLoop[190], ],[beginLoop[191], testIdentity[191], testAlone[191], isEndLoop[191], ],[beginLoop[192], testIdentity[192], testAlone[192], isEndLoop[192], ],[beginLoop[193], testIdentity[193], testAlone[193], isEndLoop[193], ],[beginLoop[194], testIdentity[194], testAlone[194], isEndLoop[194], ],[beginLoop[195], testIdentity[195], testAlone[195], isEndLoop[195], ],[beginLoop[196], testIdentity[196], testAlone[196], isEndLoop[196], ],[beginLoop[197], testIdentity[197], testAlone[197], isEndLoop[197], ],[beginLoop[198], testIdentity[198], testAlone[198], isEndLoop[198], ],[beginLoop[199], testIdentity[199], testAlone[199], isEndLoop[199], ],[beginLoop[200], testIdentity[200], testAlone[200], isEndLoop[200], ],[beginLoop[201], testIdentity[201], testAlone[201], isEndLoop[201], ],[beginLoop[202], testIdentity[202], testAlone[202], isEndLoop[202], ],[beginLoop[203], testIdentity[203], testAlone[203], isEndLoop[203], ],[beginLoop[204], testIdentity[204], testAlone[204], isEndLoop[204], ],[beginLoop[205], testIdentity[205], testAlone[205], isEndLoop[205], ],[beginLoop[206], testIdentity[206], testAlone[206], isEndLoop[206], ],[beginLoop[207], testIdentity[207], testAlone[207], isEndLoop[207], ],[beginLoop[208], testIdentity[208], testAlone[208], isEndLoop[208], ],[beginLoop[209], testIdentity[209], testAlone[209], isEndLoop[209], ],[beginLoop[210], testIdentity[210], testAlone[210], isEndLoop[210], ],[beginLoop[211], testIdentity[211], testAlone[211], isEndLoop[211], ],[beginLoop[212], testIdentity[212], testAlone[212], isEndLoop[212], ],[beginLoop[213], testIdentity[213], testAlone[213], isEndLoop[213], ],[beginLoop[214], testIdentity[214], testAlone[214], isEndLoop[214], ],[beginLoop[215], testIdentity[215], testAlone[215], isEndLoop[215], ],[beginLoop[216], testIdentity[216], testAlone[216], isEndLoop[216], ],[beginLoop[217], testIdentity[217], testAlone[217], isEndLoop[217], ],[beginLoop[218], testIdentity[218], testAlone[218], isEndLoop[218], ],[beginLoop[219], testIdentity[219], testAlone[219], isEndLoop[219], ],[beginLoop[220], testIdentity[220], testAlone[220], isEndLoop[220], ],[beginLoop[221], testIdentity[221], testAlone[221], isEndLoop[221], ],[beginLoop[222], testIdentity[222], testAlone[222], isEndLoop[222], ],[beginLoop[223], testIdentity[223], testAlone[223], isEndLoop[223], ],[beginLoop[224], testIdentity[224], testAlone[224], isEndLoop[224], ],[beginLoop[225], testIdentity[225], testAlone[225], isEndLoop[225], ],[beginLoop[226], testIdentity[226], testAlone[226], isEndLoop[226], ],[beginLoop[227], testIdentity[227], testAlone[227], isEndLoop[227], ],[beginLoop[228], testIdentity[228], testAlone[228], isEndLoop[228], ],[beginLoop[229], testIdentity[229], testAlone[229], isEndLoop[229], ],[beginLoop[230], testIdentity[230], testAlone[230], isEndLoop[230], ],[beginLoop[231], testIdentity[231], testAlone[231], isEndLoop[231], ],[beginLoop[232], testIdentity[232], testAlone[232], isEndLoop[232], ],[beginLoop[233], testIdentity[233], testAlone[233], isEndLoop[233], ],[beginLoop[234], testIdentity[234], testAlone[234], isEndLoop[234], ],[beginLoop[235], testIdentity[235], testAlone[235], isEndLoop[235], ],[beginLoop[236], testIdentity[236], testAlone[236], isEndLoop[236], ],[beginLoop[237], testIdentity[237], testAlone[237], isEndLoop[237], ],[beginLoop[238], testIdentity[238], testAlone[238], isEndLoop[238], ],[beginLoop[239], testIdentity[239], testAlone[239], isEndLoop[239], ],[beginLoop[240], testIdentity[240], testAlone[240], isEndLoop[240], ],[beginLoop[241], testIdentity[241], testAlone[241], isEndLoop[241], ],[beginLoop[242], testIdentity[242], testAlone[242], isEndLoop[242], ],[beginLoop[243], testIdentity[243], testAlone[243], isEndLoop[243], ],[beginLoop[244], testIdentity[244], testAlone[244], isEndLoop[244], ],[beginLoop[245], testIdentity[245], testAlone[245], isEndLoop[245], ],[beginLoop[246], testIdentity[246], testAlone[246], isEndLoop[246], ],[beginLoop[247], testIdentity[247], testAlone[247], isEndLoop[247], ],[beginLoop[248], testIdentity[248], testAlone[248], isEndLoop[248], ],[beginLoop[249], testIdentity[249], testAlone[249], isEndLoop[249], ],[beginLoop[250], testIdentity[250], testAlone[250], isEndLoop[250], ],[beginLoop[251], testIdentity[251], testAlone[251], isEndLoop[251], ],[beginLoop[252], testIdentity[252], testAlone[252], isEndLoop[252], ],[beginLoop[253], testIdentity[253], testAlone[253], isEndLoop[253], ],[beginLoop[254], testIdentity[254], testAlone[254], isEndLoop[254], ],[beginLoop[255], testIdentity[255], testAlone[255], isEndLoop[255], ],[beginLoop[256], testIdentity[256], testAlone[256], isEndLoop[256], ],[beginLoop[257], testIdentity[257], testAlone[257], isEndLoop[257], ],[beginLoop[258], testIdentity[258], testAlone[258], isEndLoop[258], ],[beginLoop[259], testIdentity[259], testAlone[259], isEndLoop[259], ],[beginLoop[260], testIdentity[260], testAlone[260], isEndLoop[260], ],[beginLoop[261], testIdentity[261], testAlone[261], isEndLoop[261], ],[beginLoop[262], testIdentity[262], testAlone[262], isEndLoop[262], ],[beginLoop[263], testIdentity[263], testAlone[263], isEndLoop[263], ],[beginLoop[264], testIdentity[264], testAlone[264], isEndLoop[264], ],[beginLoop[265], testIdentity[265], testAlone[265], isEndLoop[265], ],[beginLoop[266], testIdentity[266], testAlone[266], isEndLoop[266], ],[beginLoop[267], testIdentity[267], testAlone[267], isEndLoop[267], ],[beginLoop[268], testIdentity[268], testAlone[268], isEndLoop[268], ],[beginLoop[269], testIdentity[269], testAlone[269], isEndLoop[269], ],[beginLoop[270], testIdentity[270], testAlone[270], isEndLoop[270], ],[beginLoop[271], testIdentity[271], testAlone[271], isEndLoop[271], ],[beginLoop[272], testIdentity[272], testAlone[272], isEndLoop[272], ],[beginLoop[273], testIdentity[273], testAlone[273], isEndLoop[273], ],[beginLoop[274], testIdentity[274], testAlone[274], isEndLoop[274], ],[beginLoop[275], testIdentity[275], testAlone[275], isEndLoop[275], ],[beginLoop[276], testIdentity[276], testAlone[276], isEndLoop[276], ],[beginLoop[277], testIdentity[277], testAlone[277], isEndLoop[277], ],[beginLoop[278], testIdentity[278], testAlone[278], isEndLoop[278], ],[beginLoop[279], testIdentity[279], testAlone[279], isEndLoop[279], ],[beginLoop[280], testIdentity[280], testAlone[280], isEndLoop[280], ],[beginLoop[281], testIdentity[281], testAlone[281], isEndLoop[281], ],[beginLoop[282], testIdentity[282], testAlone[282], isEndLoop[282], ],[beginLoop[283], testIdentity[283], testAlone[283], isEndLoop[283], ],[beginLoop[284], testIdentity[284], testAlone[284], isEndLoop[284], ],[beginLoop[285], testIdentity[285], testAlone[285], isEndLoop[285], ],[beginLoop[286], testIdentity[286], testAlone[286], isEndLoop[286], ],[beginLoop[287], testIdentity[287], testAlone[287], isEndLoop[287], ],[beginLoop[288], testIdentity[288], testAlone[288], isEndLoop[288], ],[beginLoop[289], testIdentity[289], testAlone[289], isEndLoop[289], ],[beginLoop[290], testIdentity[290], testAlone[290], isEndLoop[290], ],[beginLoop[291], testIdentity[291], testAlone[291], isEndLoop[291], ],[beginLoop[292], testIdentity[292], testAlone[292], isEndLoop[292], ],[beginLoop[293], testIdentity[293], testAlone[293], isEndLoop[293], ],[wantSection[0], ],[wantSection[1], ],[wantSection[2], ],[wantSection[3], ],[wantSection[4], ],[wantSection[5], ],[wantSection[6], ],[wantSection[7], ],[wantSection[8], ],[wantSection[9], ],[wantSection[10], ],[wantSection[11], ],[wantSection[12], ],[wantSection[13], ],[askForSection[0], testTurn[0], endTurn[0], ],[askForSection[1], testTurn[1], endTurn[1], ],[askForSection[2], testTurn[2], endTurn[2], ],[askForSection[3], testTurn[3], endTurn[3], ],[askForSection[4], testTurn[4], endTurn[4], ],[askForSection[5], testTurn[5], endTurn[5], ],[askForSection[6], testTurn[6], endTurn[6], ],[askForSection[7], testTurn[7], endTurn[7], ],[askForSection[8], testTurn[8], endTurn[8], ],[askForSection[9], testTurn[9], endTurn[9], ],[askForSection[10], testTurn[10], endTurn[10], ],[askForSection[11], testTurn[11], endTurn[11], ],[askForSection[12], testTurn[12], endTurn[12], ],[askForSection[13], testTurn[13], endTurn[13], ],[askForSection[14], testTurn[14], endTurn[14], ],[askForSection[15], testTurn[15], endTurn[15], ],[askForSection[16], testTurn[16], endTurn[16], ],[askForSection[17], testTurn[17], endTurn[17], ],[askForSection[18], testTurn[18], endTurn[18], ],[askForSection[19], testTurn[19], endTurn[19], ],[askForSection[20], testTurn[20], endTurn[20], ],[askForSection[21], testTurn[21], endTurn[21], ],[askForSection[22], testTurn[22], endTurn[22], ],[askForSection[23], testTurn[23], endTurn[23], ],[askForSection[24], testTurn[24], endTurn[24], ],[askForSection[25], testTurn[25], endTurn[25], ],[askForSection[26], testTurn[26], endTurn[26], ],[askForSection[27], testTurn[27], endTurn[27], ],[askForSection[28], testTurn[28], endTurn[28], ],[askForSection[29], testTurn[29], endTurn[29], ],[askForSection[30], testTurn[30], endTurn[30], ],[askForSection[31], testTurn[31], endTurn[31], ],[askForSection[32], testTurn[32], endTurn[32], ],[askForSection[33], testTurn[33], endTurn[33], ],[askForSection[34], testTurn[34], endTurn[34], ],[askForSection[35], testTurn[35], endTurn[35], ],[askForSection[36], testTurn[36], endTurn[36], ],[askForSection[37], testTurn[37], endTurn[37], ],[askForSection[38], testTurn[38], endTurn[38], ],[askForSection[39], testTurn[39], endTurn[39], ],[askForSection[40], testTurn[40], endTurn[40], ],[askForSection[41], testTurn[41], endTurn[41], ],[turn[0], ],[turn[1], ],[turn[2], ],[turn[3], ],[turn[4], ],[turn[5], ],[turn[6], ],[turn[7], ],[turn[8], ],[turn[9], ],[turn[10], ],[turn[11], ],[turn[12], ],[turn[13], ],[turn[14], ],[turn[15], ],[turn[16], ],[turn[17], ],[turn[18], ],[turn[19], ],[turn[20], ],[turn[21], ],[turn[22], ],[turn[23], ],[turn[24], ],[turn[25], ],[turn[26], ],[turn[27], ],[turn[28], ],[turn[29], ],[turn[30], ],[turn[31], ],[turn[32], ],[turn[33], ],[turn[34], ],[turn[35], ],[turn[36], ],[turn[37], ],[turn[38], ],[turn[39], ],[turn[40], ],[turn[41], ],[idle[0], CS[0], ],[idle[1], CS[1], ],[idle[2], CS[2], ],[idle[3], CS[3], ],[idle[4], CS[4], ],[idle[5], CS[5], ],[idle[6], CS[6], ],

Jun 05, 2015 3:20:52 PM fr.lip6.move.gal.instantiate.CompositeBuilder rewriteArraysToAllowPartition
INFO: Rewriting array idle to variables to allow decomposition.
Jun 05, 2015 3:21:01 PM fr.lip6.move.gal.instantiate.CompositeBuilder rewriteArraysToAllowPartition
INFO: Rewriting array wantSection to variables to allow decomposition.
Jun 05, 2015 3:21:26 PM fr.lip6.move.gal.instantiate.CompositeBuilder rewriteArraysToAllowPartition
INFO: Rewriting array askForSection to variables to allow decomposition.
Jun 05, 2015 3:21:27 PM fr.lip6.move.gal.instantiate.CompositeBuilder rewriteArraysToAllowPartition
INFO: Rewriting array turn to variables to allow decomposition.
Jun 05, 2015 3:21:28 PM fr.lip6.move.gal.instantiate.CompositeBuilder rewriteArraysToAllowPartition
INFO: Rewriting array testTurn to variables to allow decomposition.
Jun 05, 2015 3:21:28 PM fr.lip6.move.gal.instantiate.CompositeBuilder rewriteArraysToAllowPartition
INFO: Rewriting array beginLoop to variables to allow decomposition.
Jun 05, 2015 3:21:29 PM fr.lip6.move.gal.instantiate.CompositeBuilder rewriteArraysToAllowPartition
INFO: Rewriting array endTurn to variables to allow decomposition.
Jun 05, 2015 3:21:30 PM fr.lip6.move.gal.instantiate.CompositeBuilder rewriteArraysToAllowPartition
INFO: Rewriting array CS to variables to allow decomposition.
Jun 05, 2015 3:21:31 PM fr.lip6.move.gal.instantiate.CompositeBuilder rewriteArraysToAllowPartition
INFO: Rewriting array testIdentity to variables to allow decomposition.
Jun 05, 2015 3:21:31 PM fr.lip6.move.gal.instantiate.CompositeBuilder rewriteArraysToAllowPartition
INFO: Rewriting array testAlone to variables to allow decomposition.
Jun 05, 2015 3:21:38 PM fr.lip6.move.gal.instantiate.CompositeBuilder rewriteArraysToAllowPartition
INFO: Rewriting array isEndLoop to variables to allow decomposition.
Jun 05, 2015 3:21:39 PM fr.lip6.move.gal.cegar.support.SupportManager computeSupport
INFO: For property Peterson-COL-6-ReachabilityFireability-0:[reachable] : ((((((((((((((((((((((((((((((((((((((endTurn_0>=1)||(endTurn_1>=1))||(endTurn_2>=1))||(endTurn_3>=1))||(endTurn_4>=1))||(endTurn_6>=1))||(endTurn_7>=1))||(endTurn_8>=1))||(endTurn_9>=1))||(endTurn_10>=1))||(endTurn_12>=1))||(endTurn_13>=1))||(endTurn_14>=1))||(endTurn_15>=1))||(endTurn_16>=1))||(endTurn_18>=1))||(endTurn_19>=1))||(endTurn_20>=1))||(endTurn_21>=1))||(endTurn_22>=1))||(endTurn_24>=1))||(endTurn_25>=1))||(endTurn_26>=1))||(endTurn_27>=1))||(endTurn_28>=1))||(endTurn_30>=1))||(endTurn_31>=1))||(endTurn_32>=1))||(endTurn_33>=1))||(endTurn_34>=1))||(endTurn_36>=1))||(endTurn_37>=1))||(endTurn_38>=1))||(endTurn_39>=1))||(endTurn_40>=1))||((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((testAlone_0>=1)&&(wantSection_1>=1))||((testAlone_7>=1)&&(wantSection_1>=1)))||((testAlone_14>=1)&&(wantSection_1>=1)))||((testAlone_21>=1)&&(wantSection_1>=1)))||((testAlone_28>=1)&&(wantSection_1>=1)))||((testAlone_35>=1)&&(wantSection_1>=1)))||((testAlone_42>=1)&&(wantSection_1>=1)))||((testAlone_49>=1)&&(wantSection_1>=1)))||((testAlone_56>=1)&&(wantSection_1>=1)))||((testAlone_63>=1)&&(wantSection_1>=1)))||((testAlone_70>=1)&&(wantSection_1>=1)))||((testAlone_77>=1)&&(wantSection_1>=1)))||((testAlone_84>=1)&&(wantSection_1>=1)))||((testAlone_91>=1)&&(wantSection_1>=1)))||((testAlone_98>=1)&&(wantSection_1>=1)))||((testAlone_105>=1)&&(wantSection_1>=1)))||((testAlone_112>=1)&&(wantSection_1>=1)))||((testAlone_119>=1)&&(wantSection_1>=1)))||((testAlone_126>=1)&&(wantSection_1>=1)))||((testAlone_133>=1)&&(wantSection_1>=1)))||((testAlone_140>=1)&&(wantSection_1>=1)))||((testAlone_147>=1)&&(wantSection_1>=1)))||((testAlone_154>=1)&&(wantSection_1>=1)))||((testAlone_161>=1)&&(wantSection_1>=1)))||((testAlone_168>=1)&&(wantSection_1>=1)))||((testAlone_175>=1)&&(wantSection_1>=1)))||((testAlone_182>=1)&&(wantSection_1>=1)))||((testAlone_189>=1)&&(wantSection_1>=1)))||((testAlone_196>=1)&&(wantSection_1>=1)))||((testAlone_203>=1)&&(wantSection_1>=1)))||((testAlone_210>=1)&&(wantSection_1>=1)))||((testAlone_217>=1)&&(wantSection_1>=1)))||((testAlone_224>=1)&&(wantSection_1>=1)))||((testAlone_231>=1)&&(wantSection_1>=1)))||((testAlone_238>=1)&&(wantSection_1>=1)))||((testAlone_245>=1)&&(wantSection_1>=1)))||((testAlone_252>=1)&&(wantSection_1>=1)))||((testAlone_259>=1)&&(wantSection_1>=1)))||((testAlone_266>=1)&&(wantSection_1>=1)))||((testAlone_273>=1)&&(wantSection_1>=1)))||((testAlone_280>=1)&&(wantSection_1>=1)))||((testAlone_287>=1)&&(wantSection_1>=1)))||((testAlone_1>=1)&&(wantSection_3>=1)))||((testAlone_8>=1)&&(wantSection_3>=1)))||((testAlone_15>=1)&&(wantSection_3>=1)))||((testAlone_22>=1)&&(wantSection_3>=1)))||((testAlone_29>=1)&&(wantSection_3>=1)))||((testAlone_36>=1)&&(wantSection_3>=1)))||((testAlone_43>=1)&&(wantSection_3>=1)))||((testAlone_50>=1)&&(wantSection_3>=1)))||((testAlone_57>=1)&&(wantSection_3>=1)))||((testAlone_64>=1)&&(wantSection_3>=1)))||((testAlone_71>=1)&&(wantSection_3>=1)))||((testAlone_78>=1)&&(wantSection_3>=1)))||((testAlone_85>=1)&&(wantSection_3>=1)))||((testAlone_92>=1)&&(wantSection_3>=1)))||((testAlone_99>=1)&&(wantSection_3>=1)))||((testAlone_106>=1)&&(wantSection_3>=1)))||((testAlone_113>=1)&&(wantSection_3>=1)))||((testAlone_120>=1)&&(wantSection_3>=1)))||((testAlone_127>=1)&&(wantSection_3>=1)))||((testAlone_134>=1)&&(wantSection_3>=1)))||((testAlone_141>=1)&&(wantSection_3>=1)))||((testAlone_148>=1)&&(wantSection_3>=1)))||((testAlone_155>=1)&&(wantSection_3>=1)))||((testAlone_162>=1)&&(wantSection_3>=1)))||((testAlone_169>=1)&&(wantSection_3>=1)))||((testAlone_176>=1)&&(wantSection_3>=1)))||((testAlone_183>=1)&&(wantSection_3>=1)))||((testAlone_190>=1)&&(wantSection_3>=1)))||((testAlone_197>=1)&&(wantSection_3>=1)))||((testAlone_204>=1)&&(wantSection_3>=1)))||((testAlone_211>=1)&&(wantSection_3>=1)))||((testAlone_218>=1)&&(wantSection_3>=1)))||((testAlone_225>=1)&&(wantSection_3>=1)))||((testAlone_232>=1)&&(wantSection_3>=1)))||((testAlone_239>=1)&&(wantSection_3>=1)))||((testAlone_246>=1)&&(wantSection_3>=1)))||((testAlone_253>=1)&&(wantSection_3>=1)))||((testAlone_260>=1)&&(wantSection_3>=1)))||((testAlone_267>=1)&&(wantSection_3>=1)))||((testAlone_274>=1)&&(wantSection_3>=1)))||((testAlone_281>=1)&&(wantSection_3>=1)))||((testAlone_288>=1)&&(wantSection_3>=1)))||((testAlone_2>=1)&&(wantSection_5>=1)))||((testAlone_9>=1)&&(wantSection_5>=1)))||((testAlone_16>=1)&&(wantSection_5>=1)))||((testAlone_23>=1)&&(wantSection_5>=1)))||((testAlone_30>=1)&&(wantSection_5>=1)))||((testAlone_37>=1)&&(wantSection_5>=1)))||((testAlone_44>=1)&&(wantSection_5>=1)))||((testAlone_51>=1)&&(wantSection_5>=1)))||((testAlone_58>=1)&&(wantSection_5>=1)))||((testAlone_65>=1)&&(wantSection_5>=1)))||((testAlone_72>=1)&&(wantSection_5>=1)))||((testAlone_79>=1)&&(wantSection_5>=1)))||((testAlone_86>=1)&&(wantSection_5>=1)))||((testAlone_93>=1)&&(wantSection_5>=1)))||((testAlone_100>=1)&&(wantSection_5>=1)))||((testAlone_107>=1)&&(wantSection_5>=1)))||((testAlone_114>=1)&&(wantSection_5>=1)))||((testAlone_121>=1)&&(wantSection_5>=1)))||((testAlone_128>=1)&&(wantSection_5>=1)))||((testAlone_135>=1)&&(wantSection_5>=1)))||((testAlone_142>=1)&&(wantSection_5>=1)))||((testAlone_149>=1)&&(wantSection_5>=1)))||((testAlone_156>=1)&&(wantSection_5>=1)))||((testAlone_163>=1)&&(wantSection_5>=1)))||((testAlone_170>=1)&&(wantSection_5>=1)))||((testAlone_177>=1)&&(wantSection_5>=1)))||((testAlone_184>=1)&&(wantSection_5>=1)))||((testAlone_191>=1)&&(wantSection_5>=1)))||((testAlone_198>=1)&&(wantSection_5>=1)))||((testAlone_205>=1)&&(wantSection_5>=1)))||((testAlone_212>=1)&&(wantSection_5>=1)))||((testAlone_219>=1)&&(wantSection_5>=1)))||((testAlone_226>=1)&&(wantSection_5>=1)))||((testAlone_233>=1)&&(wantSection_5>=1)))||((testAlone_240>=1)&&(wantSection_5>=1)))||((testAlone_247>=1)&&(wantSection_5>=1)))||((testAlone_254>=1)&&(wantSection_5>=1)))||((testAlone_261>=1)&&(wantSection_5>=1)))||((testAlone_268>=1)&&(wantSection_5>=1)))||((testAlone_275>=1)&&(wantSection_5>=1)))||((testAlone_282>=1)&&(wantSection_5>=1)))||((testAlone_289>=1)&&(wantSection_5>=1)))||((testAlone_3>=1)&&(wantSection_7>=1)))||((testAlone_10>=1)&&(wantSection_7>=1)))||((testAlone_17>=1)&&(wantSection_7>=1)))||((testAlone_24>=1)&&(wantSection_7>=1)))||((testAlone_31>=1)&&(wantSection_7>=1)))||((testAlone_38>=1)&&(wantSection_7>=1)))||((testAlone_45>=1)&&(wantSection_7>=1)))||((testAlone_52>=1)&&(wantSection_7>=1)))||((testAlone_59>=1)&&(wantSection_7>=1)))||((testAlone_66>=1)&&(wantSection_7>=1)))||((testAlone_73>=1)&&(wantSection_7>=1)))||((testAlone_80>=1)&&(wantSection_7>=1)))||((testAlone_87>=1)&&(wantSection_7>=1)))||((testAlone_94>=1)&&(wantSection_7>=1)))||((testAlone_101>=1)&&(wantSection_7>=1)))||((testAlone_108>=1)&&(wantSection_7>=1)))||((testAlone_115>=1)&&(wantSection_7>=1)))||((testAlone_122>=1)&&(wantSection_7>=1)))||((testAlone_129>=1)&&(wantSection_7>=1)))||((testAlone_136>=1)&&(wantSection_7>=1)))||((testAlone_143>=1)&&(wantSection_7>=1)))||((testAlone_150>=1)&&(wantSection_7>=1)))||((testAlone_157>=1)&&(wantSection_7>=1)))||((testAlone_164>=1)&&(wantSection_7>=1)))||((testAlone_171>=1)&&(wantSection_7>=1)))||((testAlone_178>=1)&&(wantSection_7>=1)))||((testAlone_185>=1)&&(wantSection_7>=1)))||((testAlone_192>=1)&&(wantSection_7>=1)))||((testAlone_199>=1)&&(wantSection_7>=1)))||((testAlone_206>=1)&&(wantSection_7>=1)))||((testAlone_213>=1)&&(wantSection_7>=1)))||((testAlone_220>=1)&&(wantSection_7>=1)))||((testAlone_227>=1)&&(wantSection_7>=1)))||((testAlone_234>=1)&&(wantSection_7>=1)))||((testAlone_241>=1)&&(wantSection_7>=1)))||((testAlone_248>=1)&&(wantSection_7>=1)))||((testAlone_255>=1)&&(wantSection_7>=1)))||((testAlone_262>=1)&&(wantSection_7>=1)))||((testAlone_269>=1)&&(wantSection_7>=1)))||((testAlone_276>=1)&&(wantSection_7>=1)))||((testAlone_283>=1)&&(wantSection_7>=1)))||((testAlone_290>=1)&&(wantSection_7>=1)))||((testAlone_4>=1)&&(wantSection_9>=1)))||((testAlone_11>=1)&&(wantSection_9>=1)))||((testAlone_18>=1)&&(wantSection_9>=1)))||((testAlone_25>=1)&&(wantSection_9>=1)))||((testAlone_32>=1)&&(wantSection_9>=1)))||((testAlone_39>=1)&&(wantSection_9>=1)))||((testAlone_46>=1)&&(wantSection_9>=1)))||((testAlone_53>=1)&&(wantSection_9>=1)))||((testAlone_60>=1)&&(wantSection_9>=1)))||((testAlone_67>=1)&&(wantSection_9>=1)))||((testAlone_74>=1)&&(wantSection_9>=1)))||((testAlone_81>=1)&&(wantSection_9>=1)))||((testAlone_88>=1)&&(wantSection_9>=1)))||((testAlone_95>=1)&&(wantSection_9>=1)))||((testAlone_102>=1)&&(wantSection_9>=1)))||((testAlone_109>=1)&&(wantSection_9>=1)))||((testAlone_116>=1)&&(wantSection_9>=1)))||((testAlone_123>=1)&&(wantSection_9>=1)))||((testAlone_130>=1)&&(wantSection_9>=1)))||((testAlone_137>=1)&&(wantSection_9>=1)))||((testAlone_144>=1)&&(wantSection_9>=1)))||((testAlone_151>=1)&&(wantSection_9>=1)))||((testAlone_158>=1)&&(wantSection_9>=1)))||((testAlone_165>=1)&&(wantSection_9>=1)))||((testAlone_172>=1)&&(wantSection_9>=1)))||((testAlone_179>=1)&&(wantSection_9>=1)))||((testAlone_186>=1)&&(wantSection_9>=1)))||((testAlone_193>=1)&&(wantSection_9>=1)))||((testAlone_200>=1)&&(wantSection_9>=1)))||((testAlone_207>=1)&&(wantSection_9>=1)))||((testAlone_214>=1)&&(wantSection_9>=1)))||((testAlone_221>=1)&&(wantSection_9>=1)))||((testAlone_228>=1)&&(wantSection_9>=1)))||((testAlone_235>=1)&&(wantSection_9>=1)))||((testAlone_242>=1)&&(wantSection_9>=1)))||((testAlone_249>=1)&&(wantSection_9>=1)))||((testAlone_256>=1)&&(wantSection_9>=1)))||((testAlone_263>=1)&&(wantSection_9>=1)))||((testAlone_270>=1)&&(wantSection_9>=1)))||((testAlone_277>=1)&&(wantSection_9>=1)))||((testAlone_284>=1)&&(wantSection_9>=1)))||((testAlone_291>=1)&&(wantSection_9>=1)))||((testAlone_5>=1)&&(wantSection_11>=1)))||((testAlone_12>=1)&&(wantSection_11>=1)))||((testAlone_19>=1)&&(wantSection_11>=1)))||((testAlone_26>=1)&&(wantSection_11>=1)))||((testAlone_33>=1)&&(wantSection_11>=1)))||((testAlone_40>=1)&&(wantSection_11>=1)))||((testAlone_47>=1)&&(wantSection_11>=1)))||((testAlone_54>=1)&&(wantSection_11>=1)))||((testAlone_61>=1)&&(wantSection_11>=1)))||((testAlone_68>=1)&&(wantSection_11>=1)))||((testAlone_75>=1)&&(wantSection_11>=1)))||((testAlone_82>=1)&&(wantSection_11>=1)))||((testAlone_89>=1)&&(wantSection_11>=1)))||((testAlone_96>=1)&&(wantSection_11>=1)))||((testAlone_103>=1)&&(wantSection_11>=1)))||((testAlone_110>=1)&&(wantSection_11>=1)))||((testAlone_117>=1)&&(wantSection_11>=1)))||((testAlone_124>=1)&&(wantSection_11>=1)))||((testAlone_131>=1)&&(wantSection_11>=1)))||((testAlone_138>=1)&&(wantSection_11>=1)))||((testAlone_145>=1)&&(wantSection_11>=1)))||((testAlone_152>=1)&&(wantSection_11>=1)))||((testAlone_159>=1)&&(wantSection_11>=1)))||((testAlone_166>=1)&&(wantSection_11>=1)))||((testAlone_173>=1)&&(wantSection_11>=1)))||((testAlone_180>=1)&&(wantSection_11>=1)))||((testAlone_187>=1)&&(wantSection_11>=1)))||((testAlone_194>=1)&&(wantSection_11>=1)))||((testAlone_201>=1)&&(wantSection_11>=1)))||((testAlone_208>=1)&&(wantSection_11>=1)))||((testAlone_215>=1)&&(wantSection_11>=1)))||((testAlone_222>=1)&&(wantSection_11>=1)))||((testAlone_229>=1)&&(wantSection_11>=1)))||((testAlone_236>=1)&&(wantSection_11>=1)))||((testAlone_243>=1)&&(wantSection_11>=1)))||((testAlone_250>=1)&&(wantSection_11>=1)))||((testAlone_257>=1)&&(wantSection_11>=1)))||((testAlone_264>=1)&&(wantSection_11>=1)))||((testAlone_271>=1)&&(wantSection_11>=1)))||((testAlone_278>=1)&&(wantSection_11>=1)))||((testAlone_285>=1)&&(wantSection_11>=1)))||((testAlone_292>=1)&&(wantSection_11>=1)))||((testAlone_6>=1)&&(wantSection_13>=1)))||((testAlone_13>=1)&&(wantSection_13>=1)))||((testAlone_20>=1)&&(wantSection_13>=1)))||((testAlone_27>=1)&&(wantSection_13>=1)))||((testAlone_34>=1)&&(wantSection_13>=1)))||((testAlone_41>=1)&&(wantSection_13>=1)))||((testAlone_48>=1)&&(wantSection_13>=1)))||((testAlone_55>=1)&&(wantSection_13>=1)))||((testAlone_62>=1)&&(wantSection_13>=1)))||((testAlone_69>=1)&&(wantSection_13>=1)))||((testAlone_76>=1)&&(wantSection_13>=1)))||((testAlone_83>=1)&&(wantSection_13>=1)))||((testAlone_90>=1)&&(wantSection_13>=1)))||((testAlone_97>=1)&&(wantSection_13>=1)))||((testAlone_104>=1)&&(wantSection_13>=1)))||((testAlone_111>=1)&&(wantSection_13>=1)))||((testAlone_118>=1)&&(wantSection_13>=1)))||((testAlone_125>=1)&&(wantSection_13>=1)))||((testAlone_132>=1)&&(wantSection_13>=1)))||((testAlone_139>=1)&&(wantSection_13>=1)))||((testAlone_146>=1)&&(wantSection_13>=1)))||((testAlone_153>=1)&&(wantSection_13>=1)))||((testAlone_160>=1)&&(wantSection_13>=1)))||((testAlone_167>=1)&&(wantSection_13>=1)))||((testAlone_174>=1)&&(wantSection_13>=1)))||((testAlone_181>=1)&&(wantSection_13>=1)))||((testAlone_188>=1)&&(wantSection_13>=1)))||((testAlone_195>=1)&&(wantSection_13>=1)))||((testAlone_202>=1)&&(wantSection_13>=1)))||((testAlone_209>=1)&&(wantSection_13>=1)))||((testAlone_216>=1)&&(wantSection_13>=1)))||((testAlone_223>=1)&&(wantSection_13>=1)))||((testAlone_230>=1)&&(wantSection_13>=1)))||((testAlone_237>=1)&&(wantSection_13>=1)))||((testAlone_244>=1)&&(wantSection_13>=1)))||((testAlone_251>=1)&&(wantSection_13>=1)))||((testAlone_258>=1)&&(wantSection_13>=1)))||((testAlone_265>=1)&&(wantSection_13>=1)))||((testAlone_272>=1)&&(wantSection_13>=1)))||((testAlone_279>=1)&&(wantSection_13>=1)))||((testAlone_286>=1)&&(wantSection_13>=1)))||((testAlone_293>=1)&&(wantSection_13>=1)))||((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((testIdentity_42>=1)||(testIdentity_49>=1))||(testIdentity_56>=1))||(testIdentity_63>=1))||(testIdentity_70>=1))||(testIdentity_77>=1))||(testIdentity_84>=1))||(testIdentity_91>=1))||(testIdentity_98>=1))||(testIdentity_105>=1))||(testIdentity_112>=1))||(testIdentity_119>=1))||(testIdentity_126>=1))||(testIdentity_133>=1))||(testIdentity_140>=1))||(testIdentity_147>=1))||(testIdentity_154>=1))||(testIdentity_161>=1))||(testIdentity_168>=1))||(testIdentity_175>=1))||(testIdentity_182>=1))||(testIdentity_189>=1))||(testIdentity_196>=1))||(testIdentity_203>=1))||(testIdentity_210>=1))||(testIdentity_217>=1))||(testIdentity_224>=1))||(testIdentity_231>=1))||(testIdentity_238>=1))||(testIdentity_245>=1))||(testIdentity_252>=1))||(testIdentity_259>=1))||(testIdentity_266>=1))||(testIdentity_273>=1))||(testIdentity_280>=1))||(testIdentity_287>=1))||(testIdentity_1>=1))||(testIdentity_8>=1))||(testIdentity_15>=1))||(testIdentity_22>=1))||(testIdentity_29>=1))||(testIdentity_36>=1))||(testIdentity_85>=1))||(testIdentity_92>=1))||(testIdentity_99>=1))||(testIdentity_106>=1))||(testIdentity_113>=1))||(testIdentity_120>=1))||(testIdentity_127>=1))||(testIdentity_134>=1))||(testIdentity_141>=1))||(testIdentity_148>=1))||(testIdentity_155>=1))||(testIdentity_162>=1))||(testIdentity_169>=1))||(testIdentity_176>=1))||(testIdentity_183>=1))||(testIdentity_190>=1))||(testIdentity_197>=1))||(testIdentity_204>=1))||(testIdentity_211>=1))||(testIdentity_218>=1))||(testIdentity_225>=1))||(testIdentity_232>=1))||(testIdentity_239>=1))||(testIdentity_246>=1))||(testIdentity_253>=1))||(testIdentity_260>=1))||(testIdentity_267>=1))||(testIdentity_274>=1))||(testIdentity_281>=1))||(testIdentity_288>=1))||(testIdentity_2>=1))||(testIdentity_9>=1))||(testIdentity_16>=1))||(testIdentity_23>=1))||(testIdentity_30>=1))||(testIdentity_37>=1))||(testIdentity_44>=1))||(testIdentity_51>=1))||(testIdentity_58>=1))||(testIdentity_65>=1))||(testIdentity_72>=1))||(testIdentity_79>=1))||(testIdentity_128>=1))||(testIdentity_135>=1))||(testIdentity_142>=1))||(testIdentity_149>=1))||(testIdentity_156>=1))||(testIdentity_163>=1))||(testIdentity_170>=1))||(testIdentity_177>=1))||(testIdentity_184>=1))||(testIdentity_191>=1))||(testIdentity_198>=1))||(testIdentity_205>=1))||(testIdentity_212>=1))||(testIdentity_219>=1))||(testIdentity_226>=1))||(testIdentity_233>=1))||(testIdentity_240>=1))||(testIdentity_247>=1))||(testIdentity_254>=1))||(testIdentity_261>=1))||(testIdentity_268>=1))||(testIdentity_275>=1))||(testIdentity_282>=1))||(testIdentity_289>=1))||(testIdentity_3>=1))||(testIdentity_10>=1))||(testIdentity_17>=1))||(testIdentity_24>=1))||(testIdentity_31>=1))||(testIdentity_38>=1))||(testIdentity_45>=1))||(testIdentity_52>=1))||(testIdentity_59>=1))||(testIdentity_66>=1))||(testIdentity_73>=1))||(testIdentity_80>=1))||(testIdentity_87>=1))||(testIdentity_94>=1))||(testIdentity_101>=1))||(testIdentity_108>=1))||(testIdentity_115>=1))||(testIdentity_122>=1))||(testIdentity_171>=1))||(testIdentity_178>=1))||(testIdentity_185>=1))||(testIdentity_192>=1))||(testIdentity_199>=1))||(testIdentity_206>=1))||(testIdentity_213>=1))||(testIdentity_220>=1))||(testIdentity_227>=1))||(testIdentity_234>=1))||(testIdentity_241>=1))||(testIdentity_248>=1))||(testIdentity_255>=1))||(testIdentity_262>=1))||(testIdentity_269>=1))||(testIdentity_276>=1))||(testIdentity_283>=1))||(testIdentity_290>=1))||(testIdentity_4>=1))||(testIdentity_11>=1))||(testIdentity_18>=1))||(testIdentity_25>=1))||(testIdentity_32>=1))||(testIdentity_39>=1))||(testIdentity_46>=1))||(testIdentity_53>=1))||(testIdentity_60>=1))||(testIdentity_67>=1))||(testIdentity_74>=1))||(testIdentity_81>=1))||(testIdentity_88>=1))||(testIdentity_95>=1))||(testIdentity_102>=1))||(testIdentity_109>=1))||(testIdentity_116>=1))||(testIdentity_123>=1))||(testIdentity_130>=1))||(testIdentity_137>=1))||(testIdentity_144>=1))||(testIdentity_151>=1))||(testIdentity_158>=1))||(testIdentity_165>=1))||(testIdentity_214>=1))||(testIdentity_221>=1))||(testIdentity_228>=1))||(testIdentity_235>=1))||(testIdentity_242>=1))||(testIdentity_249>=1))||(testIdentity_256>=1))||(testIdentity_263>=1))||(testIdentity_270>=1))||(testIdentity_277>=1))||(testIdentity_284>=1))||(testIdentity_291>=1))||(testIdentity_5>=1))||(testIdentity_12>=1))||(testIdentity_19>=1))||(testIdentity_26>=1))||(testIdentity_33>=1))||(testIdentity_40>=1))||(testIdentity_47>=1))||(testIdentity_54>=1))||(testIdentity_61>=1))||(testIdentity_68>=1))||(testIdentity_75>=1))||(testIdentity_82>=1))||(testIdentity_89>=1))||(testIdentity_96>=1))||(testIdentity_103>=1))||(testIdentity_110>=1))||(testIdentity_117>=1))||(testIdentity_124>=1))||(testIdentity_131>=1))||(testIdentity_138>=1))||(testIdentity_145>=1))||(testIdentity_152>=1))||(testIdentity_159>=1))||(testIdentity_166>=1))||(testIdentity_173>=1))||(testIdentity_180>=1))||(testIdentity_187>=1))||(testIdentity_194>=1))||(testIdentity_201>=1))||(testIdentity_208>=1))||(testIdentity_257>=1))||(testIdentity_264>=1))||(testIdentity_271>=1))||(testIdentity_278>=1))||(testIdentity_285>=1))||(testIdentity_292>=1))||(testIdentity_6>=1))||(testIdentity_13>=1))||(testIdentity_20>=1))||(testIdentity_27>=1))||(testIdentity_34>=1))||(testIdentity_41>=1))||(testIdentity_48>=1))||(testIdentity_55>=1))||(testIdentity_62>=1))||(testIdentity_69>=1))||(testIdentity_76>=1))||(testIdentity_83>=1))||(testIdentity_90>=1))||(testIdentity_97>=1))||(testIdentity_104>=1))||(testIdentity_111>=1))||(testIdentity_118>=1))||(testIdentity_125>=1))||(testIdentity_132>=1))||(testIdentity_139>=1))||(testIdentity_146>=1))||(testIdentity_153>=1))||(testIdentity_160>=1))||(testIdentity_167>=1))||(testIdentity_174>=1))||(testIdentity_181>=1))||(testIdentity_188>=1))||(testIdentity_195>=1))||(testIdentity_202>=1))||(testIdentity_209>=1))||(testIdentity_216>=1))||(testIdentity_223>=1))||(testIdentity_230>=1))||(testIdentity_237>=1))||(testIdentity_244>=1))||(testIdentity_251>=1))))&&((((((((wantSection_1>=1)&&(CS_0>=1))||((wantSection_3>=1)&&(CS_1>=1)))||((wantSection_5>=1)&&(CS_2>=1)))||((wantSection_7>=1)&&(CS_3>=1)))||((wantSection_9>=1)&&(CS_4>=1)))||((wantSection_11>=1)&&(CS_5>=1)))||((wantSection_13>=1)&&(CS_6>=1))))&&(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((beginLoop_0>=1)||(beginLoop_7>=1))||(beginLoop_14>=1))||(beginLoop_21>=1))||(beginLoop_28>=1))||(beginLoop_35>=1))||(beginLoop_42>=1))||(beginLoop_49>=1))||(beginLoop_56>=1))||(beginLoop_63>=1))||(beginLoop_70>=1))||(beginLoop_77>=1))||(beginLoop_84>=1))||(beginLoop_91>=1))||(beginLoop_98>=1))||(beginLoop_105>=1))||(beginLoop_112>=1))||(beginLoop_119>=1))||(beginLoop_126>=1))||(beginLoop_133>=1))||(beginLoop_140>=1))||(beginLoop_147>=1))||(beginLoop_154>=1))||(beginLoop_161>=1))||(beginLoop_168>=1))||(beginLoop_175>=1))||(beginLoop_182>=1))||(beginLoop_189>=1))||(beginLoop_196>=1))||(beginLoop_203>=1))||(beginLoop_210>=1))||(beginLoop_217>=1))||(beginLoop_224>=1))||(beginLoop_231>=1))||(beginLoop_238>=1))||(beginLoop_245>=1))||(beginLoop_252>=1))||(beginLoop_259>=1))||(beginLoop_266>=1))||(beginLoop_273>=1))||(beginLoop_280>=1))||(beginLoop_287>=1))||(beginLoop_1>=1))||(beginLoop_8>=1))||(beginLoop_15>=1))||(beginLoop_22>=1))||(beginLoop_29>=1))||(beginLoop_36>=1))||(beginLoop_43>=1))||(beginLoop_50>=1))||(beginLoop_57>=1))||(beginLoop_64>=1))||(beginLoop_71>=1))||(beginLoop_78>=1))||(beginLoop_85>=1))||(beginLoop_92>=1))||(beginLoop_99>=1))||(beginLoop_106>=1))||(beginLoop_113>=1))||(beginLoop_120>=1))||(beginLoop_127>=1))||(beginLoop_134>=1))||(beginLoop_141>=1))||(beginLoop_148>=1))||(beginLoop_155>=1))||(beginLoop_162>=1))||(beginLoop_169>=1))||(beginLoop_176>=1))||(beginLoop_183>=1))||(beginLoop_190>=1))||(beginLoop_197>=1))||(beginLoop_204>=1))||(beginLoop_211>=1))||(beginLoop_218>=1))||(beginLoop_225>=1))||(beginLoop_232>=1))||(beginLoop_239>=1))||(beginLoop_246>=1))||(beginLoop_253>=1))||(beginLoop_260>=1))||(beginLoop_267>=1))||(beginLoop_274>=1))||(beginLoop_281>=1))||(beginLoop_288>=1))||(beginLoop_2>=1))||(beginLoop_9>=1))||(beginLoop_16>=1))||(beginLoop_23>=1))||(beginLoop_30>=1))||(beginLoop_37>=1))||(beginLoop_44>=1))||(beginLoop_51>=1))||(beginLoop_58>=1))||(beginLoop_65>=1))||(beginLoop_72>=1))||(beginLoop_79>=1))||(beginLoop_86>=1))||(beginLoop_93>=1))||(beginLoop_100>=1))||(beginLoop_107>=1))||(beginLoop_114>=1))||(beginLoop_121>=1))||(beginLoop_128>=1))||(beginLoop_135>=1))||(beginLoop_142>=1))||(beginLoop_149>=1))||(beginLoop_156>=1))||(beginLoop_163>=1))||(beginLoop_170>=1))||(beginLoop_177>=1))||(beginLoop_184>=1))||(beginLoop_191>=1))||(beginLoop_198>=1))||(beginLoop_205>=1))||(beginLoop_212>=1))||(beginLoop_219>=1))||(beginLoop_226>=1))||(beginLoop_233>=1))||(beginLoop_240>=1))||(beginLoop_247>=1))||(beginLoop_254>=1))||(beginLoop_261>=1))||(beginLoop_268>=1))||(beginLoop_275>=1))||(beginLoop_282>=1))||(beginLoop_289>=1))||(beginLoop_3>=1))||(beginLoop_10>=1))||(beginLoop_17>=1))||(beginLoop_24>=1))||(beginLoop_31>=1))||(beginLoop_38>=1))||(beginLoop_45>=1))||(beginLoop_52>=1))||(beginLoop_59>=1))||(beginLoop_66>=1))||(beginLoop_73>=1))||(beginLoop_80>=1))||(beginLoop_87>=1))||(beginLoop_94>=1))||(beginLoop_101>=1))||(beginLoop_108>=1))||(beginLoop_115>=1))||(beginLoop_122>=1))||(beginLoop_129>=1))||(beginLoop_136>=1))||(beginLoop_143>=1))||(beginLoop_150>=1))||(beginLoop_157>=1))||(beginLoop_164>=1))||(beginLoop_171>=1))||(beginLoop_178>=1))||(beginLoop_185>=1))||(beginLoop_192>=1))||(beginLoop_199>=1))||(beginLoop_206>=1))||(beginLoop_213>=1))||(beginLoop_220>=1))||(beginLoop_227>=1))||(beginLoop_234>=1))||(beginLoop_241>=1))||(beginLoop_248>=1))||(beginLoop_255>=1))||(beginLoop_262>=1))||(beginLoop_269>=1))||(beginLoop_276>=1))||(beginLoop_283>=1))||(beginLoop_290>=1))||(beginLoop_4>=1))||(beginLoop_11>=1))||(beginLoop_18>=1))||(beginLoop_25>=1))||(beginLoop_32>=1))||(beginLoop_39>=1))||(beginLoop_46>=1))||(beginLoop_53>=1))||(beginLoop_60>=1))||(beginLoop_67>=1))||(beginLoop_74>=1))||(beginLoop_81>=1))||(beginLoop_88>=1))||(beginLoop_95>=1))||(beginLoop_102>=1))||(beginLoop_109>=1))||(beginLoop_116>=1))||(beginLoop_123>=1))||(beginLoop_130>=1))||(beginLoop_137>=1))||(beginLoop_144>=1))||(beginLoop_151>=1))||(beginLoop_158>=1))||(beginLoop_165>=1))||(beginLoop_172>=1))||(beginLoop_179>=1))||(beginLoop_186>=1))||(beginLoop_193>=1))||(beginLoop_200>=1))||(beginLoop_207>=1))||(beginLoop_214>=1))||(beginLoop_221>=1))||(beginLoop_228>=1))||(beginLoop_235>=1))||(beginLoop_242>=1))||(beginLoop_249>=1))||(beginLoop_256>=1))||(beginLoop_263>=1))||(beginLoop_270>=1))||(beginLoop_277>=1))||(beginLoop_284>=1))||(beginLoop_291>=1))||(beginLoop_5>=1))||(beginLoop_12>=1))||(beginLoop_19>=1))||(beginLoop_26>=1))||(beginLoop_33>=1))||(beginLoop_40>=1))||(beginLoop_47>=1))||(beginLoop_54>=1))||(beginLoop_61>=1))||(beginLoop_68>=1))||(beginLoop_75>=1))||(beginLoop_82>=1))||(beginLoop_89>=1))||(beginLoop_96>=1))||(beginLoop_103>=1))||(beginLoop_110>=1))||(beginLoop_117>=1))||(beginLoop_124>=1))||(beginLoop_131>=1))||(beginLoop_138>=1))||(beginLoop_145>=1))||(beginLoop_152>=1))||(beginLoop_159>=1))||(beginLoop_166>=1))||(beginLoop_173>=1))||(beginLoop_180>=1))||(beginLoop_187>=1))||(beginLoop_194>=1))||(beginLoop_201>=1))||(beginLoop_208>=1))||(beginLoop_215>=1))||(beginLoop_222>=1))||(beginLoop_229>=1))||(beginLoop_236>=1))||(beginLoop_243>=1))||(beginLoop_250>=1))||(beginLoop_257>=1))||(beginLoop_264>=1))||(beginLoop_271>=1))||(beginLoop_278>=1))||(beginLoop_285>=1))||(beginLoop_292>=1))||(beginLoop_6>=1))||(beginLoop_13>=1))||(beginLoop_20>=1))||(beginLoop_27>=1))||(beginLoop_34>=1))||(beginLoop_41>=1))||(beginLoop_48>=1))||(beginLoop_55>=1))||(beginLoop_62>=1))||(beginLoop_69>=1))||(beginLoop_76>=1))||(beginLoop_83>=1))||(beginLoop_90>=1))||(beginLoop_97>=1))||(beginLoop_104>=1))||(beginLoop_111>=1))||(beginLoop_118>=1))||(beginLoop_125>=1))||(beginLoop_132>=1))||(beginLoop_139>=1))||(beginLoop_146>=1))||(beginLoop_153>=1))||(beginLoop_160>=1))||(beginLoop_167>=1))||(beginLoop_174>=1))||(beginLoop_181>=1))||(beginLoop_188>=1))||(beginLoop_195>=1))||(beginLoop_202>=1))||(beginLoop_209>=1))||(beginLoop_216>=1))||(beginLoop_223>=1))||(beginLoop_230>=1))||(beginLoop_237>=1))||(beginLoop_244>=1))||(beginLoop_251>=1))||(beginLoop_258>=1))||(beginLoop_265>=1))||(beginLoop_272>=1))||(beginLoop_279>=1))||(beginLoop_286>=1))||(beginLoop_293>=1))||(((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((testTurn_6>=1)&&(turn_0>=1))||((testTurn_7>=1)&&(turn_7>=1)))||((testTurn_8>=1)&&(turn_14>=1)))||((testTurn_9>=1)&&(turn_21>=1)))||((testTurn_10>=1)&&(turn_28>=1)))||((testTurn_11>=1)&&(turn_35>=1)))||((testTurn_12>=1)&&(turn_0>=1)))||((testTurn_13>=1)&&(turn_7>=1)))||((testTurn_14>=1)&&(turn_14>=1)))||((testTurn_15>=1)&&(turn_21>=1)))||((testTurn_16>=1)&&(turn_28>=1)))||((testTurn_17>=1)&&(turn_35>=1)))||((testTurn_18>=1)&&(turn_0>=1)))||((testTurn_19>=1)&&(turn_7>=1)))||((testTurn_20>=1)&&(turn_14>=1)))||((testTurn_21>=1)&&(turn_21>=1)))||((testTurn_22>=1)&&(turn_28>=1)))||((testTurn_23>=1)&&(turn_35>=1)))||((testTurn_24>=1)&&(turn_0>=1)))||((testTurn_25>=1)&&(turn_7>=1)))||((testTurn_26>=1)&&(turn_14>=1)))||((testTurn_27>=1)&&(turn_21>=1)))||((testTurn_28>=1)&&(turn_28>=1)))||((testTurn_29>=1)&&(turn_35>=1)))||((testTurn_30>=1)&&(turn_0>=1)))||((testTurn_31>=1)&&(turn_7>=1)))||((testTurn_32>=1)&&(turn_14>=1)))||((testTurn_33>=1)&&(turn_21>=1)))||((testTurn_34>=1)&&(turn_28>=1)))||((testTurn_35>=1)&&(turn_35>=1)))||((testTurn_36>=1)&&(turn_0>=1)))||((testTurn_37>=1)&&(turn_7>=1)))||((testTurn_38>=1)&&(turn_14>=1)))||((testTurn_39>=1)&&(turn_21>=1)))||((testTurn_40>=1)&&(turn_28>=1)))||((testTurn_41>=1)&&(turn_35>=1)))||((testTurn_0>=1)&&(turn_1>=1)))||((testTurn_1>=1)&&(turn_8>=1)))||((testTurn_2>=1)&&(turn_15>=1)))||((testTurn_3>=1)&&(turn_22>=1)))||((testTurn_4>=1)&&(turn_29>=1)))||((testTurn_5>=1)&&(turn_36>=1)))||((testTurn_12>=1)&&(turn_1>=1)))||((testTurn_13>=1)&&(turn_8>=1)))||((testTurn_14>=1)&&(turn_15>=1)))||((testTurn_15>=1)&&(turn_22>=1)))||((testTurn_16>=1)&&(turn_29>=1)))||((testTurn_17>=1)&&(turn_36>=1)))||((testTurn_18>=1)&&(turn_1>=1)))||((testTurn_19>=1)&&(turn_8>=1)))||((testTurn_20>=1)&&(turn_15>=1)))||((testTurn_21>=1)&&(turn_22>=1)))||((testTurn_22>=1)&&(turn_29>=1)))||((testTurn_23>=1)&&(turn_36>=1)))||((testTurn_24>=1)&&(turn_1>=1)))||((testTurn_25>=1)&&(turn_8>=1)))||((testTurn_26>=1)&&(turn_15>=1)))||((testTurn_27>=1)&&(turn_22>=1)))||((testTurn_28>=1)&&(turn_29>=1)))||((testTurn_29>=1)&&(turn_36>=1)))||((testTurn_30>=1)&&(turn_1>=1)))||((testTurn_31>=1)&&(turn_8>=1)))||((testTurn_32>=1)&&(turn_15>=1)))||((testTurn_33>=1)&&(turn_22>=1)))||((testTurn_34>=1)&&(turn_29>=1)))||((testTurn_35>=1)&&(turn_36>=1)))||((testTurn_36>=1)&&(turn_1>=1)))||((testTurn_37>=1)&&(turn_8>=1)))||((testTurn_38>=1)&&(turn_15>=1)))||((testTurn_39>=1)&&(turn_22>=1)))||((testTurn_40>=1)&&(turn_29>=1)))||((testTurn_41>=1)&&(turn_36>=1)))||((testTurn_0>=1)&&(turn_2>=1)))||((testTurn_1>=1)&&(turn_9>=1)))||((testTurn_2>=1)&&(turn_16>=1)))||((testTurn_3>=1)&&(turn_23>=1)))||((testTurn_4>=1)&&(turn_30>=1)))||((testTurn_5>=1)&&(turn_37>=1)))||((testTurn_6>=1)&&(turn_2>=1)))||((testTurn_7>=1)&&(turn_9>=1)))||((testTurn_8>=1)&&(turn_16>=1)))||((testTurn_9>=1)&&(turn_23>=1)))||((testTurn_10>=1)&&(turn_30>=1)))||((testTurn_11>=1)&&(turn_37>=1)))||((testTurn_18>=1)&&(turn_2>=1)))||((testTurn_19>=1)&&(turn_9>=1)))||((testTurn_20>=1)&&(turn_16>=1)))||((testTurn_21>=1)&&(turn_23>=1)))||((testTurn_22>=1)&&(turn_30>=1)))||((testTurn_23>=1)&&(turn_37>=1)))||((testTurn_24>=1)&&(turn_2>=1)))||((testTurn_25>=1)&&(turn_9>=1)))||((testTurn_26>=1)&&(turn_16>=1)))||((testTurn_27>=1)&&(turn_23>=1)))||((testTurn_28>=1)&&(turn_30>=1)))||((testTurn_29>=1)&&(turn_37>=1)))||((testTurn_30>=1)&&(turn_2>=1)))||((testTurn_31>=1)&&(turn_9>=1)))||((testTurn_32>=1)&&(turn_16>=1)))||((testTurn_33>=1)&&(turn_23>=1)))||((testTurn_34>=1)&&(turn_30>=1)))||((testTurn_35>=1)&&(turn_37>=1)))||((testTurn_36>=1)&&(turn_2>=1)))||((testTurn_37>=1)&&(turn_9>=1)))||((testTurn_38>=1)&&(turn_16>=1)))||((testTurn_39>=1)&&(turn_23>=1)))||((testTurn_40>=1)&&(turn_30>=1)))||((testTurn_41>=1)&&(turn_37>=1)))||((testTurn_0>=1)&&(turn_3>=1)))||((testTurn_1>=1)&&(turn_10>=1)))||((testTurn_2>=1)&&(turn_17>=1)))||((testTurn_3>=1)&&(turn_24>=1)))||((testTurn_4>=1)&&(turn_31>=1)))||((testTurn_5>=1)&&(turn_38>=1)))||((testTurn_6>=1)&&(turn_3>=1)))||((testTurn_7>=1)&&(turn_10>=1)))||((testTurn_8>=1)&&(turn_17>=1)))||((testTurn_9>=1)&&(turn_24>=1)))||((testTurn_10>=1)&&(turn_31>=1)))||((testTurn_11>=1)&&(turn_38>=1)))||((testTurn_12>=1)&&(turn_3>=1)))||((testTurn_13>=1)&&(turn_10>=1)))||((testTurn_14>=1)&&(turn_17>=1)))||((testTurn_15>=1)&&(turn_24>=1)))||((testTurn_16>=1)&&(turn_31>=1)))||((testTurn_17>=1)&&(turn_38>=1)))||((testTurn_24>=1)&&(turn_3>=1)))||((testTurn_25>=1)&&(turn_10>=1)))||((testTurn_26>=1)&&(turn_17>=1)))||((testTurn_27>=1)&&(turn_24>=1)))||((testTurn_28>=1)&&(turn_31>=1)))||((testTurn_29>=1)&&(turn_38>=1)))||((testTurn_30>=1)&&(turn_3>=1)))||((testTurn_31>=1)&&(turn_10>=1)))||((testTurn_32>=1)&&(turn_17>=1)))||((testTurn_33>=1)&&(turn_24>=1)))||((testTurn_34>=1)&&(turn_31>=1)))||((testTurn_35>=1)&&(turn_38>=1)))||((testTurn_36>=1)&&(turn_3>=1)))||((testTurn_37>=1)&&(turn_10>=1)))||((testTurn_38>=1)&&(turn_17>=1)))||((testTurn_39>=1)&&(turn_24>=1)))||((testTurn_40>=1)&&(turn_31>=1)))||((testTurn_41>=1)&&(turn_38>=1)))||((testTurn_0>=1)&&(turn_4>=1)))||((testTurn_1>=1)&&(turn_11>=1)))||((testTurn_2>=1)&&(turn_18>=1)))||((testTurn_3>=1)&&(turn_25>=1)))||((testTurn_4>=1)&&(turn_32>=1)))||((testTurn_5>=1)&&(turn_39>=1)))||((testTurn_6>=1)&&(turn_4>=1)))||((testTurn_7>=1)&&(turn_11>=1)))||((testTurn_8>=1)&&(turn_18>=1)))||((testTurn_9>=1)&&(turn_25>=1)))||((testTurn_10>=1)&&(turn_32>=1)))||((testTurn_11>=1)&&(turn_39>=1)))||((testTurn_12>=1)&&(turn_4>=1)))||((testTurn_13>=1)&&(turn_11>=1)))||((testTurn_14>=1)&&(turn_18>=1)))||((testTurn_15>=1)&&(turn_25>=1)))||((testTurn_16>=1)&&(turn_32>=1)))||((testTurn_17>=1)&&(turn_39>=1)))||((testTurn_18>=1)&&(turn_4>=1)))||((testTurn_19>=1)&&(turn_11>=1)))||((testTurn_20>=1)&&(turn_18>=1)))||((testTurn_21>=1)&&(turn_25>=1)))||((testTurn_22>=1)&&(turn_32>=1)))||((testTurn_23>=1)&&(turn_39>=1)))||((testTurn_30>=1)&&(turn_4>=1)))||((testTurn_31>=1)&&(turn_11>=1)))||((testTurn_32>=1)&&(turn_18>=1)))||((testTurn_33>=1)&&(turn_25>=1)))||((testTurn_34>=1)&&(turn_32>=1)))||((testTurn_35>=1)&&(turn_39>=1)))||((testTurn_36>=1)&&(turn_4>=1)))||((testTurn_37>=1)&&(turn_11>=1)))||((testTurn_38>=1)&&(turn_18>=1)))||((testTurn_39>=1)&&(turn_25>=1)))||((testTurn_40>=1)&&(turn_32>=1)))||((testTurn_41>=1)&&(turn_39>=1)))||((testTurn_0>=1)&&(turn_5>=1)))||((testTurn_1>=1)&&(turn_12>=1)))||((testTurn_2>=1)&&(turn_19>=1)))||((testTurn_3>=1)&&(turn_26>=1)))||((testTurn_4>=1)&&(turn_33>=1)))||((testTurn_5>=1)&&(turn_40>=1)))||((testTurn_6>=1)&&(turn_5>=1)))||((testTurn_7>=1)&&(turn_12>=1)))||((testTurn_8>=1)&&(turn_19>=1)))||((testTurn_9>=1)&&(turn_26>=1)))||((testTurn_10>=1)&&(turn_33>=1)))||((testTurn_11>=1)&&(turn_40>=1)))||((testTurn_12>=1)&&(turn_5>=1)))||((testTurn_13>=1)&&(turn_12>=1)))||((testTurn_14>=1)&&(turn_19>=1)))||((testTurn_15>=1)&&(turn_26>=1)))||((testTurn_16>=1)&&(turn_33>=1)))||((testTurn_17>=1)&&(turn_40>=1)))||((testTurn_18>=1)&&(turn_5>=1)))||((testTurn_19>=1)&&(turn_12>=1)))||((testTurn_20>=1)&&(turn_19>=1)))||((testTurn_21>=1)&&(turn_26>=1)))||((testTurn_22>=1)&&(turn_33>=1)))||((testTurn_23>=1)&&(turn_40>=1)))||((testTurn_24>=1)&&(turn_5>=1)))||((testTurn_25>=1)&&(turn_12>=1)))||((testTurn_26>=1)&&(turn_19>=1)))||((testTurn_27>=1)&&(turn_26>=1)))||((testTurn_28>=1)&&(turn_33>=1)))||((testTurn_29>=1)&&(turn_40>=1)))||((testTurn_36>=1)&&(turn_5>=1)))||((testTurn_37>=1)&&(turn_12>=1)))||((testTurn_38>=1)&&(turn_19>=1)))||((testTurn_39>=1)&&(turn_26>=1)))||((testTurn_40>=1)&&(turn_33>=1)))||((testTurn_41>=1)&&(turn_40>=1)))||((testTurn_0>=1)&&(turn_6>=1)))||((testTurn_1>=1)&&(turn_13>=1)))||((testTurn_2>=1)&&(turn_20>=1)))||((testTurn_3>=1)&&(turn_27>=1)))||((testTurn_4>=1)&&(turn_34>=1)))||((testTurn_5>=1)&&(turn_41>=1)))||((testTurn_6>=1)&&(turn_6>=1)))||((testTurn_7>=1)&&(turn_13>=1)))||((testTurn_8>=1)&&(turn_20>=1)))||((testTurn_9>=1)&&(turn_27>=1)))||((testTurn_10>=1)&&(turn_34>=1)))||((testTurn_11>=1)&&(turn_41>=1)))||((testTurn_12>=1)&&(turn_6>=1)))||((testTurn_13>=1)&&(turn_13>=1)))||((testTurn_14>=1)&&(turn_20>=1)))||((testTurn_15>=1)&&(turn_27>=1)))||((testTurn_16>=1)&&(turn_34>=1)))||((testTurn_17>=1)&&(turn_41>=1)))||((testTurn_18>=1)&&(turn_6>=1)))||((testTurn_19>=1)&&(turn_13>=1)))||((testTurn_20>=1)&&(turn_20>=1)))||((testTurn_21>=1)&&(turn_27>=1)))||((testTurn_22>=1)&&(turn_34>=1)))||((testTurn_23>=1)&&(turn_41>=1)))||((testTurn_24>=1)&&(turn_6>=1)))||((testTurn_25>=1)&&(turn_13>=1)))||((testTurn_26>=1)&&(turn_20>=1)))||((testTurn_27>=1)&&(turn_27>=1)))||((testTurn_28>=1)&&(turn_34>=1)))||((testTurn_29>=1)&&(turn_41>=1)))||((testTurn_30>=1)&&(turn_6>=1)))||((testTurn_31>=1)&&(turn_13>=1)))||((testTurn_32>=1)&&(turn_20>=1)))||((testTurn_33>=1)&&(turn_27>=1)))||((testTurn_34>=1)&&(turn_34>=1)))||((testTurn_35>=1)&&(turn_41>=1))))&&(!(((((((((((((((((((((((((((((((((((endTurn_0>=1)||(endTurn_1>=1))||(endTurn_2>=1))||(endTurn_3>=1))||(endTurn_4>=1))||(endTurn_6>=1))||(endTurn_7>=1))||(endTurn_8>=1))||(endTurn_9>=1))||(endTurn_10>=1))||(endTurn_12>=1))||(endTurn_13>=1))||(endTurn_14>=1))||(endTurn_15>=1))||(endTurn_16>=1))||(endTurn_18>=1))||(endTurn_19>=1))||(endTurn_20>=1))||(endTurn_21>=1))||(endTurn_22>=1))||(endTurn_24>=1))||(endTurn_25>=1))||(endTurn_26>=1))||(endTurn_27>=1))||(endTurn_28>=1))||(endTurn_30>=1))||(endTurn_31>=1))||(endTurn_32>=1))||(endTurn_33>=1))||(endTurn_34>=1))||(endTurn_36>=1))||(endTurn_37>=1))||(endTurn_38>=1))||(endTurn_39>=1))||(endTurn_40>=1))))&&((!((((((((((((((((((((((((((((((((((((((((((testIdentity_0>=1)||(testIdentity_7>=1))||(testIdentity_14>=1))||(testIdentity_21>=1))||(testIdentity_28>=1))||(testIdentity_35>=1))||(testIdentity_43>=1))||(testIdentity_50>=1))||(testIdentity_57>=1))||(testIdentity_64>=1))||(testIdentity_71>=1))||(testIdentity_78>=1))||(testIdentity_86>=1))||(testIdentity_93>=1))||(testIdentity_100>=1))||(testIdentity_107>=1))||(testIdentity_114>=1))||(testIdentity_121>=1))||(testIdentity_129>=1))||(testIdentity_136>=1))||(testIdentity_143>=1))||(testIdentity_150>=1))||(testIdentity_157>=1))||(testIdentity_164>=1))||(testIdentity_172>=1))||(testIdentity_179>=1))||(testIdentity_186>=1))||(testIdentity_193>=1))||(testIdentity_200>=1))||(testIdentity_207>=1))||(testIdentity_215>=1))||(testIdentity_222>=1))||(testIdentity_229>=1))||(testIdentity_236>=1))||(testIdentity_243>=1))||(testIdentity_250>=1))||(testIdentity_258>=1))||(testIdentity_265>=1))||(testIdentity_272>=1))||(testIdentity_279>=1))||(testIdentity_286>=1))||(testIdentity_293>=1)))&&(!((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((testIdentity_42>=1)||(testIdentity_49>=1))||(testIdentity_56>=1))||(testIdentity_63>=1))||(testIdentity_70>=1))||(testIdentity_77>=1))||(testIdentity_84>=1))||(testIdentity_91>=1))||(testIdentity_98>=1))||(testIdentity_105>=1))||(testIdentity_112>=1))||(testIdentity_119>=1))||(testIdentity_126>=1))||(testIdentity_133>=1))||(testIdentity_140>=1))||(testIdentity_147>=1))||(testIdentity_154>=1))||(testIdentity_161>=1))||(testIdentity_168>=1))||(testIdentity_175>=1))||(testIdentity_182>=1))||(testIdentity_189>=1))||(testIdentity_196>=1))||(testIdentity_203>=1))||(testIdentity_210>=1))||(testIdentity_217>=1))||(testIdentity_224>=1))||(testIdentity_231>=1))||(testIdentity_238>=1))||(testIdentity_245>=1))||(testIdentity_252>=1))||(testIdentity_259>=1))||(testIdentity_266>=1))||(testIdentity_273>=1))||(testIdentity_280>=1))||(testIdentity_287>=1))||(testIdentity_1>=1))||(testIdentity_8>=1))||(testIdentity_15>=1))||(testIdentity_22>=1))||(testIdentity_29>=1))||(testIdentity_36>=1))||(testIdentity_85>=1))||(testIdentity_92>=1))||(testIdentity_99>=1))||(testIdentity_106>=1))||(testIdentity_113>=1))||(testIdentity_120>=1))||(testIdentity_127>=1))||(testIdentity_134>=1))||(testIdentity_141>=1))||(testIdentity_148>=1))||(testIdentity_155>=1))||(testIdentity_162>=1))||(testIdentity_169>=1))||(testIdentity_176>=1))||(testIdentity_183>=1))||(testIdentity_190>=1))||(testIdentity_197>=1))||(testIdentity_204>=1))||(testIdentity_211>=1))||(testIdentity_218>=1))||(testIdentity_225>=1))||(testIdentity_232>=1))||(testIdentity_239>=1))||(testIdentity_246>=1))||(testIdentity_253>=1))||(testIdentity_260>=1))||(testIdentity_267>=1))||(testIdentity_274>=1))||(testIdentity_281>=1))||(testIdentity_288>=1))||(testIdentity_2>=1))||(testIdentity_9>=1))||(testIdentity_16>=1))||(testIdentity_23>=1))||(testIdentity_30>=1))||(testIdentity_37>=1))||(testIdentity_44>=1))||(testIdentity_51>=1))||(testIdentity_58>=1))||(testIdentity_65>=1))||(testIdentity_72>=1))||(testIdentity_79>=1))||(testIdentity_128>=1))||(testIdentity_135>=1))||(testIdentity_142>=1))||(testIdentity_149>=1))||(testIdentity_156>=1))||(testIdentity_163>=1))||(testIdentity_170>=1))||(testIdentity_177>=1))||(testIdentity_184>=1))||(testIdentity_191>=1))||(testIdentity_198>=1))||(testIdentity_205>=1))||(testIdentity_212>=1))||(testIdentity_219>=1))||(testIdentity_226>=1))||(testIdentity_233>=1))||(testIdentity_240>=1))||(testIdentity_247>=1))||(testIdentity_254>=1))||(testIdentity_261>=1))||(testIdentity_268>=1))||(testIdentity_275>=1))||(testIdentity_282>=1))||(testIdentity_289>=1))||(testIdentity_3>=1))||(testIdentity_10>=1))||(testIdentity_17>=1))||(testIdentity_24>=1))||(testIdentity_31>=1))||(testIdentity_38>=1))||(testIdentity_45>=1))||(testIdentity_52>=1))||(testIdentity_59>=1))||(testIdentity_66>=1))||(testIdentity_73>=1))||(testIdentity_80>=1))||(testIdentity_87>=1))||(testIdentity_94>=1))||(testIdentity_101>=1))||(testIdentity_108>=1))||(testIdentity_115>=1))||(testIdentity_122>=1))||(testIdentity_171>=1))||(testIdentity_178>=1))||(testIdentity_185>=1))||(testIdentity_192>=1))||(testIdentity_199>=1))||(testIdentity_206>=1))||(testIdentity_213>=1))||(testIdentity_220>=1))||(testIdentity_227>=1))||(testIdentity_234>=1))||(testIdentity_241>=1))||(testIdentity_248>=1))||(testIdentity_255>=1))||(testIdentity_262>=1))||(testIdentity_269>=1))||(testIdentity_276>=1))||(testIdentity_283>=1))||(testIdentity_290>=1))||(testIdentity_4>=1))||(testIdentity_11>=1))||(testIdentity_18>=1))||(testIdentity_25>=1))||(testIdentity_32>=1))||(testIdentity_39>=1))||(testIdentity_46>=1))||(testIdentity_53>=1))||(testIdentity_60>=1))||(testIdentity_67>=1))||(testIdentity_74>=1))||(testIdentity_81>=1))||(testIdentity_88>=1))||(testIdentity_95>=1))||(testIdentity_102>=1))||(testIdentity_109>=1))||(testIdentity_116>=1))||(testIdentity_123>=1))||(testIdentity_130>=1))||(testIdentity_137>=1))||(testIdentity_144>=1))||(testIdentity_151>=1))||(testIdentity_158>=1))||(testIdentity_165>=1))||(testIdentity_214>=1))||(testIdentity_221>=1))||(testIdentity_228>=1))||(testIdentity_235>=1))||(testIdentity_242>=1))||(testIdentity_249>=1))||(testIdentity_256>=1))||(testIdentity_263>=1))||(testIdentity_270>=1))||(testIdentity_277>=1))||(testIdentity_284>=1))||(testIdentity_291>=1))||(testIdentity_5>=1))||(testIdentity_12>=1))||(testIdentity_19>=1))||(testIdentity_26>=1))||(testIdentity_33>=1))||(testIdentity_40>=1))||(testIdentity_47>=1))||(testIdentity_54>=1))||(testIdentity_61>=1))||(testIdentity_68>=1))||(testIdentity_75>=1))||(testIdentity_82>=1))||(testIdentity_89>=1))||(testIdentity_96>=1))||(testIdentity_103>=1))||(testIdentity_110>=1))||(testIdentity_117>=1))||(testIdentity_124>=1))||(testIdentity_131>=1))||(testIdentity_138>=1))||(testIdentity_145>=1))||(testIdentity_152>=1))||(testIdentity_159>=1))||(testIdentity_166>=1))||(testIdentity_173>=1))||(testIdentity_180>=1))||(testIdentity_187>=1))||(testIdentity_194>=1))||(testIdentity_201>=1))||(testIdentity_208>=1))||(testIdentity_257>=1))||(testIdentity_264>=1))||(testIdentity_271>=1))||(testIdentity_278>=1))||(testIdentity_285>=1))||(testIdentity_292>=1))||(testIdentity_6>=1))||(testIdentity_13>=1))||(testIdentity_20>=1))||(testIdentity_27>=1))||(testIdentity_34>=1))||(testIdentity_41>=1))||(testIdentity_48>=1))||(testIdentity_55>=1))||(testIdentity_62>=1))||(testIdentity_69>=1))||(testIdentity_76>=1))||(testIdentity_83>=1))||(testIdentity_90>=1))||(testIdentity_97>=1))||(testIdentity_104>=1))||(testIdentity_111>=1))||(testIdentity_118>=1))||(testIdentity_125>=1))||(testIdentity_132>=1))||(testIdentity_139>=1))||(testIdentity_146>=1))||(testIdentity_153>=1))||(testIdentity_160>=1))||(testIdentity_167>=1))||(testIdentity_174>=1))||(testIdentity_181>=1))||(testIdentity_188>=1))||(testIdentity_195>=1))||(testIdentity_202>=1))||(testIdentity_209>=1))||(testIdentity_216>=1))||(testIdentity_223>=1))||(testIdentity_230>=1))||(testIdentity_237>=1))||(testIdentity_244>=1))||(testIdentity_251>=1)))))) found Support [endTurn_0, endTurn_1, endTurn_2, endTurn_3, endTurn_4, endTurn_6, endTurn_7, endTurn_8, endTurn_9, endTurn_10, endTurn_12, endTurn_13, endTurn_14, endTurn_15, endTurn_16, endTurn_18, endTurn_19, endTurn_20, endTurn_21, endTurn_22, endTurn_24, endTurn_25, endTurn_26, endTurn_27, endTurn_28, endTurn_30, endTurn_31, endTurn_32, endTurn_33, endTurn_34, endTurn_36, endTurn_37, endTurn_38, endTurn_39, endTurn_40, testAlone_0, wantSection_1, testAlone_7, testAlone_14, testAlone_21, testAlone_28, testAlone_35, testAlone_42, testAlone_49, testAlone_56, testAlone_63, testAlone_70, testAlone_77, testAlone_84, testAlone_91, testAlone_98, testAlone_105, testAlone_112, testAlone_119, testAlone_126, testAlone_133, testAlone_140, testAlone_147, testAlone_154, testAlone_161, testAlone_168, testAlone_175, testAlone_182, testAlone_189, testAlone_196, testAlone_203, testAlone_210, testAlone_217, testAlone_224, testAlone_231, testAlone_238, testAlone_245, testAlone_252, testAlone_259, testAlone_266, testAlone_273, testAlone_280, testAlone_287, testAlone_1, wantSection_3, testAlone_8, testAlone_15, testAlone_22, testAlone_29, testAlone_36, testAlone_43, testAlone_50, testAlone_57, testAlone_64, testAlone_71, testAlone_78, testAlone_85, testAlone_92, testAlone_99, testAlone_106, testAlone_113, testAlone_120, testAlone_127, testAlone_134, testAlone_141, testAlone_148, testAlone_155, testAlone_162, testAlone_169, testAlone_176, testAlone_183, testAlone_190, testAlone_197, testAlone_204, testAlone_211, testAlone_218, testAlone_225, testAlone_232, testAlone_239, testAlone_246, testAlone_253, testAlone_260, testAlone_267, testAlone_274, testAlone_281, testAlone_288, testAlone_2, wantSection_5, testAlone_9, testAlone_16, testAlone_23, testAlone_30, testAlone_37, testAlone_44, testAlone_51, testAlone_58, testAlone_65, testAlone_72, testAlone_79, testAlone_86, testAlone_93, testAlone_100, testAlone_107, testAlone_114, testAlone_121, testAlone_128, testAlone_135, testAlone_142, testAlone_149, testAlone_156, testAlone_163, testAlone_170, testAlone_177, testAlone_184, testAlone_191, testAlone_198, testAlone_205, testAlone_212, testAlone_219, testAlone_226, testAlone_233, testAlone_240, testAlone_247, testAlone_254, testAlone_261, testAlone_268, testAlone_275, testAlone_282, testAlone_289, testAlone_3, wantSection_7, testAlone_10, testAlone_17, testAlone_24, testAlone_31, testAlone_38, testAlone_45, testAlone_52, testAlone_59, testAlone_66, testAlone_73, testAlone_80, testAlone_87, testAlone_94, testAlone_101, testAlone_108, testAlone_115, testAlone_122, testAlone_129, testAlone_136, testAlone_143, testAlone_150, testAlone_157, testAlone_164, testAlone_171, testAlone_178, testAlone_185, testAlone_192, testAlone_199, testAlone_206, testAlone_213, testAlone_220, testAlone_227, testAlone_234, testAlone_241, testAlone_248, testAlone_255, testAlone_262, testAlone_269, testAlone_276, testAlone_283, testAlone_290, testAlone_4, wantSection_9, testAlone_11, testAlone_18, testAlone_25, testAlone_32, testAlone_39, testAlone_46, testAlone_53, testAlone_60, testAlone_67, testAlone_74, testAlone_81, testAlone_88, testAlone_95, testAlone_102, testAlone_109, testAlone_116, testAlone_123, testAlone_130, testAlone_137, testAlone_144, testAlone_151, testAlone_158, testAlone_165, testAlone_172, testAlone_179, testAlone_186, testAlone_193, testAlone_200, testAlone_207, testAlone_214, testAlone_221, testAlone_228, testAlone_235, testAlone_242, testAlone_249, testAlone_256, testAlone_263, testAlone_270, testAlone_277, testAlone_284, testAlone_291, testAlone_5, wantSection_11, testAlone_12, testAlone_19, testAlone_26, testAlone_33, testAlone_40, testAlone_47, testAlone_54, testAlone_61, testAlone_68, testAlone_75, testAlone_82, testAlone_89, testAlone_96, testAlone_103, testAlone_110, testAlone_117, testAlone_124, testAlone_131, testAlone_138, testAlone_145, testAlone_152, testAlone_159, testAlone_166, testAlone_173, testAlone_180, testAlone_187, testAlone_194, testAlone_201, testAlone_208, testAlone_215, testAlone_222, testAlone_229, testAlone_236, testAlone_243, testAlone_250, testAlone_257, testAlone_264, testAlone_271, testAlone_278, testAlone_285, testAlone_292, testAlone_6, wantSection_13, testAlone_13, testAlone_20, testAlone_27, testAlone_34, testAlone_41, testAlone_48, testAlone_55, testAlone_62, testAlone_69, testAlone_76, testAlone_83, testAlone_90, testAlone_97, testAlone_104, testAlone_111, testAlone_118, testAlone_125, testAlone_132, testAlone_139, testAlone_146, testAlone_153, testAlone_160, testAlone_167, testAlone_174, testAlone_181, testAlone_188, testAlone_195, testAlone_202, testAlone_209, testAlone_216, testAlone_223, testAlone_230, testAlone_237, testAlone_244, testAlone_251, testAlone_258, testAlone_265, testAlone_272, testAlone_279, testAlone_286, testAlone_293, testIdentity_42, testIdentity_49, testIdentity_56, testIdentity_63, testIdentity_70, testIdentity_77, testIdentity_84, testIdentity_91, testIdentity_98, testIdentity_105, testIdentity_112, testIdentity_119, testIdentity_126, testIdentity_133, testIdentity_140, testIdentity_147, testIdentity_154, testIdentity_161, testIdentity_168, testIdentity_175, testIdentity_182, testIdentity_189, testIdentity_196, testIdentity_203, testIdentity_210, testIdentity_217, testIdentity_224, testIdentity_231, testIdentity_238, testIdentity_245, testIdentity_252, testIdentity_259, testIdentity_266, testIdentity_273, testIdentity_280, testIdentity_287, testIdentity_1, testIdentity_8, testIdentity_15, testIdentity_22, testIdentity_29, testIdentity_36, testIdentity_85, testIdentity_92, testIdentity_99, testIdentity_106, testIdentity_113, testIdentity_120, testIdentity_127, testIdentity_134, testIdentity_141, testIdentity_148, testIdentity_155, testIdentity_162, testIdentity_169, testIdentity_176, testIdentity_183, testIdentity_190, testIdentity_197, testIdentity_204, testIdentity_211, testIdentity_218, testIdentity_225, testIdentity_232, testIdentity_239, testIdentity_246, testIdentity_253, testIdentity_260, testIdentity_267, testIdentity_274, testIdentity_281, testIdentity_288, testIdentity_2, testIdentity_9, testIdentity_16, testIdentity_23, testIdentity_30, testIdentity_37, testIdentity_44, testIdentity_51, testIdentity_58, testIdentity_65, testIdentity_72, testIdentity_79, testIdentity_128, testIdentity_135, testIdentity_142, testIdentity_149, testIdentity_156, testIdentity_163, testIdentity_170, testIdentity_177, testIdentity_184, testIdentity_191, testIdentity_198, testIdentity_205, testIdentity_212, testIdentity_219, testIdentity_226, testIdentity_233, testIdentity_240, testIdentity_247, testIdentity_254, testIdentity_261, testIdentity_268, testIdentity_275, testIdentity_282, testIdentity_289, testIdentity_3, testIdentity_10, testIdentity_17, testIdentity_24, testIdentity_31, testIdentity_38, testIdentity_45, testIdentity_52, testIdentity_59, testIdentity_66, testIdentity_73, testIdentity_80, testIdentity_87, testIdentity_94, testIdentity_101, testIdentity_108, testIdentity_115, testIdentity_122, testIdentity_171, testIdentity_178, testIdentity_185, testIdentity_192, testIdentity_199, testIdentity_206, testIdentity_213, testIdentity_220, testIdentity_227, testIdentity_234, testIdentity_241, testIdentity_248, testIdentity_255, testIdentity_262, testIdentity_269, testIdentity_276, testIdentity_283, testIdentity_290, testIdentity_4, testIdentity_11, testIdentity_18, testIdentity_25, testIdentity_32, testIdentity_39, testIdentity_46, testIdentity_53, testIdentity_60, testIdentity_67, testIdentity_74, testIdentity_81, testIdentity_88, testIdentity_95, testIdentity_102, testIdentity_109, testIdentity_116, testIdentity_123, testIdentity_130, testIdentity_137, testIdentity_144, testIdentity_151, testIdentity_158, testIdentity_165, testIdentity_214, testIdentity_221, testIdentity_228, testIdentity_235, testIdentity_242, testIdentity_249, testIdentity_256, testIdentity_263, testIdentity_270, testIdentity_277, testIdentity_284, testIdentity_291, testIdentity_5, testIdentity_12, testIdentity_19, testIdentity_26, testIdentity_33, testIdentity_40, testIdentity_47, testIdentity_54, testIdentity_61, testIdentity_68, testIdentity_75, testIdentity_82, testIdentity_89, testIdentity_96, testIdentity_103, testIdentity_110, testIdentity_117, testIdentity_124, testIdentity_131, testIdentity_138, testIdentity_145, testIdentity_152, testIdentity_159, testIdentity_166, testIdentity_173, testIdentity_180, testIdentity_187, testIdentity_194, testIdentity_201, testIdentity_208, testIdentity_257, testIdentity_264, testIdentity_271, testIdentity_278, testIdentity_285, testIdentity_292, testIdentity_6, testIdentity_13, testIdentity_20, testIdentity_27, testIdentity_34, testIdentity_41, testIdentity_48, testIdentity_55, testIdentity_62, testIdentity_69, testIdentity_76, testIdentity_83, testIdentity_90, testIdentity_97, testIdentity_104, testIdentity_111, testIdentity_118, testIdentity_125, testIdentity_132, testIdentity_139, testIdentity_146, testIdentity_153, testIdentity_160, testIdentity_167, testIdentity_174, testIdentity_181, testIdentity_188, testIdentity_195, testIdentity_202, testIdentity_209, testIdentity_216, testIdentity_223, testIdentity_230, testIdentity_237, testIdentity_244, testIdentity_251, CS_0, CS_1, CS_2, CS_3, CS_4, CS_5, CS_6, beginLoop_0, beginLoop_7, beginLoop_14, beginLoop_21, beginLoop_28, beginLoop_35, beginLoop_42, beginLoop_49, beginLoop_56, beginLoop_63, beginLoop_70, beginLoop_77, beginLoop_84, beginLoop_91, beginLoop_98, beginLoop_105, beginLoop_112, beginLoop_119, beginLoop_126, beginLoop_133, beginLoop_140, beginLoop_147, beginLoop_154, beginLoop_161, beginLoop_168, beginLoop_175, beginLoop_182, beginLoop_189, beginLoop_196, beginLoop_203, beginLoop_210, beginLoop_217, beginLoop_224, beginLoop_231, beginLoop_238, beginLoop_245, beginLoop_252, beginLoop_259, beginLoop_266, beginLoop_273, beginLoop_280, beginLoop_287, beginLoop_1, beginLoop_8, beginLoop_15, beginLoop_22, beginLoop_29, beginLoop_36, beginLoop_43, beginLoop_50, beginLoop_57, beginLoop_64, beginLoop_71, beginLoop_78, beginLoop_85, beginLoop_92, beginLoop_99, beginLoop_106, beginLoop_113, beginLoop_120, beginLoop_127, beginLoop_134, beginLoop_141, beginLoop_148, beginLoop_155, beginLoop_162, beginLoop_169, beginLoop_176, beginLoop_183, beginLoop_190, beginLoop_197, beginLoop_204, beginLoop_211, beginLoop_218, beginLoop_225, beginLoop_232, beginLoop_239, beginLoop_246, beginLoop_253, beginLoop_260, beginLoop_267, beginLoop_274, beginLoop_281, beginLoop_288, beginLoop_2, beginLoop_9, beginLoop_16, beginLoop_23, beginLoop_30, beginLoop_37, beginLoop_44, beginLoop_51, beginLoop_58, beginLoop_65, beginLoop_72, beginLoop_79, beginLoop_86, beginLoop_93, beginLoop_100, beginLoop_107, beginLoop_114, beginLoop_121, beginLoop_128, beginLoop_135, beginLoop_142, beginLoop_149, beginLoop_156, beginLoop_163, beginLoop_170, beginLoop_177, beginLoop_184, beginLoop_191, beginLoop_198, beginLoop_205, beginLoop_212, beginLoop_219, beginLoop_226, beginLoop_233, beginLoop_240, beginLoop_247, beginLoop_254, beginLoop_261, beginLoop_268, beginLoop_275, beginLoop_282, beginLoop_289, beginLoop_3, beginLoop_10, beginLoop_17, beginLoop_24, beginLoop_31, beginLoop_38, beginLoop_45, beginLoop_52, beginLoop_59, beginLoop_66, beginLoop_73, beginLoop_80, beginLoop_87, beginLoop_94, beginLoop_101, beginLoop_108, beginLoop_115, beginLoop_122, beginLoop_129, beginLoop_136, beginLoop_143, beginLoop_150, beginLoop_157, beginLoop_164, beginLoop_171, beginLoop_178, beginLoop_185, beginLoop_192, beginLoop_199, beginLoop_206, beginLoop_213, beginLoop_220, beginLoop_227, beginLoop_234, beginLoop_241, beginLoop_248, beginLoop_255, beginLoop_262, beginLoop_269, beginLoop_276, beginLoop_283, beginLoop_290, beginLoop_4, beginLoop_11, beginLoop_18, beginLoop_25, beginLoop_32, beginLoop_39, beginLoop_46, beginLoop_53, beginLoop_60, beginLoop_67, beginLoop_74, beginLoop_81, beginLoop_88, beginLoop_95, beginLoop_102, beginLoop_109, beginLoop_116, beginLoop_123, beginLoop_130, beginLoop_137, beginLoop_144, beginLoop_151, beginLoop_158, beginLoop_165, beginLoop_172, beginLoop_179, beginLoop_186, beginLoop_193, beginLoop_200, beginLoop_207, beginLoop_214, beginLoop_221, beginLoop_228, beginLoop_235, beginLoop_242, beginLoop_249, beginLoop_256, beginLoop_263, beginLoop_270, beginLoop_277, beginLoop_284, beginLoop_291, beginLoop_5, beginLoop_12, beginLoop_19, beginLoop_26, beginLoop_33, beginLoop_40, beginLoop_47, beginLoop_54, beginLoop_61, beginLoop_68, beginLoop_75, beginLoop_82, beginLoop_89, beginLoop_96, beginLoop_103, beginLoop_110, beginLoop_117, beginLoop_124, beginLoop_131, beginLoop_138, beginLoop_145, beginLoop_152, beginLoop_159, beginLoop_166, beginLoop_173, beginLoop_180, beginLoop_187, beginLoop_194, beginLoop_201, beginLoop_208, beginLoop_215, beginLoop_222, beginLoop_229, beginLoop_236, beginLoop_243, beginLoop_250, beginLoop_257, beginLoop_264, beginLoop_271, beginLoop_278, beginLoop_285, beginLoop_292, beginLoop_6, beginLoop_13, beginLoop_20, beginLoop_27, beginLoop_34, beginLoop_41, beginLoop_48, beginLoop_55, beginLoop_62, beginLoop_69, beginLoop_76, beginLoop_83, beginLoop_90, beginLoop_97, beginLoop_104, beginLoop_111, beginLoop_118, beginLoop_125, beginLoop_132, beginLoop_139, beginLoop_146, beginLoop_153, beginLoop_160, beginLoop_167, beginLoop_174, beginLoop_181, beginLoop_188, beginLoop_195, beginLoop_202, beginLoop_209, beginLoop_216, beginLoop_223, beginLoop_230, beginLoop_237, beginLoop_244, beginLoop_251, beginLoop_258, beginLoop_265, beginLoop_272, beginLoop_279, beginLoop_286, beginLoop_293, testTurn_6, turn_0, testTurn_7, turn_7, testTurn_8, turn_14, testTurn_9, turn_21, testTurn_10, turn_28, testTurn_11, turn_35, testTurn_12, testTurn_13, testTurn_14, testTurn_15, testTurn_16, testTurn_17, testTurn_18, testTurn_19, testTurn_20, testTurn_21, testTurn_22, testTurn_23, testTurn_24, testTurn_25, testTurn_26, testTurn_27, testTurn_28, testTurn_29, testTurn_30, testTurn_31, testTurn_32, testTurn_33, testTurn_34, testTurn_35, testTurn_36, testTurn_37, testTurn_38, testTurn_39, testTurn_40, testTurn_41, testTurn_0, turn_1, testTurn_1, turn_8, testTurn_2, turn_15, testTurn_3, turn_22, testTurn_4, turn_29, testTurn_5, turn_36, turn_2, turn_9, turn_16, turn_23, turn_30, turn_37, turn_3, turn_10, turn_17, turn_24, turn_31, turn_38, turn_4, turn_11, turn_18, turn_25, turn_32, turn_39, turn_5, turn_12, turn_19, turn_26, turn_33, turn_40, turn_6, turn_13, turn_20, turn_27, turn_34, turn_41, testIdentity_0, testIdentity_7, testIdentity_14, testIdentity_21, testIdentity_28, testIdentity_35, testIdentity_43, testIdentity_50, testIdentity_57, testIdentity_64, testIdentity_71, testIdentity_78, testIdentity_86, testIdentity_93, testIdentity_100, testIdentity_107, testIdentity_114, testIdentity_121, testIdentity_129, testIdentity_136, testIdentity_143, testIdentity_150, testIdentity_157, testIdentity_164, testIdentity_172, testIdentity_179, testIdentity_186, testIdentity_193, testIdentity_200, testIdentity_207, testIdentity_215, testIdentity_222, testIdentity_229, testIdentity_236, testIdentity_243, testIdentity_250, testIdentity_258, testIdentity_265, testIdentity_272, testIdentity_279, testIdentity_286, testIdentity_293]
Jun 05, 2015 3:21:39 PM fr.lip6.move.gal.cegar.support.SupportManager computeSupport
INFO: Computing abstraction support, depth 0, found support 1015
Jun 05, 2015 3:21:39 PM fr.lip6.move.gal.cegar.abstractor.BoundComputer compute
INFO: Bounding GAL to K = 1
Jun 05, 2015 3:21:39 PM fr.lip6.move.gal.cegar.abstractor.VariablesAbstractor abstractUsingSupport
INFO: Abstracting GAL, removed 357 / 1372 variable(s)
Jun 05, 2015 3:21:39 PM fr.lip6.move.gal.cegar.checkers.CEGARChecker check
INFO: Checking abstraction for property Peterson-COL-6-ReachabilityFireability-0(refined 0 times)...
Jun 05, 2015 3:21:39 PM fr.lip6.move.gal.cegar.checkers.CEGARChecker check
INFO: Running its-reach -reachable on the abstraction...
Jun 05, 2015 3:21:40 PM fr.lip6.move.gal.instantiate.Instantiator fuseIsomorphicEffects
INFO: Removed a total of 2002 redundant transitions.
Jun 05, 2015 3:21:40 PM fr.lip6.move.gal.instantiate.Simplifier removeUncalledTransitions
INFO: Removed 154 uncalled transitions.
Jun 05, 2015 3:21:40 PM fr.lip6.move.serialization.SerializationUtil systemToFile
INFO: Time to serialize gal into /home/mcc/execution/ReachabilityFireability.gal : 137 ms
Jun 05, 2015 3:21:40 PM fr.lip6.move.serialization.SerializationUtil systemToFile
INFO: No display to refresh.
Jun 05, 2015 3:21:40 PM fr.lip6.move.serialization.SerializationUtil serializePropertiesForITSTools
INFO: Time to serialize properties into /home/mcc/execution/ReachabilityFireability.prop : 80 ms
Jun 05, 2015 3:21:40 PM fr.lip6.move.gal.instantiate.Simplifier removeUncalledTransitions
INFO: Removed 231 uncalled transitions.
Jun 05, 2015 3:21:40 PM fr.lip6.move.gal.instantiate.GALRewriter flatten
INFO: Flatten gal took : 952 ms
Jun 05, 2015 3:21:41 PM fr.lip6.move.serialization.SerializationUtil systemToFile
INFO: Time to serialize gal into /home/mcc/execution/work/abstract.gal : 49 ms
Jun 05, 2015 3:22:16 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd checkProperties
INFO: SMT solution for property Peterson-COL-6-ReachabilityFireability-0(UNSAT) depth K=1 took 85155 ms
Jun 05, 2015 3:22:54 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd checkProperties
INFO: SMT solution for property Peterson-COL-6-ReachabilityFireability-1(UNSAT) depth K=1 took 38018 ms
Jun 05, 2015 3:23:35 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd checkProperties
INFO: SMT solution for property Peterson-COL-6-ReachabilityFireability-2(UNSAT) depth K=1 took 40933 ms
Jun 05, 2015 3:24:16 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd checkProperties
INFO: SMT solution for property Peterson-COL-6-ReachabilityFireability-3(UNSAT) depth K=1 took 40792 ms
Jun 05, 2015 3:24:54 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd checkProperties
INFO: SMT solution for property Peterson-COL-6-ReachabilityFireability-4(UNSAT) depth K=1 took 37896 ms
Jun 05, 2015 3:25:29 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd checkProperties
INFO: SMT solution for property Peterson-COL-6-ReachabilityFireability-6(UNSAT) depth K=1 took 35293 ms
Jun 05, 2015 3:26:05 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd checkProperties
INFO: SMT solution for property Peterson-COL-6-ReachabilityFireability-7(UNSAT) depth K=1 took 35115 ms
Jun 05, 2015 3:26:36 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd checkProperties
INFO: SMT solution for property Peterson-COL-6-ReachabilityFireability-8(UNSAT) depth K=1 took 31768 ms
Jun 05, 2015 3:27:08 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd checkProperties
INFO: SMT solution for property Peterson-COL-6-ReachabilityFireability-9(UNSAT) depth K=1 took 31502 ms
Jun 05, 2015 3:27:38 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd checkProperties
INFO: SMT solution for property Peterson-COL-6-ReachabilityFireability-10(UNSAT) depth K=1 took 30562 ms
Jun 05, 2015 3:28:12 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd checkProperties
INFO: SMT solution for property Peterson-COL-6-ReachabilityFireability-12(UNSAT) depth K=1 took 33942 ms
Jun 05, 2015 3:28:48 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd checkProperties
INFO: SMT solution for property Peterson-COL-6-ReachabilityFireability-13(UNSAT) depth K=1 took 36056 ms
Jun 05, 2015 3:29:26 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd checkProperties
INFO: SMT solution for property Peterson-COL-6-ReachabilityFireability-14(UNSAT) depth K=1 took 37244 ms
Jun 05, 2015 3:30:01 PM fr.lip6.move.gal.gal2smt.Gal2SMTFrontEnd checkProperties
INFO: SMT solution for property Peterson-COL-6-ReachabilityFireability-15(UNSAT) depth K=1 took 35532 ms
java.lang.IllegalThreadStateException: process hasn't exited
at java.lang.UNIXProcess.exitValue(UNIXProcess.java:421)
at fr.lip6.move.gal.itstools.ProcessController.execute(ProcessController.java:125)
at fr.lip6.move.gal.cegar.checkers.ITSLauncher.run(ITSLauncher.java:99)
at fr.lip6.move.gal.cegar.checkers.ITSLauncher.run(ITSLauncher.java:56)
at fr.lip6.move.gal.cegar.checkers.ITSPropertyCheckerAdapter.check(ITSPropertyCheckerAdapter.java:72)
at fr.lip6.move.gal.cegar.checkers.CEGARChecker.check(CEGARChecker.java:46)
at fr.lip6.move.gal.cegar.frontend.CegarFrontEnd.processGal(CegarFrontEnd.java:24)
at fr.lip6.move.gal.application.Application$3.run(Application.java:354)
at java.lang.Thread.run(Thread.java:745)
Jun 05, 2015 3:31:46 PM fr.lip6.move.gal.application.Application$3 run
WARNING: Aborting CEGAR check of property Peterson-COL-6-ReachabilityFireability-0 due to an exception when running procedure.

Sequence of Actions to be Executed by the VM

This is useful if one wants to reexecute the tool in the VM from the submitted image disk.

set -x
# this is for BenchKit: configuration of major elements for the test
export BK_INPUT="S_Peterson-COL-6"
export BK_EXAMINATION="ReachabilityFireability"
export BK_TOOL="itstools"
export BK_RESULT_DIR="/user/u8/hulinhub/BK_RESULTS/OUTPUTS"
export BK_TIME_CONFINEMENT="3600"
export BK_MEMORY_CONFINEMENT="16384"

# this is specific to your benchmark or test

export BIN_DIR="$HOME/BenchKit/bin"

# remove the execution directoty if it exists (to avoid increse of .vmdk images)
if [ -d execution ] ; then
rm -rf execution
fi

tar xzf /home/mcc/BenchKit/INPUTS/S_Peterson-COL-6.tgz
mv S_Peterson-COL-6 execution

# this is for BenchKit: explicit launching of the test

cd execution
echo "====================================================================="
echo " Generated by BenchKit 2-2270"
echo " Executing tool itstools"
echo " Input is S_Peterson-COL-6, examination is ReachabilityFireability"
echo " Time confinement is $BK_TIME_CONFINEMENT seconds"
echo " Memory confinement is 16384 MBytes"
echo " Number of cores is 4"
echo " Run identifier is r201st-blw3-143341200200298"
echo "====================================================================="
echo
echo "--------------------"
echo "content from stdout:"
echo
echo "=== Data for post analysis generated by BenchKit (invocation template)"
echo
if [ "ReachabilityFireability" = "ReachabilityComputeBounds" ] ; then
echo "The expected result is a vector of positive values"
echo NUM_VECTOR
elif [ "ReachabilityFireability" != "StateSpace" ] ; then
echo "The expected result is a vector of booleans"
echo BOOL_VECTOR
else
echo "no data necessary for post analysis"
fi
echo
if [ -f "ReachabilityFireability.txt" ] ; then
echo "here is the order used to build the result vector(from text file)"
for x in $(grep Property ReachabilityFireability.txt | cut -d ' ' -f 2 | sort -u) ; do
echo "FORMULA_NAME $x"
done
elif [ -f "ReachabilityFireability.xml" ] ; then # for cunf (txt files deleted;-)
echo echo "here is the order used to build the result vector(from xml file)"
for x in $(grep '' ReachabilityFireability.xml | cut -d '>' -f 2 | cut -d '<' -f 1 | sort -u) ; do
echo "FORMULA_NAME $x"
done
fi
echo
echo "=== Now, execution of the tool begins"
echo
echo -n "BK_START "
date -u +%s%3N
echo
timeout -s 9 $BK_TIME_CONFINEMENT bash -c "/home/mcc/BenchKit/BenchKit_head.sh 2> STDERR ; echo ; echo -n \"BK_STOP \" ; date -u +%s%3N"
if [ $? -eq 137 ] ; then
echo
echo "BK_TIME_CONFINEMENT_REACHED"
fi
echo
echo "--------------------"
echo "content from stderr:"
echo
cat STDERR ;