fond
Model Checking Contest @ Petri Nets 2013
Milano, Italy, June 25, 2013
greatSPN: CTLFireability on SharedMemory/000020 (P/T)
Last Updated
Apr. 26, 2013

Introduction

This page shows the outputs produced by the execution of greatSPN on SharedMemory/000020 (P/T). We provide:

About the Execution

Execution Summary
Memory (MB) CPU (s) End
1706.36 299.80 normal

Execution Chart

We display below the execution chart for this examination (boot time has been removed).

Sequence of Actions to be Executed by the VM

This is useful if one wants to reexecute the tool in the VM from the submitted image disk.

export BK_INPUT=SharedMemory-PT-000020
export BK_EXAMINATION=CTLFireability
export BK_TOOL=greatSPN
export BK_RESULT_DIR=/tmp
export BK_LOG_FILE=/tmp/BenchKit_head_log_file.2024
export BIN_DIR=/home/mcc/BenchKit/bin
cd /home/mcc/BenchKit/INPUTS/SharedMemory-PT-000020
echo =====================================================================
echo ' Generated by BenchKit 1.0'
echo ' Executing tool greatSPN:'
echo ' Test is SharedMemory-PT-000020, examination is CTLFireability'
echo =====================================================================
echo
echo --------------------
echo 'content from stdout:'
echo
bash /home/mcc/BenchKit/BenchKit_head.sh

Execution Outputs of greatSPN for SharedMemory/000020 (P/T)

This is useful if one wants to reexecute the tool in the VM from the submitted image disk.


execution on node 15: quadhexa-2.u-paris10.fr (runId=136983905700497_n_15)
=====================================================================
runnning greatSPN on SharedMemory-PT-000020 (CTLFireability)
We got on stdout:
Probing ssh
Waiting ssh to respond
Ssh up and responding
=====================================================================
Generated by BenchKit 1.0
Executing tool greatSPN:
Test is SharedMemory-PT-000020, examination is CTLFireability
=====================================================================

--------------------
content from stdout:

START 1369855881
STOP 1369856221

--------------------
content from stderr:

terminate called after throwing an instance of 'MEDDLY::error'
/home/mcc/BenchKit/BenchKit_head.sh: line 97: 2041 Aborted /home/mcc/BenchKit/bin/RGMEDD model -B $bound $Pflag -C -f ${BK_EXAMINATION}.txt.new32

--------------------
content from /tmp/BenchKit_head_log_file.2024: